plasma chen

download plasma chen

of 248

Transcript of plasma chen

  • 8/7/2019 plasma chen

    1/248

    Table of Contents 1

    TABLE OF CONTENTSPREFACE v

    Plasma Phvsics Plasma ChemistrvPARTAI: INTRODUCTIONTOPLASMASCIENCE PARTBl: OVERVIEWOFPLASMAPROCESSINGINMICROELECTRONICSFABRICATIONI. What is a plasma? 1 I. Plasma processing 99II. Plasma fundamentals 3

    1. Quasineutrality and Debye length II. Applications in Microelectronics 100. . . . . .. , .. "- . . .1 _1L. .L .La"U.La U"'':L l,",U,",Y aHU a,",vu"u,", V,",.LV"'UY PARTB2: KINETICTHEORYANDCOLLISIONS3. Larmor radius and cyclotron frequency4. b x tlann I. Kinetic theory 1035. Sheaths and presheaths

    II. Practical gas kinetic models andPARTA2: INTRODUCTIONTOGASDISCHARGES macroscopic properties 109III. Gas discharge fundamentals 11 1. Maxwell-Boltzmann distribution (MBD)') A " ' f Y I . . . .1,f1",t'I 0 " " " fYlnt'l",1 {c;; :nl \A" '11. Collision cross section and mean free ~ o ,. -/

    path 3. Energy content. . . . . .". . 1 .12. Ionization and excitation cross sections " " t o UU\;; U\;;~VV\;;\;;H3. Coulomb collisions; resistivity 5. Mean free path4. Transition between neutral- and ion- 6. Flux of gas particles on a surface

    dominated electron collisions 7. Gas pressure5. Mobility, diffusion, ambipolar diffusion 8. Transport properties9. Gas flowo, iviagnenc new errects; magnenc DUCKetSCross section data 21 III. Collision dynamics 1191. Collision cross sectionsPARTA3: PLASMASOURCESI 2. Energy transfer

    IV. Introduction to plasma sources 25 3. Inelastic collisions1. Desirable characteristics of plasma PARTB3: ATOMICCOLLISIONSANDSPECTRAprocessing sources I. Atomic energy levels 1252. Elements of a plasma source

    TT . .n. ...",r. . . . . _L,&,UPARTA4: PLASMASOURCESII 1. Excitation processesV. RIE discharges 31 2. Relaxation and recombination processes

    1. Debye sheath III. Elastic collisions 1292. Child-Langmuir sheath 1. Coulomb collisions3. Applying a DC bias 2. Polarization scattering4. Applying an RF bias5. Displacement current Iv. meiasne comsmns 1~1J6. Ion dynamics in the sheath 1. Constraints on electronic transitions7. Other effects in RIB reactors 2. Identification of atomic spectra8. Disadvantages ofRIE reactors 3. A simplified model9. Modified RIE devices

  • 8/7/2019 plasma chen

    2/248

    11 Table of ContentsPARTA5: PLASMASOURCESIII PART 84: MOLECULARCOLLISIONSAND

    'TT V"D "'......."..'" /17 SPECTRA~ ~~ - - ~VII. Inductively coupled plasmas (ICPs}_ 49 I. Molecular energy levels 1371. Overview ofICPs 1. Electronic energy level

    2. Normal skin depth 2. Vibrational energy level3. Anomalous skin depth 3. Rotational energy level4. Ionization energy II. Selection rule for optical emission of5. Transformer coupled plasmas (TCPs) molecules 1396. Matching circuits III. Electron collisions with molecules 1407. Electrostatic chucks (ESCs) 1. Frank-Concon pnncrpre

    PARTA6: PLASMASOURCESIV 2. Dissociation3. Dissociative ionizationVIII. Helicon wave sources and HDPs 61 4. Dissociative recombination1. Dispersion relation 5. Dissociative electron attachment2. Wave patterns and antennas 6. Electron impact detachment3. Mode jumping 7. Vibrational and rotational excitationA 11 . If. . ..1.~ .r :: .. .1 "' . .. .1 .. . L'u......u",p.u IV. Heavy particle collisions 1425. Trivelpiece-Gould modes

    " r< ~1. . 1, . 7"2o, bxamples 01 nelicon measuremems '-10K'"I".K"'~ ~ r.,7. Commercial helicon sources PART 85: PLASMADIAGNOSTICS

    IX. Discharge equilibrium 69 I. Optical emission spectroscopy 1511. Particle balance 1. Optical emission" D~n"",.,h"l"...".",~. L.. ~Vt;'"'u -- '-rJ3. Electron temperature 3. ActinometryLt. lun -r rt; 4. Advantages/disadvantages

    PARTA7: PLASMADIAGNOSTICS 5. Application: end-point detectionII. Laser induced fluorescence 161X. Introduction 75 III. Laser interferometry 162XI. Remote diagnostics 751. Optical spectroscopy IV. Full-wafer interferometry 163" l\.Jf~"...",,,,, . , ,. .o: " l\Jr .. "'''' "''''' ~ 1AA_ ,-~ - - ,- 'J . ..~-~~r--------- J ~ -3. Laser Induced Fluorescence (LIF) n '0 n co T7XII. Langmuir probes 79 I~ .uou. I "" .1 -" , iliin.7. RF compensation ~8. Double probes and hot probes III. Loading 177

    IV. Selectivity 178V. Detailed reaction modeling 179

  • 8/7/2019 plasma chen

    3/248

    Table of Contents 111XIII. Other local diagnostics 93 PARTB7: FEATUREEVOLUTIONAND

    1. Maznetic nrobes MODELING2. Energy analyzers I. Fundamentals of feature evolution in~ . "RH ' -nrn"hp - . _ . - . .....-r c S:::U:;lIll1g JOJ4. Plasma oscillation probe II. Predictive modeling 185

    III. Mechanisms of profile evolution 1861. Ion bombardment directionality2. Ion scattering within the feature3. Deposition rate ofpassivants.1 T,np_n{'_",o-ht rpri, . . n{' . . .1 -0- -r r5. Charging of surfaces in the features

    IV. Profile simulation 190V. Plasma damage 1931. Contamination

    2. Particulates3. Gate oxide Damage - photon4. Gate oxide damage - electrical stress. c : ; T ,,- t~,.,.o ...I"""" n-o. ~6. Post-etch corrosion

    EPILOGUE: CURRENTPROBLEMSIN SEMICONDUCTORPROCESSING 19 9I. ..ronr-enu cnauenges 1YY1. High-k dielectrics2. Metal gates

    II. Back-end challenges 2011. Copper meta111ization2. Interlayer dielectrics (ILDs)3. Barrier materials

    III. Patterning nanometer features 2041. E-beam,.,n. . . . . ..'-"',,,,,. . . . ~

    IV. Deep reactive etch for MEMS 205V. Plasma-induced damage 206VI. Species control in plasma reactors 207

  • 8/7/2019 plasma chen

    4/248

    Preface v

    Reference books used inthis course

    PRINCIPLES OF PLASMA PROCESSINGPREFACE

    We want to make clear at the outset what this bookis NOT. It is not a polished. comprehensive textbook onplasma processing, such as that by Lieberman andLichtenberg. Rather. it is an informal set of lecture noteswritten for a nine-week course offered every two years atUCLA. It is intended for seniors and graduate students.especially chemical engineers, who have had no previousexposure to plasma physics. A broad range of topics iscovered, but only a few can be discussed in enough depthto give students a glimpse of forefront research. Sinceplasmas seem strange to most chemical engineers,plasma concepts are introduced as painlessly as possible.Detailed proofs are omitted, and only the essential ele-ments of plasma physics are given. One of these is theconcept of sheaths and quasineutrality. Sheaths aredominant in plasma "reactors," and it is important to de-velop a physical feel for their behavior.

    Good textbooks do exist. Two of these, to whichwe make page references in these notes for those whowant to dig deeper, are the following:M.A. Lieberman and AJ. Lichtenberg, Principles of Plasma Dis-c ha rg es a nd Materials Processing (John Wiley, New York,1994) .F.F. Chen, Introduction to Plasma Physics and Controlled Fusion,

    V ol. I, 2n ded. (plen um Pr ess, 1 984).In addition, more topics and more detail are available inunpublished notes from short courses offered by theAmerican Vacuum Society or the Symposium on Plasmaand Process Induced Damage. Lecture notes by suchspecialists as Prof H.D. Sawin of M.1.T. are more com-prehensive. Our aim here is to be comprehensible ..

    The lectures on plasma physics (part A) and onplasma chemistry (part B) are interleaved in class meet-ings but for convenience are printed consecutively here,since they were written by different authors. Wehavetried to keep the notation the same, though physicists andchemists do tend to express the same formula in differentways. There are no doubt a few mistakes; after all, theseare just notes. As for the diagrams, we have given thesource wherever possible. Some have been handed downfrom antiquity. If any of these are yours, please let usknow, and we will be glad to give due credit. The dia-grams are rather small in printed form. The CD which

  • 8/7/2019 plasma chen

    5/248

    Why study plasma processing? Because we can'tget along without computer chips and mobile phonesthese days. About half the steps in making a semicon-ductor circuit require a plasma, and plasma machines ac-count for most ofthe equipment cost in a vfab." Design-, ers,engineers, and technicians need to know how aplasma behaves. These machines have to be absolutelyreliable, because many millions of transistors have to beetched properly on each chip. It is amazing that this canbe done at all; improvements will certainly require moreplasma expertise. High-temperature plasmas have beenstudied for decades in connection with controlled fusion;

    A small section of a memory chip. that is, the production of electric power by creatingminiature suns on the earth. The low-temperature plas-mas used in manufacturing are more complicated be-cause they are not fully ionized; there are neutral atomsand many collisions. For many years, plasma sourceswere developed by trial and error, there being little un-derstanding of how these devices worked With the vaststore of knowledge built up by the fusion effort, thesituation is changing. Partially ionized, radiofrequencyplasmas are being better understood, particularly with theuse of computer simulation. Low-temperature plasmaphysics is becoming a real science. This is the newfrontier. We hope you will join in the exploration of it.

    vi

    Straight holes like these can be etchedonly with plasmas

    accompanies the text has color figures that can be ex-panded for viewing on a computer monitor. There arealso sample homework problems and exam questionsthere.

    Francis F. ChenJane P. ChangLos Angeles, 2002

  • 8/7/2019 plasma chen

    6/248

    Introduction to Plasma Science 1PRINCIPLES OF PLASMA PROCESSING

    Course Notes: Prof. F.F. ChenDiagrams call be enlarged on acomputer by using the CD-ROM

    . .-. .

    + e-+

    ~ +- . .Io ns a nd e le ctro ns m a ke a p la sm a

    v__

    A Maxwe llia n d is tr ibu tion

    A "h ot" p la sm a in a fu sio n re ac to r

    PART At: INTRODUCTION TOPLASMA SCIENCEI. WHATISAPI,ASMA?

    Plasma is matter heated beyond its gaseous state.heated to a temperature so high that atoms are stripped ofat least one electron in their outer shells. so that what re-mains are positive ions in a sea of free electrons. Thisionization process is something we shall study in moredetail. Not all the atoms have to be ionized: the coolerplasmas used in plasma processing are only 1-10% ion-ized, with the rest of the gas remaining as neutral atomsor molecules. At higher temperatures, such as those innuclear fusion research, plasmas become fully ionized,meaning that all the particles a re charged, not that thenuclei have been stripped of all their electrons.

    We can call a plasma "hot" or "cold", but thesete rms have to be explained carefully. Ordinary fluids arein thermal equilibrium, meaning that the atoms or mole-cules have a Maxwellian (Gaussian) velocity distributionlike this:f(v) = Ae-('hmhKT),

    where A is a normalization factor, and K is Boltzmann'sconstant. The parameter T. then, is the temperature.which determines the width of the distribution. Inaplasma, the different species---ions, electrons, and neu-trals-rnay have different temperatures: n . Te. and T n .These three (or more. if there are different kinds of ionsor atoms) interpenetrating fluids can move through oneanother, but they may not collide often enough to equal-ize the temperatures, because the densities are usuallymuch lower than for a gas at atmospheric pressure.However, each species usually collides with itself oftenenough to have a Maxwellian distribution. Very hotplasmas may be non-Maxwellian and would have to betreated by "kinetic theory".

    A cool" plasma would have to have an electrontemperature of at least about 1O , O O O K . Then the fastelectrons in the "tail" of the distribution would be ener-getic enough to ionize atoms they collide with oftenenough to overcome recombination of ions and electronsback into neutrals. Because of the large numbers. it ismore convenient to express temperature in electron-volts(eV). When T is such that the energy KT is equal to the

  • 8/7/2019 plasma chen

    7/248

    2

    Th e ea rth p lows th rough the m agnet-iz ed in te rp la ne ta ry p la sm a c re ate d byth e s ola r w ind .

    C om et ta ils a re dusty p la sm as.

    FartA]energy an electron gets when it falls through an electricpotential of Ivolt, then we say that the temperature is IeV. Note that the average energy ofa Maxwellian distri-bution is (31'l)KT, so a 1-eV plasma has average energy1.5 eV per particle. The conversion factor between de-grees and eV is

    IleV = 11,600oK IFluorescent lights contain plasmas with T; ~ 1-2eV. Aside from these we do not often encounter plasmasin everyday life, because the plasma state is not compati-ble with human life. Outside the earth in the ionosphereor outer space, however, almost everything is in theplasma state. In fact, what we se e in the sky is visible

    only because plasmas emit light. Thus, the most obviousapplication of plasma science is in space science an d as-trophysics. Here are some examples: A urora borealis Solar wind Magnetospheres of earth and Jupiter Solar corona and sunspots Comet tails Gaseous nebulae Stellar interiors and atmospheres Galactic arms Quasars, pulsars, novas, and black holesPlasma science began in the 1920s with experi-ments on gas discharges by such famous people as IrvingLangmuir. During World War II. plasma physicists werecalled upon to invent microwave tubes to generate radar.Plasma physics got it greatest impetus with the start ofresearch on controlled nuclear fusion in the 1950s. Thetask is to reproduce on earth the thermonuclear reactions

    used by stars to generate their energy. This can be doneonly by containing a plasma of over 104 eV ( lOS K). Ifthis enterprise is successful, some say that it will be thegreatest achievement of man since the invention of fife,because it will provide our civilization with an infinitesource of energy, using only the heavy hydrogen thatexists naturally in our oceans.Another use of plasmas is in generation of coher-ent radiation: microwave tubes, gas lasers, free-electronlasers, etc. Plasma-based particle accelerators are beingdeveloped for high energy physics. Intense X-ray

  • 8/7/2019 plasma chen

    8/248

    Introduction to Plasma Science

    Spir al g a la x ie s a re p la sma s

    3sources using pulsed power technology simulate nuclearweapons effects. The National Ignition Facility is beingbuilt at Livermore for inertial confinement fusion. Fem-tosecond lasers can produce plasmas with such fast risetimes that very short chemical and biological events cannow be studied. Industrial plasmas, which are cooler,higher pressure, and more complex than those in the ap-plications listed above, are being used for hardening met-als, such as airplane turbine blades and automobile parts,for treating plastics for paint adhesion and reduced per-meation, for nitriding surfaces against corrosion andabrasion, for forming diamond coatings, and for manyother purposes. However, the application of plasma sci-encethat is increasingly affecting our everyday life isthat of semiconductor production. No fast computer chipcan be made without plasma processing, and the industryhas a large deficit of personnel trained in plasma science.II. PLASMA FUNDAMENT AI~S

    Plasma physics has a reputation of being very dif-ficult to understand, and this is probably true when com-pared with fluid dynamics or electromagnetics in dielec-tric media. The reason is twofold. First, being a chargedfluid, a plasma's particles interact with one another notjust by collisions, but by long-range electric and mag-netic fields. This is more complicated than treating thecharged particles one at a time, such as in an electronbeam, because the fields are modified by the plasma it-self, and plasma particles can move to shield one anotherfrom imposed electric fields. Second, most plasmas aretoo tenuous and hot to be considered continuous fluids,such as water (:;:::;3 1022 cm-3lr air (:;:::;3 1019 em"),With particle densities of 109-1 em", plasmas do not al-ways behave like continuous fluids. The discrete natureof the ions and electrons makes a difference; this kind of

    I detail is treated in the kinetic theory of plasmas. Fortu-nately, with a few exceptions, the fluid theory of plasmas

    I is all that is required to understand the behavior of low-temperature industrial plasmas, and the quantum me-chanical effects of semiconducting solids also do not

    I come into play.1. Quasineutra6ty and Debye length

    Plasmas are charged fluids (interpenetrating flu-ids of ions and electrons) which obey Maxwell's equa-tions, but in a complex way. The electric and magneticfields in the plasma control the particle orbits. At thesame time, the motions ofthe charged particles can formcharge bunches, which create electric fields, or currents,

  • 8/7/2019 plasma chen

    9/248

    4 FartA]

    Plasma in a processing reactor (com-pu te r model , by M. Ku sh ner )

    WALL, SHEATti

    QUASINEUTRALPLASMA

    Asheattl separates a plasma fromwalls and large objects.

    sheatPLASMA

    ~ - - ~ s ~ - - - - - - - - - - - - - xT he p la sm a p ote ntia l v arie s s lo wly Inthe plasma but rapidly in the sheath.

    which create magnetic fields. Thus, the particle motionsand the electromagnetic fields have to be solved for in aself-consistent way. One of Maxwell's equations is Pois-son's equation:

    Normally, we use EO for E , since the dielectric charges areexplicitly expressed on the right-hand side. For electro-static fields, E can be derived from a potential V :

    E = -VV,whereupon Eq. (1) becomes

    V2V = (e I Eo)(ne - ni).(2)

    (3)This equation has a natural scale length for Vto vary. Tosee this, let us replace V2with IIL2, where L is the lengthover which V varies. The ratio of the potential energyl e V i of an electron in the electric field to its thermal en-ergy KTe is then approximately

    eV = L2 (ne -11j )e 2KTe BOKTe (4)

    The natural length scale on the right, called the Debyelength, is defined by

    (5)

    In terms of A D , Eq. (4) becomes

    eV = = L~ ( . 1 - n ; ) .ta; A D neThe left-hand side of this equation cannot be much largerthan I, because if a large potential is imposed inside theplasma, such as with a wire connected to a battery. acloud of charge will immediately build up around thewire to shield out the potential disturbance. When thevalues of Eo and e are inserted, Eq. (5) has the value

    (6)

    (7)

    Thus,A.D is of order 50 ,urn for KTe = 4 eV and ne = 1017m -3 or 1011 em -3, a value on the high side for industrialplasmas and on the low side for fusion plasmas. In the

  • 8/7/2019 plasma chen

    10/248

    Intro duc tio n to P lasm a Science 5

    S he ath s fo rm e le ctric b arriers fo re lectrons, re flec ting m ost o f th em soth a t th ey escape a t the same ra te a sth e slow er ions, keep ing th e pla sm a

    quasineutral .

    main body of the plasma, Vwould vary over a distancedepending on the size of the plasma. If we take L to beof order 10 em, an average dimension for a laboratoryplasma, the factor (LlAoi is of order 108, so that ni mustbe equal to ne within one part in lOsto keep the LHS rea-sonably small. In the interior of a plasma, then, thecharge densities must be very nearly equal, and we maydefine a common density, called the plasm a density n, tobe either ni or n; However, there are regions, calledsheaths, where L is the order of A.n; there, the ratio n j Ine does not have to be near unity.

    The condition n j ~ ne is called quasineutrality andis probably the most important characteristic of a plasma.Charged particles will always find a way to move toshield out large potentials and maintain equal densities ofthe positive and negative species. We have implicitlyassumed that the ions are singly charged. If the ionshave a charge Z, the condition of quasineutrality is sim-ply Jli =Zn.: Note that one hardly ever has a whole cubicmeter of plasma. at least on the earth; consequently den-sities are often expressed in cm-3 rather than the :MKSunitm".

    IfL is of the order of the Debye length, then Eq.(6) tells us that the quasineutrality condition can be vio-lated. This is what happens near the walls around aplasma and near objects, such as probes, inserted into theplasma. Adjacent to the surface, a sheath of thicknessabout 5 A . D , forms, in which the ions outnumber the elec-trons, and a strong electric field is created. The potentialof the wall is negative relative to the plasma. so thatelectrons are repelled by a Coulomb barrier. This is nec-essary because electrons move much faster than ions andwould escape from the plasma and leave it positivelycharged (rather than quasineutral) unless they were re-pelled by this "sheath drop", We see from Eq, (3) thatVCr ) would have the right curvature only if n j > ne; thatis, if the sheath is ion-rich. Thus the p la sma pot en ti altends to be positive relative to the walls or to any electri-cally isolated object, such as a large piece of dust or afloating probe. Sheaths are important in industrial plas-mas, and we shall study them in more detail later.2. Plasma. frequency and acoustic velocity

    Waves are small, repetitive motions in a continu-ous medium. Inair, we are accustomed to having soundwaves and electromagnetic (radio) waves. In water, wehave sound waves and, well, water waves. In a plasma.we have electromagnetic waves and two kinds of sound

  • 8/7/2019 plasma chen

    11/248

    6 FartA]

    o 0 D O D[][][][][]I D 1 0 1 0 1 0 I D[][][][][]o 0 D O D[][][][]0

    [ ) [ ] [ ) D I [ JA plasma oscillation: displaced else-trons oscillate around fixed Ions. Thewave does not necessarily propagate.

    r D O D 0 0D O D D 0 0o D O 0 Dr 0 D O D 0r 0 D O D DAn ion acoustic wave: ions and elec-trons move together in a.propagatingcompressional wave.

    waves, one for each charge species. Of course, if theplasma is partially ionized, the neutrals can have theirown sound waves. The sound waves in the electron fluidare called plasma waves or plasma oscillations. Thesehave a very high characteristic frequency, usually in themicrowave range. Imagine that a bunch of electrons aredisplaced from their normal positions. They will leavebehind a bunch of positively charged ions, which willdraw the electrons back. In the absence of collisions, theelectrons will move back, overshoot their initial posi-tions, and continue to oscillate back and forth. This mo-tion is so fast that the ions cannot move on that timescale and can be considered stationary. The oscillationfrequency. denoted by C U p . is given by

    ( 2 J I I 2O J P ~ ne rad I sec80m (8)In frequency units, this gives approximately

    This is called the plasma frequency, and it depends onlyon the plasma density.

    The sound wave in the ion fluid behaves quitedifferently. It has a characteristic velocity rather than acharacteristic frequency, and the frequency, of course, ismuch lower. The physical difference is that, as the ionsare displaced from their equilibrium positions, the moremobile electrons can move with them to shield out theircharges. However, the shielding is not perfect becausethe electron have thermal motions which are random andallow a small electric field to leak out of the Debyecloud. These ion acoustic waves, or simply ion waves,propagate with the ion acoustic velocity or ion soundspeedo.:

    (10)where capital M is the ion mass. Note that Cs depends onTs, not T ; as in air, because the deviation from perfectDebye shielding depends on T; There is actually a smallcorrection oc T i which we have neglected because 1; isnormally T e in partially ionized plasmas. The hybridratio T; 1M permits ion sound waves to exist even whenthe ions are cold.

  • 8/7/2019 plasma chen

    12/248

    In tro du ctio n to P la sm a S cien ce 7

    ION E~eCTRON

    E le ctro ns a nd Ion s gyra te In o pp osited ire ctio ns w ith d iffe re nt s iz e o rb its .

    ION

    guiding center

    E . .

    ELECTRONThe E x B d rift

    3. Larmor radius and cyclotron frequencyIf the plasma is imbedded in a DC magnetic field(B-field), many more types of wave motions ar e possible

    t han those given in the previous section. This is becausethe B-field affects the motions of the charged particlesand makes the plasma an anisotropic medium, with a pre-ferred direction along B. As long as the ion or electronof charge q is moving, it feels a Lorentz force qv x D ,which is perpendicular to the both the velocity and thefield. This force has no effect on the velocity componentparallel to D , but in the perpendicular plane it forces theparticle to gyrate in a c yc lo tr on o rb it. The frequency ofthis circular motion, the cyclotron. frequency Wc, is inde-pendent of velocity and depends only on the charge-to-mass ratio:

    Imc=lqBl1ml , or I fc=m c/27r: : : : !2 .8 :MHz1GI (11)The radius of the circle of gyration, called L arm or ra diu sor gyroradius rt, however, does depend on velocity. Ifvi is the velocity component in the plane perpendicularto D, a particle completes an orbit of length 21tIL in atime 2wroc, so vi = 1 L < O c , or

    (12)Since r o c oc 11M while vi a; IIMll2, rt tends to be smallerfor electrons than for ions by the square root of the massratio. In processing plasmas that have magnetic fields,the fields are usually of the order of several hundredgauss (1G = 10-4 Tesla), in which case heavy ions suchas CI are not much affected by D , while electrons arestrongly constrained to move along D , while gyratingrapidly in small circles in the perpendicular plane. In thiscase, if is often possible to neglect the small gyroradiusand treat only the motion of the center ofthe orbit, calledthe gUid ing cen te r. Note that ions and electrons gyrate inopposite directions. An easy way to remember the di-rection is to consider the moving charge as a current,taking into account the sign of the charge. This currentgenerates a magnetic field in a direction given by theright-hand rule. and the current must always be in a di-rection so as to generate a magnetic field opposing thebackground magnetic field.4.. Ex D drift

    In magnetic fields so strong that both ions and elec-trons have Larmor radii much smaller than the plasma

  • 8/7/2019 plasma chen

    13/248

    8 FartA]

    sheatPLASMA

    ~--~s~-------------xThe shea th potentia l ca n h a ve th ep ro pe r c urv atu re o nly if n; :> ne there.

    PRESHEATH

    PLASMA

    ~ SIiEA TH~ ' - - - - ~ - - - - - - - - - - - - - - -x " xO nly in th e sh ea th ca n qu asi n eu tra litybe v io la t ed .

    radius, the particles' guiding centers drift across B in re-sponse to applied electric fields E.L (the component per-pendicular to B). This drift speed is given by

    VE =ExBI B2. (13)The velocity parallel toB is, of course, unaffected by El.Note that VEl is perpendicular to both E and B and is thesame for ions and electrons. IfE is not constant acrossan ion Lannor diameter, the ions feel an average E-fieldand tend to drift somewhat more slowly than the elec-trons. At fields of a few hundred gauss, as is common inplasma processing. heavy ions such as argon or chlorinemay strike the wall before completing a Lannor orbit,especially if they have been accelerated to an energyKT i by E_j_. In that case, one has a hybrid situation inwhich the ions are basically unmagnetized, while theelectrons are strongly magnetized and follow Eq. (13).5. Sheaths and presheaths

    We come now to the details of how a sheath isformed, Let there be a wall at x = 0, with a plasma ex-tending a large distance to the right (x > 0). At x =s wedraw an imaginary plane which we can call the sheathedge. From our discussion of Debye shielding, we wouldexpect s to be of the order of A D (actually, it is more like5 A -D ) . . Outside the sheath (x 2' s), quasineutrality requiresni ~ ne. Let the plasma potential there be defmed as V=0. Inside the sheath, we can have an imbalance ofcharges. The potential in the sheath must he negative inorder to repel electrons, and this means that V e x ) musthave negative curvature.. From the one-dimensionalform of Eq, (3), we see that ni must be larger than n-:Now, if the electrons are Maxwellian, their density in apotential hill will be exponentially smaller:

    n e I n; = exp(e V IKT ;) , (14)where n, is the density at the sheath edge. To calculatethe ion density, consider that the ions flowing toward thewall are accelerated by the sheath's E-field and are notreflected, so the ion flux is constant. We may neglect T,,but for reasons that wil l become clear, we have to assumethat the ions enter the sheath with a finite velocity Vs.The equation of continuity is then

    (15)Conservation of energy gives

    Y2Mv; +eV = %Mv; (16)The last two equations give

  • 8/7/2019 plasma chen

    14/248

    Introduction to Plasma Science 9

    ~ --GPLASMA ,,

    : SHEATH: 2,,,

    1 4 1-=[ J 1 / 2ns 1-2eVI Mv; . (17)The sheath condition ni > n; has to hold even for smallvalues of I V i, just inside the sheath. In that case, we canexpand Eqs. (14) and (17) in Taylor series to obtain

    ni . eV-=1+-.-.-2+", (18)n, MvsSince V is negative, the condition ni >ne then becomes

    e W I e l V l--

  • 8/7/2019 plasma chen

    15/248

    10 Part AiIf a presheath has to exist, the density n, at thesheath edge cannot be the same as the plasma density nin the body of the plasma. Since the ions have a velocity

    Co at the sheath edze, their VMco 2 is VJ(Tp. andthere must be a potential drop of at least VJ(Te betweenthe body of the plasma and the sheath edge. Let us nowset V = 0 inside the main plasma, so that V = V s at thesheath edge. The electrons are still assumed to be in aMaxwellian distribution:ne = n oee V / KT e (21)

    Since the integral of an exponential is still an exponen-nai, ItIS me propeny 01 a IVlaxwelllanuistnounon mat Itremains a Maxwellian at the same temperature whenplaced in a retarding potential; only the density ischanged. There is only a small modification in the num-ber of electrons moving back from the sheath due to the+"'''' ",l",,,ho,,,..... that a..", l",..t th..",,,n-hh", r''''"l''' ....h 1,a...."'..0" Thus, Eq. (21) holds throughout the plasma, presheath,~ ..anu sneaur, IIJgitlUIIJSS UI WIlIJUIIJr UIIJrIJ are l;UllISIUIlS UInot. If eVs = -elVsl = -VJ(Te, then Eq. (21) tells us that

    ns = noe1/2 = 0 .6no : :: ::2 no . (22)This is approximate, since there is no sharp dividing linebetween sneatn ana presneatn. In me lUturewe snau usethe simple relation ns :::::2 no,where no is the density in themain plasma.

    In summary, a plasma can coexist with a materialboundary only if a thin sheath forms, isolating the plasmafrom the boundary. In the sheath there is a Coulombbarrier, or potential drop, of magnitude several timesKTe, which repels electrons from and accelerates ions

    ...1 thp u'!'Ill Thp !:!hp!'lthrlrnn ..1, it!:!plf!:!nth!'lt thpT -Jfluxes of ions and electrons leaving the plasma are al-.1 .1 .1 .1 ..1

    1UU",L \JAa,",uy \JI.j_LLa1, ",U UaL L laULY 1",

  • 8/7/2019 plasma chen

    16/248

    Introduction to Gas Discharges 1 1

    Defin itio n o f c ro ss s ec tio n

    D iffusion is a ra ndom w alk process.

    , 0 . 0 >h.p'i~T~a.:.~$oollco

    1 - - - .- - . . . _ _ _ _ _ _ I" "./

  • 8/7/2019 plasma chen

    17/248

    12 PartA2

    An elastic electron-neutral collision

    A n io n-n eu tra l ch arge e xc ha ngecollision

    Ions have somewhat higher cross sections withneutrals because the similarity in mass makes it easier forthe ion to exchange momentum with the neutral. Ionscolliding with neutrals of the same species, such as CIwith CI+,have a special effect, called a charge exchangecollision. A ion passing close to an atom can pull off anouter electron from the atom, thus ionizing it. The ionthen becomes a fast neutral, while the neutral becomes aslow ion. There is no large momentum exchange, but thechange in identity makes it look like a huge collision inwhich the ion has lost most of its energy. Charge-exchange cross sections ( J c x ) can be as large as 100 'T W o 2.

    Unless one is dealing with a monoenergetic beamof electrons or ions, a much more useful quantity is thecollision probability , measured in cm%ec, wherethe average is taken over a Maxwellian distribution attemperature KTe or KT i The average rate at which eachelectron in that distribution makes a collision with anatom is then times the density of neutrals; thus, thecollision frequency is:

    v c ""nn per sec. (l)Ifthe density of electrons is nc, the number of collisionsper cm3/sec isjust

    nenn em? sec" (2)The same rate holds for ion-neutralco11isions if the ap-propriate ion value of is used. On average, a parti-cle makes a collision after traveling a distance A m , calledthe meanfree path. Since distance is velocity times time,dividing v by Eq. (1) (before averaging) gives

    (3)This is actually the mean free path for each velocity ofparticle, not the average mean free path for a Maxwelliandistribution.2. Ionization and excitation cross sections (L & L,Chap. 3).

    Ifthe incoming particle has enough energy, it cando more than bounce off an atom; it can disturb the elec-trons orbiting the atom, making an inelastic collision.Sometimes only the outermost electron is kicked into ahigher energy level, leaving the atom in an excited state.The atom then decays spontaneously into a metastablestate or back to the ground level, emitting a photon of aparticular energy or wavelength. There is an excitation

  • 8/7/2019 plasma chen

    18/248

    Introduction to Gas Dischar es 1 3

    h0------,,---Debye c lo ud

    A 900 electron-ion collision

    cross section for each such transition or each spectral linethat is characteristic of that atom. Electrons of higherenergy can knock an electron off the atom entirely, thusionizing it. As every freshman physics student knows, ittakes 13.6 eV to ionize a hydrogen atom; most other at-oms have ionization thresholds slightly higher than thisvalue. The frequency of ionization is related by Eq. (3)to the ionization cross section O'ion, which obviously iszero below the threshold energy Eion. Itincreases rapidlyabove Eioru then tapers off around 50 or 100 eV and thendecays at very high energies because the electrons zip byso fast that their force on the bound electrons is felt onlyfor a very short time. Since only a small number ofelectrons in the tail of a 4-e V distribution, say, haveenough energy to ionize, O'ion increases exponentiallywith KT e up to temperatures of 100 eVor so.

    Double ionizations are extremely rare in a singlecollision, but a singly ionized atom can be ionized in an-other collision with an electron to become doubly ion-ized; for instance Ar+ ~ ArH. Industrial plasmas areusually cool enough that almost all ions are only singlycharged. Some ions have an affinity for electrons andcan hold onto an extra one, becoming a negative ion.Cl" and the molecule SF6- are common examples. Thereare electron attachment cross sections for this process,which occurs at very low electron temperatures.3. Coulomb collisious; resistivity (Chen, p. 176jj).

    Now we consider collisions between chargedparticles (Coulomb colltsionsy. We can give a physicaldescription of the action and then the formulas that willbe useful, but the derivation of these formulas is beyondour scope. When an electron collides with an ion, it feelsthe electric field of the positive ion from a distance and isgradually pulled toward it. Conversely, an electron canfeel the repelling field of another electron when it ismany atomic radii away. These particles are basicallypoint charges, so they do not actually collide; they swingaround one another and change their trajectories. We candefine an effective cross section as r r . h 2 , where h is theimpact parameter (the distance the particle would missits target by if it went straight) for which the trajectory isdeflected by 90. However, this is not the real cross sec-tion, because there is Debye shielding. A cloud of nega-tive charge is attracted around any positive charge andshields out the electric field so that it is much weaker atlarge distances than it would otherwise be. This Debyecloud has a thickness of order An. The amount of pot en-

  • 8/7/2019 plasma chen

    19/248

    14 PartA2

    Electrons "collide" via numeroussmall-angle deflections.

    -- ~~~..I--~-----+~eFast electrons hardly collide at aLL

    tial that can leak out of the Debye cloud is about y,xTe(seethe discussion of pres heath in Sec. II-5). Because ofthis shielding, incident particles suffer only a smallchange in trajectory most of the time. However, thereare many such small-angle collisions, and their cumula-tive effect is to make the effective cross section larger.This effect is difficult to calculate exactly, but fortunatelythe details make little difference. The 900 cross sectionis to be multiplied by a factor InA , where A is the ratioAnfh. Since only the logarithm of A enters, one does nothave to evaluate A exactly; In A can be approximatedby 10 in almost all situations we shall encounter. Theresulting approximate formulas for the electron-ion andelectron-electron collision frequencies are, respectively,

    v ei ~ 2. 9 x 10-6 ncm InA I ~~2-6 In 312 'V ee ~ 5.8 x 10 ncm A I ~v (4)

    where ncm is in em", T ev is KTe in eV, and InA ~ 10 .There are, of course, many other types of collisions, butthese formulas are all we need most of the time.

    Note that these frequencies depend only on T e obecause the ions' slight motion during the collision canbe neglected. The factor n on the right is of course thedensity of the targets, but for singly charged ions the ionand electron densities are the same. Note also that thecollision frequency varies as KTe-3 1 2 , or on y-3. Forcharged particles, the collision rate decreases much fasterwith temperature than for neutral collisions. In hotplasmas, the particles collide so infrequently that we canconsider the plasma to be collisionless.

    The resistivity of a piece of copper wire dependson how frequently the conduction electrons collide withthe copper ions as they try to move through them to carrythe current. Similarly, plasma has a resistivity related tothe collision rate Vei above. The specific resistivity of aplasma is given by _ I 2l1-mVei ne (5)Note that the factor n cancels out because Vej C(; n, Theplasma resistivity is independent of density. This isbecause the number of charge carriers increases withdensity, but so does the number of ions which slow themdown. In practical units, resistivity is given by

    11~= 5.2 X 10-5 ZlnA / ~~2 Q- m. (6)Here we have generalized to ions of charge Z and haveadded a parallel sign to T1in anticipation of the magnetic

  • 8/7/2019 plasma chen

    20/248

    In tro du ctio n to Gas D isc ha rg es 15field case.

    ~ . . . . . . . . ."t. .I~ ut::nn::t::l1 lIt::UU~iitl- iitllU IUlI-electron collisionsThe behavior of a partially ionized plasma de-pends a great deal on the collisionality of the electrons.From the discussion above, we can compute their colli-sion rate against neutrals and ions. Collisions betweenelectrons themselves arc not important here; these justredistribute the energies of the electrons so that they re-main in a Maxwellian distribution.

    The collision rate between electrons and neutralsIS given oy

    ven = nn en , (7)where the rr is the total cross section for e- n collisionsbut can be approximated by the elastic cross section,

    t, .1. 11. t, .11 ."uu"'''' ....." _P' 5"'u"'......, u..,'" "'.v,,"sections. The neutral density nn is related to the fill pres-sure nn Oor me gas. Itis convenient to measure pressurein Torr or mTorr. A Torr of pressure supports the weightof a 1-mm high column of Hg, and atmospheric pressureis 760 Torr. A millitorr (mTorr) is also called a micronof pressure. Some people like to measure pressure inP~~('~l~ Ulhprp 1 P~ - 7 'il0 rn'Torr or ~hOllt 7 ti",p~ ~~large as a mTorr. At 20C and pressure of P mTorr, theIlt ;;UlHU Ut;;Il1>IlY 11>

    In n ~ 3.3 x 101 3 p(mTorr) em-31 (8)If this were all ionized, the plasma density would be ne =ni = n = nnO,but only for a monatomic gas like argon. Adiatomic gas like Ch would have n = 2nno. Are e-i colli-

    ~11,' _n .. ...~ ~1_,HUH" (I." HHpU~~ruu (I." \J-H J. U C\J~ (I. ~UUOl~ \J"U-mate of Yen,we can take to be , c to be~IO-lu em", and to be the thermal velocity Vth, de-fined by

    112Vth = = (2 KT / m) , (9)112 7 112Vth e = ( 2KTe / m) ~ 6 x 1 0 TeV em / secWe then have

    Y en ~ (3.3 x 10 13 )p.(10-16) .6x 107TIP (10)5 112~ 2 x 10 PmTorrTeV(This formula is an order-of-magnitude estimate and isnot to hI' ll

  • 8/7/2019 plasma chen

    21/248

    t6 PartA2collision frequency is given by Eq. (4):

    E

    Conductivity is determined by the av-erage drift velocity u that an electrongets while colliding with neutrals orions. In a wire, the number of targetatoms is unrelated to the number ofcharge carriers, but in a plasma, theion and electron densities are equal.

    (t1)The ratio then gives

    v ei ~ 1 5 10-1 O ! ! : _ T-2"" . x eV'Yen P

    (12)The crossover point, when this ratio is unity, occurs for adensity of

    ncrif : : e : 6.9 x 109PmTorrT;V em -3. (13)For instance, ifp = 3 mTorr and KTe = 3 eV, the cross-over density is ncrit =1.9 x 1011 em", Thus, High Den-sity Plasma (HOP) sources operating in the high tOll tomid-t012 em'? range are controlled by electron-ion colli-sions, while older low-density sources such as the RIDoperating in the 101 0 to mid-lOll cm-3 range are con-trol1ed by electron-neutral collisions. The worst case isin between, when both types of collisions have to betaken into account.5. Mobility, diffusion, ambipolar diffusion (Chen,p_155ff)

    Now that we know theco11ision rates, we can seehow they affect the motions of the plasma particles. Ifwe apply an electric field E (VIm) to a plasma. electronswill move in the -E direction and carry a current. For afully ionized plasma. we have seen how to compute thespecific resistivity T ). The current density is then givenby

    (14)In a weakly ionized gas, the electrons will come to asteady velocity as they lose energy in neutral collisionsbut regain it from the E-field between collisions, Thisaverage drift velocity is of course proportional to E, andthe constant of proportionality is called the mobility,"",which is related to the collision frequency:

    U""-IlE. J . l e :!!!!e lm ven - (15)By e we always mean the magnitude of the elementarycharge, There is an analogous expression for ion mobil-ity, but the ions will not carry much current. The flux ofelectrons I' and the corresponding current density aregiven by

  • 8/7/2019 plasma chen

    22/248

    Introduction to Gas Dischar es 17

    n(r)____. Vn ._

    ._ -D Vn ---+._ E ~ambipo lar

    a o a

    and similarly for ions. How do these E-fields get into theplasma when there is Debye shielding? If one applies avoltage to part of the wall or to an electrode inside theplasma, electrons will move so as to shield it out, but be-cause of the presheath effect a small electric field willalways leak out into the plasma. The presheath field canbe large only at high pressures. To apply larger E-fields,one can use inductive coupling, in which a time-varyingmagnetic field is imposed on the plasma by external an-tennas or coils, and this field induces an electric field byFaraday's Law. Electron currents inthe plasma will stilltry to shield out this induced field, but in a different way;magnetic fields can reduce this shielding. We shall dis-cuss this further under Plasma Sources.

    The plasma density will usually be nonuniform,being high in the middle and tapering off toward thewalls. Each species will diffuse toward the wall; morespecifically, toward regions of lower density. The diffu-sion velocity is proportional to the density gradient 'Vn,and the constant of proportionality is the diffusion coef-ficientD:

    u=-D'Vnln. De=K1'e1rrNen' (17)and similarly for the ions. The diffusion flux is thengiven by

    r s-trr. (18)Note that D has dimensions of an area, and I'is in unitsof number per square meter per second.

    The sum of the fluxes toward the wall from mo-bilityand diffusion is thenr;=-nfleE-De'VnT, =+nfljE- Dj'Vn

    Note that the sign is different in the mobility term, Sincefl and D are larger for electrons than for ions, I'will belarger than C, and there will soon be a large charge im-balance. To stay quasi-neutral, an electric field will natu-rally arise so as to speed up the diffusion of ions and re-tardthe diffusion of electrons. This field, called the am-bipolar field, exists in the body of the plasma where thecollisions occur, not inthe sheath. To calculate this field,we set T, =T, and solve for E. Adding and subtractingthe equations in (19), we get

    (19)

  • 8/7/2019 plasma chen

    23/248

    18 PartA2

    _8

    D iffu sio n o f a n e le ctro n a cro ss amagnetic field

    From these we can solve for the ambipolar flux ra, ob-taining r=- IljDe + lleDj Vn = -D Vn (21)a a fJ i + J . l e

    We see that diffusion with the self-generated E-field,called ambipolar diffusion, follows the usual diffusionlaw, Eq. (18). but with an ambipolar diffusion coefficientD; defined in Eq. (21). Since. from (15) and (17). I . . l andD are related by

    l..l=eDIKT, (22)and J . l e is usually much greater than J . l i , D a is welt ap-proximated by

    (T ) T~ . . . . f .+ 1 D. ~... . f . , D.a T , I T , I'I I (23)meaning that the loss of plasma to the walls is sloweddown to the loss rate of the slower species, modified bythe temperature ratio.6. Magnetic field effects; magnetic buckets (Chen, p.176ff)

    Diffusion of plasma in a magnetic field is com-plicated, because particle motion is anisotropic. If therewere no collisions and the cyclotron orbits were allsmaller than the dimensions of the container, ions andelectrons would not diffuse across B at all. They wouldjust spin in their Larmor orbits and move 'freely in the zdirection (the direction of B). But when they collidewith one another or with a neutral, their guiding centerscan get shifted, and then there can be cross-field diffu-sion. First, let us consider charged-neutral collisions.The transport coefficients DII and fJll along B are un-changed from Eqs. (15) and (17), but the coefficientsacross B are changed to the fo11owing:

    D - ~_ j_ - 2 '1+ ( ro" Iv,JKT1 1 1 =mv 'c

    fJlIJ . l _ j _ = ' I ( / ')2'+ r o " v " (24)eIlil=-mv"Here Vc is the collision frequency against 'neutrals, andwe have repeated the parallel definitions for conven-ience. It is understood that all these parameters dependon species, ions or electrons. If the ratio roJvc is small,the magnetic field has little effect. When it is large, theparticles are strongly magnetized. When roJvc is of order

  • 8/7/2019 plasma chen

    24/248

    Introduction to Gas Dischar es 19

    0B

    / ,I \( \J\ /-. ./

    U ke -p artic le s c ollis io ns d o n ot ca used iffus ion , beca use th e orbits a fte r th eco llis io n (d ash ed lin es) h av e gu id in gc ente rs th at a re sim ply ro ta te d.

    /~- . . . . . . . . . . . . . . -,\

    \I/I . r >\ \ /\~~ /~o~!~J

    C ollis io ns b etw ee n p ositiv e a ndn ega tive p artic le s ca use b oth gu id ingcenters to m ove In th e sa me direc tion ,r es ultin g In c ro ss -f ie ld d lf t\u slo n.

    oB

    unity, we have the in-between case. Ifoand KT are thesame, electrons have (j)Jvc values ...J(Mlm) times larger,and their Lannor radii are ...J(MIm) times smaller than forions (a factor of 271 for argon). So in B-fields of 100-1000 G, as one might have in processing machines, elec-trons would be strongly magnetized, and ions perhapsweakly magnetized or not magnetized at all. If roJvc islarge, the "1" in Eq. (24) can be neglected, and we seethat DJ. oc V e, while DII O C liVe. Thus, collisions impedediffusion along B but increases diffusion across B.

    We now consider collisions between stronglymagnetized charged particles. It turns out that like-likecollisions--that is, ion-ion or electron-electron collisions---do not produce any appreciable diffusion. That is be-cause the two colliding particles have a center of mass,and all that happens in a collision is that the particlesshift around relative to the center of mass. The center ofmass itself doesn't go anywhere. This is the reason wedid not need to give the ion-ion collision frequency Vii.However, when an electron and an ion collide with eachother, both their gyration centers move in the same di-rection. The reason for this can be traced back to the factthat the two particles gyrate in opposite directions. Socollisions between electrons and ions allow cross-fielddiffusion to occur. However, the cross-field mobility iszero, in the lowest approximation, because the VE driftsare equal. Consider what wou1d happen if an ambipo1arfield were to build up in the radial direction in a cylindri-cal plasma. An E-field across B cannot move guidingcenters alongE, but only in the E x B direction (Sec. 11-4). Ifions and electrons were to diffuse at different ratestoward the wall, the resulting space charge would buildup a radial electric field of such a sign as to retard thefaster-diffusing species. But this E-field cannot slow upthose particles; it can only spin them in the azimuthaldirection. Then the plasma would spin faster and fasteruntil it blows up. Fortunately,this does not happen be-cause the ion and electron diffusion rates are the sameacross B ina fully ionized plasma. This is not a coinci-dence; it results from momentum conservation, therebeing no third species (neutrals) to take up the momen-tum. In summary, for a fully ionized plasma there is nocross-field mobility, and the cross-field diffusion coeffi-cient, the same for ions and electrons, is given by:

    D.l .c"" f 1 j _ n(K7j/ K7;) . (25)B

    Here ru is the transverse resistivity, which is about twice

  • 8/7/2019 plasma chen

    25/248

    20 PartA2

    If th e io ns a re w ea kly m a gn etize d,e le ctro ns -io n c ollis io ns c an b e tre ate dlik e e le ctro n-n eu tra l c ollis io ns , b utw ith a d iffe re nt c ollis io n fre qu en cy .

    L igh t em ission excited by fa st e lec-trons sh ows th e sh ape of fh e fie ldlines in a m agnetic bucket.

    as large at that given in Eq. (5).Note that we have given the label "c" to DJ.,standing for "classical". This is because electrons do notalways behave the way classical theory would predict; infact, they almost never do. Electrons are so mobile thatthey can find other ways to get across the magnetic field.For instance, they can generate bursts of plasma oscilla-tions, of such high frequency that one would not noticethem, to move themselves by means of the electric fieldsof the waves. Or they can go along the B-field to the endof the discharge and then adjust the sheath drop there soas to change the potential along that field line and changethe transverse electric fields in the plasma. This is one ofthe problems in controlled fusion; it has not yet been

    solved. Fortunately, ions are so slow that they have nosuch anomalous behavior, and they can be dependedupon to move classically.In processing plasmas that have a magnetic field,electrons are strongly magnetized, but ions are almostunmagnetized. What do we do then? For parallel diffu-sion, the formulas are not affected. For transverse diffu-

    sion, we can use D .L e for electrons and Dill for ions, butthere in no rigorous theory for this. Plasma processing isso new that problems like this are still being researched.Finally, we come to "magnetic buckets," whichwere invented at UCLA and are used in some plasma re-actors. A magnetic bucket is a chamber in which thewalls are covered with a localized magnetic field existingonly near the surface. This field can be made with per-manent magnets held in an array outside the chamber,and it has the shape of a "picket fence", or multiple cusps(Chen, cover illustration). The idea is that the plasma isfree to diffuse and make itself uniform inside the bucket,but when it tries to get out, it is impeded by the surfacefield. However, the surface field has leaks in it, and coolelectrons are collisional enough to get through these

    leaks. One would not expect the fence to be very effec-tive against loss of the bulk electrons. However, the"primary" electrons, the ones that have enough energy toionize, are less collisional and may be confined in thebucket. There has been no definitive experiment on this,but in some reactors magnetic buckets have been foundto confme plasmas better as they stream from the sourcetoward the wafer.The following graphs provide cross section datafor the homework problems.

  • 8/7/2019 plasma chen

    26/248

    Introduction to Gas Discharges

    10.00(/)E~~ 1.00'tI~ssr(J)

    0.10

    - - -NEc~ 8b..--

    100.00A rgo n M om en tum Tra nsfe r C ro ss S ectio n

    0.010.00 0.01 0.10 1.00 10.00

    E le ctro n e ne rg y (e V )

    16

    12

    Elastic collision cross sectionelectrons on neutral argon

    (numerica l fit)

    4

    o o 20 40 60 80Electron energy (eV)

    21

    100.00

    100

  • 8/7/2019 plasma chen

    27/248

    22 PartA28

    Collision frequency per mTorr6

    "NI . . . . . . . . .6 ~ -- -~ 4 ~ .0 II.2 .

    ~ _ .. . _ g j r.~ 4" __ ._ -- ------- _ - H2- _ . - ..-He

    - - - - Ne

    - . . . . .- . . . . . .~-. . . . . . . ; ; ; -" --- . I !!. . . . . . - . . . . . . . . . . .-. . " "~ . . . . . - . . . . . . . . - . . . . .-.~

    . . . . _ - .o o 2 4 6 8 10

    A rgon I on iz a tio n C ros s Sect io n10.0

    . . . . . . .1 .0N5

  • 8/7/2019 plasma chen

    28/248

    Introduction to Gas Discharges1 E - 0 4

    1 E - 0 8

    1 E - 1 2

    1\ 1 E - 1 6~ 1 E - 2 01E-24

    1 E - 2 8

    1E-32 o

    bnlzatlon probability in argon

    ~ ~ . . . ./;/l'V

    V/II/V

    KT e (eV) 10

    Ionization cross sections7

    ~ - - - -I/ ~ _ _ ,// . . . . . . . . . . -J 'j / ~'IJ ~,

    ~ ~

    6

    5

    2

    1

    o o 2 0 4 0 6 0 8 0 1 0 0 1 2 0 1 4 0Electron energy (eV)

    --Xe-e--Kr-r-Ar-o-Ne-o-He

    1 6 0

    23

    100

  • 8/7/2019 plasma chen

    29/248

    24

    14

    1210-E 80

    00......- 6-4

    2015

    PartA2

    E xcita tio n c ro ss s eo tlo n fo r A rg .o n 4 88 nm II~e./...~~ . _ _. .~~;/\ ~.I .~ -- - /J ~//

    20 25E (aY)

    30 3f

  • 8/7/2019 plasma chen

    30/248

    Plasma Sources I 25

    Selectivity. By this we mean the ability to etch onematerial faster than another. Polysilicon etches fasterthan Si02 To etch Si~ preferentially requires a fortui-tous series of events. There is always deposition of hy-drocarbon polymers during the etching process,and theseinhibit further etching. Both poly and oxide are covered,but the polymer layer is more easily removed from Si025mTo~,U"'Hz because of the oxygen that is released from Si02 The

    _-2~.8-~-~-~~-_J._ polymer layer prevents further etching of the silicon. A4 '(an) 0 critical problem is the photoresistlpolysilicon selectivity,which currently has a low value around 5. Increasing

    A typical plasma reactorI

    " " ' 1 0 0I I I

    '"I I I ~wtrfuI" , . oo.R;~iIIIM'1fn.. .I II/

    Ii,", Ii... 1 1 i ' ' 1 0 1 J o 1 1 i " 1 1 1,ji"12 1ii""1~!br.mroOOl:riiil1t...-o:.)

    Densities available in various types ofplasma reactors,

    10 -~-"-"'_"I''''''

    Coburn's famous graph shows thatthe etch rate is greatly enhancedwhen a plasma is added. On left:only chemical etching. On right: onlyplasma sputtering.

    Typical uniformity in a reactor.

    PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. F.F. ChenPART A3: PLASMA SOURCES I

    IV. INTRODUCTION TO PLASMA SOURCES1. Desirable characteristics of plasma processingsources

    The ideal plasma generator would excel in all ofthe following characteristics, but some compromises arealways necessary. Advanced plasma tools are in produc-tion that satisfy these criteria quite well. What is impor-tant, however, is the combination of the tool and theprocess. For instance, etching Si02 requires both asource and a procedure. The commercial product is of-ten not just the tool but the process, including the source,the settings, and the timing developed to perform a giventask. Etch rate. High etch rate normally requires highplasma density. Sorneexperiments have shown that,more exactly, the etch rate is proportional to the ion en-ergy flux; that is,to the ion flux to the wafer times theaverage energy of the ions. High etch rate is especiallyimportant in the fabrication of J\.1EMS(MicroElectroMe-chanical Systems), where large amounts of material hasto be removed. Uniformity. To process a wafer evenly from centerto edge requires a plasma that is uniform in density,tem-perature, and potential. Computer chips near the edge ofa wafer often suffer from substandard processing, re-sulting in a lower speed rating for those CPUs. Anisotropy. To etch straight trench walls, the ionsmust impinge on the wafer at normal incidence; this iscalled anisotropy. To achieve this, the sheath edge mustbe planar all the way across the wafer.

  • 8/7/2019 plasma chen

    31/248

    26

    PeJfarmanceDatD:Sl EldJ RaJa'" 1.21! l1 l imin~F'ldiUrilwmity" 3.0". ImalI-ITI1 n J

    Anisotropy permits etching down-wards without going sideways. Thesedeep trenches actually require helpfrom polymers deposited on the sides.Source: Applied Materials.

    Selectivity allows overetching withoutcutting into the next layer.

    A 2D plot of plasma density showsuniform coverage of a large area.PolySIGs!o eonttliet

    Plasma etching tends to build uplarge voltages across thin insulators,damaging them. This is a seriousproblem

    PartA3DeepTrench Etch256MB DRAM Device

    Cenler ~

    this number would alleviate deformation of the maskduring processing. Because of these indirect effects, it isnot clear what properties of the plasma source controlselectivity. One hopes that by altering the electron ve-locity distribution j{y), one could change the chemicalprecursors in such a way as to control selectivity. Area coverage. The semiconductor industry startedwith Si wafers of 4--'inchdiameter, gradually increasing to6,8, and 12 inches. Current production is based on 200-mm (8-inch) wafers, and the plan is to retool both ingotfactories and fabs for 300-nun wafers. More chips canbe produced at once with these large wafers, since thesize of each chip--the die size-is kept relatively con-stant. Plasma sources have already been developed tocover 12-in wafers uniformly. The flat-panel display in-dustry, however, uses glass substrates as large as 600 by900 mm. Plasma sources of this size are now used fordeposition, but low-pressure sources for etching will beneeded in the future. Low damage. Thin oxide layers are easily damagedduring plasma processing, and this is a serious problemfor the industry. Nonuniform sheath drops and magneticfields near the wafer have been shown to increase dam-age. but these problems are under control with currentplasma tools. Damage by energetic ion bombardmentand UV radiation are lesser effects compared with elec-tron shading. The latter occurs when ions but not elec-trons reach the bottom of a trench being etched, causing acharge buildup which drives current through the insu1at-ing oxide layer. There has been considerable evidencethat low Te will minimize electron shading damage, butthe picture is far from clear. Adaptability. Since each process requires a differ-ent gas mixture, pressure, power level, etc., plasmasources should be able to operate under a variety of con-ditions. Newer plasma tools have more adjustable pa-

  • 8/7/2019 plasma chen

    32/248

    Plasm a Sources I.. J.

    A cluster tool like this has a centralload lock. which shuffles wafers Intodifferent plasma reactors for etching,deposition, or stripping. Source: BPS

    This footprint is too large to beeconomical.

    This footprint Is unbearable.

    27... rameters, such as magnetic field shape and independent" power sources, to make them more versatile.". Reliability. In a factory, equipment failures causeexpensive delays. Simple design can lead to more reli-able plasma sources. Small footprint. Compactness is an important at-tribute when hundreds of machines need to be housed ina fabrication facility.

    I Benign materials. To keep contamination down,M very few materials are admissible in a plasma source. Since the wafer is silicon, Si walls are desirable. Often,glass or quartz, which are mostly Si, are used. Alumi-num and alumina are common wall materials. Plasmasources which require internal electrodes would intro-duce metals into the chamber.2. Elements of 3. plasma source

    There are four main subsystems to a plasmasource: the vacuum system, the gas handling system, thecooling system, and the discharge power source. Plas-mas that require a magnetic field would also need fieldcoils and their power supply.Vacuum system

    To make a plasma, we must first create a vacuum.Atmospheric pressure is 760 Torr, and operating pressurein a plasma reactor is generally between I mTorr and 100Torr. The base pressure, before the chamber is filledwith gas, has to be much lower than the operating pres-sure in order to keep down the partial pressure of con-taminants. Thus. base pressures are at least 10-5, andsometimes 10-6(the Torr is understood). This is not usu-ally a problem. Ultra-high-vacuum (UHV) systems canget down to 10-10 , but these are not generally needed.(High-energy accelerators can get down to below 10-20Torr, approaching the vacuum of outer spaoe.) The tur-bomolecular pump, or turbopump. is universally usednowadays. This has a multi-slotted fan blade that spinsat a high velocity. physically blowing the gas out of thevacuum chamber. The rotor has to be supported by avery good bearing, sometimes oil cooled, or by magneticsuspension. The speed is controlled by an electronic cir-cuit. Old pwnps used oil or mercury vapor, which canget back into the chamber and contaminate it; but tur-bopumps are basically clean, The fan blade. however,cannot maintain the large pressure differential betweenhigh vacuum and atmospheric pressure; the air on one

  • 8/7/2019 plasma chen

    33/248

    28 PartA3

    SUBSTRA .1E

    side would give so much drag that the blade could notspin at the required speed. So a turbopumphas to bebacked up by a forepump, or backing pump. There aremany types of these, but they are all mechanical Forinstance, a diaphragm pump moves a diaphragm backand forth and valves open and close to move the air fromone side to the other. To pump the corrosive gases usedin plasma processing, all the materials have to be chemi-cally inert, and these dry pumps are considerably more

    ~ I I expensive. The forepump generally provides a pressure,~~"------1f-----lr-r-------jI"I----' ~ called the forepressure, of 1 to 50 mTorr, and the tur-,-'-----'-" ~ bopump can then maintain the differential between this!i"O~.~ ' : ' ' ' TURBO ~ pressure and the base pressure.

    PUMP QROVGKING J

    P'UMP

    PLASMA

    f--VA------j FORE: PUMP

    Because of their noise and exhaust,the forepump and roughing pump areusually put behind a wall, outside theclean room.

    Gases are consumed in plasma processing, andlarge pumps are necessary to maintain a large flow rate.To maintain high conductivity, the pump is connected tothe plasma chamber through a short, large-diameter pipe.Between them there is usually a gate valve. The hosefrom the turbo pump to the forepump does not need to beso large and short, since it handles the gas flow at a muchhigher pressure. The noisy forepumps are usually lo-cated on the other side of a wall. To be able to keep theturbopurnp running while the chamber is let up to atmos-pheric pressure to make a change, it is useful to connectthe chamber toa roughing pump through a valve. Thiscan bring the chamber down to a pressure (:::::50mTorr) atwhich it is safe to open the gate valve to the turbopump.Gas handUng system.

    The mixture of gases to be used in a process isformed in a gas manifold, into which gases from differ-ent tanks are fed through flow regulators. All this iselectronically controlled. The gas mixture is then putinto the process chamber through a showerhead. which isa circular tube with many equally spaced holes in it thatdistribute the gas uniformly around the inside circumfer-ence of the chamber. The flow rate is measured in seem(standard cubic centimeters per minute). which is thenumber of cc of gas at STP flowing through per minute.The pumping rate, or speed S. of a pump, however, ismeasured in liters per second. which is a measure of vol-ume. not amount of gas. Except at very high pressures, Sdoes not depend on the pressure, so the number of seemthat a pump can remove depends on the operating pres-sure. In processes that consume a lot of gas, the flowrate must be high in order to keep the neutral pressure

  • 8/7/2019 plasma chen

    34/248

    Plasma Sources I 29

    Elements of an RF power system.The frequency generator and poweramplifier are usually in one chassis,while the matching circuit and themeters that measure the input andreflected power are in anotherchassis. Autotune circuits sense theamount of reflected power andautomatically change the variablecapacitors to minimize It .

    low, This is desirable, for instance, to keep the wafersheath collisionless so that the accelerated ions are notdeflected, or to keep dust particles from forming, There-fore, large turbopumps, with apertures of, say, 12 inches,and pumping speeds in the thousands of liters per second,can be found on plasma reactors, The ga s handling sys-tem, with numerous inputs from tanks of gases, flowmeters and flow controls, and computer interface, can bea large part of the plasma system.Cooling system.

    One of the disadvantages of plasma processing isthat a lot of heat is generated. Walls of the chamber areusually water-cooled. Antenna wires are made of coppertubes with water flowing through them. The most criti-cal cooling requirement is imposed by the wafer, whichhas to be maintained at a given temperature for eachprocess, and which tends to be heated severely by plasmabombardment. Helium is introduced to the back side ofthe wafer through holes in the chuck which holds it. Thisgas is made to flow under the wafer to keep it at a uni-form temperature. It is not necessary to create a spacefor the helium to flow; the underside of the wafer is usu-ally rough enough.Discharge power system.

    To ionize and heat a plasma, electrical power isapplied either at a radiofrequency (RF) or at a microwavefrequency, The vast majority of sources use the industri-ally assigned frequency of 13.56 MHz . Some work at aharmonic or subharmonic of this, and some experimentalsources run at frequencies higher or lower than thisrange. Electron cyclotron resonance (ECR) sources aredriven at 2.45 GHz, the same as is used in microwaveovens.RF sources are usually driven by a solid-statepower amplifier with a built-in oscillator to generate the

    signal. The output into a 50-11cable is usually 2 kWorless, The cable then goes into a matching network, ormatchbox, which performs the important function oftransforming the impedance of the antenna-plasma sys-tem to the 50-11impedance of the rest of the circuit. Be-fore passing through the matching network, the powergoes through directional couplers which measure thepower flowing into the antenna and back from it Thisreflection has to be kept low 1%) to protect the ampli -fier and to make best use of its power. The main ele-ments of the matching network are two (physically)

  • 8/7/2019 plasma chen

    35/248

    30 PartA3large, adjustable vacuum capacitors. The tuning is doneby varying the capacitances of these two elements. Sincethe RF current in the capacitors is displacement currentin vacuum, there is very little power loss in such a cir-cuit. Sometimes a variable inductor is used, consisting oftwo coils, one of which can be rotated to change themutual coupling. Industrial tools invariably have auto-match circuits, in which the tuning capacitors are auto-matically adjusted by motors driven by a circuit that de-tects the reflected power and tries to minimize it. Oncethe operating conditions of the plasma source are set, theautomatch circuit has no problem finding the minimumand keeping the system tuned as the plasma conditionschange. However, fmding the vicinity of the correctmatch may be difficult initially. After the match circuit,the power is fed to the antenna through cables (severalmay be needed to carry the current) or a parallel trans-mission line. At this point there may be very high volt-ages, exceeding 1 kV. The length of the line affects the

    1IH;fi'm~~~?I"r/--'"I;~ tuning conditions sensitively. In a capacitive discharge,the RF is connected directly to the internal electrodes. Inan inductive discharge, the power goes to an externalantenna, which is wound around the chamber in variousways depending on the type of source. In experimentalsystems there may be sensors to measure the RF voltageand current applied to the antenna.

    ECR sources are driven by a magnetron providing2.45-GHz power, which is transmitted in a waveguide.A "Magic T" device serves the function of the matchingnetwork in RF systems. The waveguide then goes to ahom antenna, which launches the microwave power intothe plasma through a window. This vacuum window is acritical element, since it has to be made ofa materialsuch as quartz or ruby and tends to crack under highpower. It also can be coated by deposits from theplasma. Since an ECR source has to strike a cyclotronresonance, magnetic coi1s have to provide the resonantfield of 875 G somewhere in the plasma. Magnet coilsare usually water-cooled copper tubes wound with manyturns and held together by epoxy. They are driven by alow-voltage, high-current power supply such as thoseused for arc welding, only with better filtering.

    An E CR source, w ith the resonancezone shown shaded (from L & L).

  • 8/7/2019 plasma chen

    36/248

    Plasma Sources II 31

    Fig. 1. Schematic of a parallel-platecapacitive discharge, called a Reac-tive Ion Etcher (RIE)

    PRINCIPLES OF PLASMA PROCESSINGCourse Notes: Prof. F.F. ChenPART A4: PLASl\fA SOURCES II

    V. RIE DISCHARGES (L &L, Chap 11, p. 327fj)These simple devices, which were the staple of

    the industry until the mid-90s, consist of two flat, circularelectrodes, about 20 cm in diameter, separated by about10 cm. The wafer to be processed is mounted onto thebottom plate and held firmly by a "chuck", which in-cludes connections for the helium coolant and for con-necting to a bias oscil lator, which we will discuss later.To produce the plasma, RF power may be applied toei-ther or both plates. The sidewalls may be of an insulat-ing material such as aluminum oxide, or a metal such asstainless steel, which can be grounded For definitenessin what follows, we shall assume that the wafer-bearingplate is grounded and the upper plate oscillates at 13.56"MHz. Gas is fed into the vacuum chamber, and the RFfield electric field causes the first few electrons (there arealways a few from cosmic rays or whatever) to oscillateand gain enough energy to ionize atoms. The electronsthus freed will also gain energy and cause further ioniza-tions. This e lec tron avalanche quickly fills the chamberwith plasma, whose density and temperature depend onthe RF power applied and on the neutral pressure. Theplasma is isolated from the electrodes and the walls bysheaths, and the RF fields are subsequently coupled tothe plasma through the capacitances of the sheaths.These sheaths control the ion flux to the wafer, and it be-hooves us to examine them in some detail.1. Debye sheath.

    Consider first the sheath on a grounded waferbounding a plasma that is no t oscillating. Let the plasmapotential (space potential) be V s and the wafer potentialbe Vw < V s . From our discussion of presheaths in Eq,(Al-22),the plasma density n, at the sheath edge will beabout Y 2 1 l . The ion flux through the sheath from theplasma to the wafer is given by

    The random flux of electrons entering the sheath is !lVr,where Vr = ~ v, vbeing the average electron velocity inany direction (Chen, p. 228):

  • 8/7/2019 plasma chen

    37/248

    32 PartA4

    v PLASMADa!)yesheath

    Chlld-langmuirsheath

    xFig. 2 Artificial separation of thesheath into a Debyesheath (whichcontains electrons) and a Child-Langmuir sheath (which has ionsonly).

    Vr =V =1 . ( 2 KTe ) 1 1 24 2 1t m (2)The flux of electrons getting through the sheath barrier tothe wafer is then

    (3)Setting T, = re and solving for the sheath drop, we obtain

    v : _ v : = K~ I n ( n m )W s 2e 2A1 (4)

    This amounts to -3.53Tev for hydrogen and ~5.38Tev, orabout STav, for argon. The Debye length for Tev=5 andn =1011 em" , say. is, from Eq. (A 1-7).AD =7.4 (5=52I-lm.V O J

    The sheath thickness s can be obtained only by integra-tion, but it is of order SAn; thus. in this case the Debyesheath is about 0.25 mm in thickness, and the sheath dropis about 5 x 5=5 V.2. Child-Langmuir sheath.

    When a voltage is applied between the plates, thesheath drop cannot be 25 V on both plates; at least one ofthem must have a much larger sheath drop to take up theRF potential of hundred of volts that is applied. Theselarge potential drops, much larger than KTe, occur in alayer called a Child-Langmuir sheath. that joinssmoothly onto the Debye sheath and extends all the wayto the wall. This differs from the Debye sheath becauseonly one charged species. in this case ions, exists in theC-L sheath, the electrons having almost all been turnedback before they reach it Those that remain are so fewthat they contribute a negligible amount to the charge inthe C-L sheath. The current density i,voltage drop VI),and thickness d are related by the Child-Langmuir Lawof Space-Charge-Limited Diodes (Chen, p. 294, L & L,p.165):

    4 ( 2 ) 1 1 2 u3/2._ . e E O Y OJ-9 A i d2 (5)

  • 8/7/2019 plasma chen

    38/248

    Plasma Sources II 33

    10.FC-'l~

    t; ;- ,.:;

    Fig. 3. An exact calculation for aplane sheath shows that C-L scalingis not followed unless the sheath isvery thick (109-109 scale).

    ''''

    3. Applying a DC biasConsider a parallel-plate system with plate A (thewafer side) grounded. If plate B (the hot side) is also at

    zero potential, there will be identical sheaths of , . . . . , 5 KT eon each. For instance, if KT e = 2 V, V s - VB might be10V . If plate B is now made more positive, so that V B =SV, say, Vs - VB must still be IOV, so V s must rise to ~15V. This is because if V s - VB were only 5V, moreelectrons would flow to plate B than ions, and the loss ofnegative charge would immediately raise Vs . On the otherhand, if plate B were to go negative, to -5V, say, Vs neednot change. V a - V B is now ~ 15V, and the extra 5 voltsis taken up by a Child-Langmuir sheath, while V s is

    We can equate this to the ion current density j =enscs andsolve for d; the result is:4 (

    " 2 " )1/2 TT3/2a2 - eO y o-"9-;- TeV ---;;;- . (6)Multiplying and dividing by KTe to form a factor equalto Ao2[Eq. (Al-5)], we can express d in terms ofAo as:

    ( ]3/ 4d = ' l : . . 2VO '}...3 T DeV (7)

    This formula differs by " 2 from standard treatments be-cause we have evaluated A - O in the plasma proper, not atthe sheath edge, where the density is half as large. As anexample, let V o = 400 V and KTe = 5 eV; this gives d =15A.D, or about 0.8 mm for the example used above.Thus, the total sheath thickness s + d is about 20A.D = Imm. This is much larger than feature sizes on the chipbut much smaller than discharge dimensions. A densityof 1011 em" is high for an RIB plasma, however; totalsheath thicknesses over 1 em, an appreciable fraction ofthe discharge height, are often seen inRIB discharges atlower densities and higher temperatures. Note that dvaries as 1 1 0 3/4. This approximation is not really a goodone, as the exact solution (Fig. 3) for the combinedsheaths shows. The slope of3/4 is followed only in verythick sheaths at very high potentials.

    At the high pressures necessary to get highplasma densities, the collision mean free path of the ionscan be shorter than the sheath thickness. Ions can thenscatter in the sheath, thus making anisotropic etchingmore problematical.

  • 8/7/2019 plasma chen

    39/248

    34VB'" 0

    V -10 VV " 0KT =2eVe

    A BVB'" 5 V

    V V " 0KTQ. =2 eVA B

    VB" -5 VV V " \0 0

    KTc =2 eV

    A BFig. 4. Illustrating the change Inplasma potential when one electrodeis biased.

    /:

    PartA4

    v ~------+iI! SHEATHI 2iIIi~ __ ~ ~~__J%

    PLASMA

    maintained at just below 10V by the sheath on plate A.Thus, the plasma potential always follows the potentialof the most positive electrode or section of the wallWith an RF power supply driving plate B with a sinusoi-dal voltage, V s will follow the positive excursions butwill remain at the potential set by plate A during thenegative excursions of plate B. Meanwhile, plate A (thewafer) will have a constant sheath drop (10V in our ex-ample) when plate B is negative, but will have a largersheath drop with a C-L sheath whenever plate B is posi-tive. Thus, the time-averaged sheath drop will be largerin the presence of an RF drive, and the average ion willimpinge on the wafer with higher energy. Since the RFpower controls the plasma density also, the ion currentand energy for anisotropic etching cannot be controlledindependently in a single-frequency RIB discharge.

    To make this more quantitative and extend thetreatment to asymmetric discharges, let the area of A beAA and that of B be AB. Thus, when these are equal. wehave two similar plates at the top and bottom of the dis-charge. and when AB AA. we have a small plate whilethe rest of the enclosure may be grounded. For the pres-ent, we do not consider a grounded sidewall, whichwould form a third electrode.

    Using Eqs. (1) and (3). we can equate the ion andelectron fluxes to both electrodes:

    r "" Y2ncs , rer ""Y41lV (8 )(AA + AB)ri ""rer(AAee(vA-V.s.)/ K1'e + ABee(VB-VS)J KTe)

    (9)where VA and VB are the potentials applied to the twoelectrodes. We can simplify this equation by setting VA= 0 on the larger electrode and defining the followingdimensionless quantities:

    H_ %nc.s. _(1tm ) 2(;=-- --- ,If.lnv 2M eV A1 1 = KT' 5 = ~ < 1. (to)e AADividing by AA we obtain

    (1 +o)s = e-TIs +8efTlB-Tls) .The last term is valid only if T)B< 118.since the electron

    Fig. 5. Illustrating tile slight difference flux cannot exceed the saturation value r e o If 11B> T I s .in particle flows to asymmetric exp(T)B- T)8)is replaced by 1. Thus, we have two cases:sheaths (from Part A1). for 1 1 B < 1 1 s . we have

  • 8/7/2019 plasma chen

    40/248

    Plasma Sources II 35

    C1A1iii_iit;GiiiAiim_ii;;;;;1iiiiWB

    A

    0= 1

    B

    AMiiiiAiiiAiiiiAiiiiAiiiAiiii.

    0< : 1

    B

    iI AIi

    1 3< :< : 1

    Eq, (11) gives the instantaneous plasma potential as

    (11)and for T l B > 1 1 s . we have

    (l+o)e =e-l]s+0, T I s =-In[(I+o)e -0]. (12)Since the argument of the logarithm has to be positive,the latter case cannot occur unlessse >--~o,1+0 c S < e. (13)This means that B cannot draw saturation electron cur-rent unless the area ratio is less than e, which is 0.0046for argon. If AB is that small (very unlikely), B is effec-tively a wall probe, and we shall use probe theory to de-scribe it. For the normal case, Eq, (11) is valid, and Vswill follow positive excursions in VB.

    When no bias is applied (V B = 0), Eq. (11) re-duces to V ( 1 )1 $= 11 $0 = e S = In - = 5.38 for argon, (14)KTe eas we saw following Eq, (4). This is the normal sheathdrop. When 0 = I, Eq. (11) becomes

    l1 s = l1so + I n [ Y 2 ( 1 +el]B)]. (15)As expected, this shows that the V s is close to V s o whenVB is negative and approximately follows V B when V B ispositive.

    Fig. 6. Capacitive discharge withasymmetric electrodes. 4. Applying an RF biasOne cannot apply a DC bias to a wafer, since at

    least some of the layers deposited on the wafer are insu-lating. However, it is possible to impose a time-averagedDC bias with RF. At RF frequencies, the ions are toomassive to follow the fluctuations and will flow to eachwall with the same flux T; The electrons respond so fastthat they can maintain a Maxwellian distnbution at everyphase of the RF. Thus, the sheath at each phase of theRF will be the same as a DC sheath at the instantaneousvoltage of the electrode. If we assume a sinusoidal os-cillation of VB with an amplitude V B ,

    VB = VB sin eor , TIB =is sinrot , (16)

  • 8/7/2019 plasma chen

    41/248

    36 PartA4= In[1 + 0 exp(tlB sinrot)] . (17)1 1 8 (1 +0)8

    '"''"

    The behavior of Vs during one RF cycle is shown in Fig.7 for 6 = 1 (equal areas) and V B = 100 V. As expected,the space potential rises with VB when it goes positive,but remains around Vso when VB gees negative. Theaverage space potential is then higher than V s o .Since = = 0, the average sheath drop on B is

    Fig. 7. The sheath drop and its dc = < ~ > - < VB > = < Vs > = < ~ - VA > (18)average when the electrode voltagevaries sinusoidally.

    Fig. 8. The instantaneous electroncurrent to' the powered electrode.

    / I \ ' Aroar~HI)~ ~~

    v " > V T l . . . . J 1.O > e .", '"Fig. 9. The area ratio makes littledifference in the current...

    o~--~----~--~----~e ., '". .~lFig. 10.... or the sheath drop.

    This is the accelerating potential seen by the ions and isthe same on both electro des. Thus, the ion energyimpinging en the wafer is increased when RF power isapplied to ' electrode B to ' strike the discharge. Inthiscase the increase is from 16V to ' 46V. One can say thatthe sheath rectifies the RF, increasing the negative biasen the wafer relative to ' the plasma In RIE discharges,the density of the plasma can be increased only byincreasing the power applied, and this necessarilyincreases the ion energy. Though one cannot reduce theion energy, one can increase it by applying anotheroscillator to the wafer electrode A. This is called an RFbias oscillator. It can be at the same frequency as thedischarge power or at another (usually lower) frequency.

    We next consider the electron current to anelectrode during an oscillation. The electron flux to B is

    reB = ABr er exp(11B -11s) (19)The fractional current, normalized to' the total ion currentofEq. (9), is thenreB = AB r., ellBe-Tlsrtot AA + AB r (20)" " _ o _ . _ . ! . ( (1 +0 )8 )eTlB "" [1+0 -le4]B sifl())t]-l1+0 E 1+oellB

    where we have used Eq. (17) fer exp(-11s). This is shownin Eq. (8) fer c S = 1. We see that the current flews onlyon the positive half-cycle of VB but flows during thatentire half cycle because we have set VB KTJ e. Thispicture is net changed appreciably even if we made B asmall electrode, as Figs. 9 and 11 show. Indeed,S makes"" little difference in either the instantaneous sheath drop orthe average sheath drop, as shown by calculations usingEqs. (17) and (18).

  • 8/7/2019 plasma chen

    42/248

    Plasma Sources II 37

    ' )Fig. 11. Sheath drop vs. RF voltagefor various area ratios.

    ~

    s, , .. , ,. , .. , , .. , ,. ,. ; ..

    r-----------------------------l! Ii I iI v ii h: S : iI "L -:---;--------------:-------J :

    F ig , 1 2 . A "trio de " R IE re ac to r w ithindividually biased wafers and walls.

    "-' RF

    Fig. 13. Electrical representation ofthe sheath-plasma systemand C will oscillate as d oscillates. The sheathimpedance is Z = lIjroC, and for a voltage Vacross thesheath, the displacement current Id is given by

    A real RIE reactor, of course, has walls inaddition to the top and bottom electrodes. If thesidewalls are insulators, no net current can flow to them,and therefore their surfaces must charge up to anoscillating voltage that follows V s , keeping the sheathdrop at V s o . This oscillation may couple capacitivelythrough the sidewalls to ground, in which case the wallsare not completely insulating-they may be AC-

    "" grounded. If the sidewalls are grounded conductors. theymust be treated as a third electrode. Now when differentRF voltages and frequencies are applied to A and B, thetotal electron and ion fluxes must be set equal to eachother when summed over all three conductors. The spacepotential will oscillate with both frequencies and the beatbetween them. The calculation is a trivial extension ofwhat we have done here so far.5. Displacement current

    Up to now we have considered the plasma to be aperfect conductor, so that the sheath voltage V s is thesame on all boundaries. Actually, there is an appreciableresistivity to a low-temperature, weakly ionized plasma,and we must consider the plasma and the sheaths to bepart of an electric circuit. The plasma can be representedby a resistance Rp and an inductance 4in parallel with acapacitance Cpo Rp is due to electron collisions withneutrals and ions as they drift to ca r ry the RF current.The effect of collisions with neutrals is given by themobility formula given inEq. (A2-I5), and that with ionsby Eq. (A2-6). 4is due to the relative inertia of the ionsand electrons. which causes them to respond differentlyto an AC field; this effect is negligibly small C p is thecoupling from one plate to the other via displacmentcurrent; this is in parallel because that current would floweven if the plasma had infinite resistance. Since theplates are so far apart, this capacitance is also negligible.For the moment, let us assume Rp = 0 as before. so thatwe can concentrate on the displacement current. VsA andVsBare the sheath drops on each electrode, RA and RB thenonlinear conduction currents through the sheath, and CAand CB the sheath capacitances. Each sheath of area Ahas a capac it an ce

    (21)

  • 8/7/2019 plasma chen

    43/248

    38 PartA4Id = V I Z = jroCV = jroV soA I d. (22)

    This RF current has to pass through both sheaths, so wehave i,=jroso (A A ~ A IdA ) =jroso (A B~ B I dB )' (23)where V sA is the sheath drop on sheath A, etc. Assumingthe sheath thicknesses to be about the same on average,we have

    Y sB = LiA (24)V A "Thus, there is a voltage divider action which depends ono. In an asymmetric discharge, the smaller electrode seesmore of the applied RF voltage than the larger electrode,so the average sheath drop is larger on the smallerelectrode. This effect on the ion energy to the wafer ismuch larger than the o-effect from sheath rectification,wnicn is aiso in me opposite oirection. 10 see memagnitude of the displacement current, we can compareit with the (electron) conduction current, which onaverage is of the order of the ion current Ii = V2neAcsThus,

    Id _ 2 nfsoV 2 1 1012 (25)--.1. d n ec n_Here we have taken f = 13.56 MHz, V ~ 400 V, d ~ 1mm, and KTe ~ 5 eV in argon. This ratio is unity when n= 1012 em", In RIE tools, n is much smaller than this, sothat the displacement current is dominant. The powerfrom the RF source is coupled to the plasma through thesheath capacitances and drives the plasma current,carried by electrons, through the plasma, resistivelyheating and ionizing it. The sheath conduction currentsdiscussed in the previous section play only a small role inthe oower balance thouzh thev are resoonsible for therectification effect that produces anisotropic ions.

    A more rigorous treatment of the electricalcharacteristics of the RIE discharge would require acircuit analysis of the equivalent circuit including boththe ..;I, and disnh currents throuzh thesheath. The fact that the conduction currents are not~~~,,~~ ~rln 1 "~,,lrl ~p~rl''n~ J.,n~~~~n~ ~4' i"J.,~ UP~-~ ~ _- ~frequency. If a bias oscillator is of different frequency isimposed on the wafer, there will also be beat frequencies.To prevent the two oscillators from loading each other, abandstop filter can be put on each RF supply to preventthe frequency of the other supply from reaching it. The

  • 8/7/2019 plasma chen

    44/248

    Plasma Sources II 39

    , , . ,Fig. 14. Ions hitting the wafer havean energy depending on the sheathdrop at the time. The number of ionsat each energy depends on the timethat sheath drop lasts: that is, on thereciprocal of the slope of this curve.At higher frequencies, ion transit timehas to be taken Into account,

    displacement current can heat the wafer, increasing thecooling requirements, even though it does not help ingiving the wafer a de bias.