한국반도체학술대회 - KCSkcs.cosar.or.kr/2019/download/program/KCS2019... · (주)휴인스...

189
Final Program Book Web: kcs.cosar.or.kr E-mail: [email protected] 주 관 | 주 최 | 후 원 | 제 26회 The 26th Korean Conference on Semiconductors 한국반도체학술대회 ‘Smart Semiconductor in IoT and 4th Industrial Revolution’ 2019년 213일[수] - 15일[금] 강원도 웰리힐리파크

Transcript of 한국반도체학술대회 - KCSkcs.cosar.or.kr/2019/download/program/KCS2019... · (주)휴인스...

  • Final Program Book Web: kcs.cosar.or.krE-mail: [email protected]

    주 관 |

    주 최 |

    후 원 |

    제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    2019년 2월 13일[수] - 15일[금]

    강원도 웰리힐리파크

  • The 26th Korean Conference on Semiconductors

  • 초대의 글

    국내 반도체 분야를 이끌어가는 반도체인의 대잔치, ‘한국반도체학술대회’가 어느덧 26회를 맞이하였습니다.

    이번 대회를 주관하는 DB하이텍은 우리나라를 대표하는 반도체 분야 최고 전문가 여러분들을 제 26회

    한국반도체학술대회로 초청합니다.

    최근 몇 년 동안 우리나라 반도체 산업은 메모리를 중심으로 전인미답의 호황기를 맞이하고 있습니다. 2017년,

    국가 단일수출 품목으로는 처음으로 900억불 이상의 수출을 달성한 이후 월별 100억 달러 수출을 넘어서는

    등 연이어 사상 최대 실적을 경신하고 있습니다. 이는 사물인터넷, 인공지능, 클라우드, 5G 등 4차 산업혁명의

    흐름 속에 그 동안 여러분들이 땀 흘려 쌓아온 초고속, 고성능, 저전력 등의 초격차 기술 경쟁력이 빛을 발했기

    때문입니다. 전 세계적으로 일어나고 있는 4차 산업혁명은 데이터 중심의 산업 패러다임을 바꾸며 그

    어느 때보다도 반도체 산업의 장밋빛 미래에 대한 기대감을 갖게 합니다.

    하지만, 한편으로는 우리나라의 반도체 리더십이 언제까지 이어질지에 대한 우려도 있습니다. 국내에서는

    메모리 분야에 대한 편중이 더욱 심화되고 있는 가운데, 중국은 대규모 자본을 앞세워 ‘반도체 굴기’를 위한

    공격적인 투자를 이어가고 있고, 미국을 중심으로 보호무역주의가 더욱 가속화 되며 산업 환경이 급변하고

    있습니다.

    이러한 시대적 변곡점에서 본 반도체학술대회가 한국 반도체 산업의 가야 할 방향에 대해 함께 고민하고

    토론하는 협력의 장이 되기를 희망하며, ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    이라는 주제를 제시하였습니다. 이번 학술대회에서는 차세대 ICT 주요 기술을 이끌어갈 반도체 기술혁신에

    대해 논의하고 나아갈 방향을 함께 모색할 수 있도록 Short Course, Rump Session 등 다양한 프로그램을

    준비하였으며, 42편의 초청발표, 284편의 구두발표, 489편의 포스터발표 등 총 815편의 우수 논문이 본

    학술대회에서 발표될 예정입니다.

    제 26회 한국반도체학술대회를 주관하는 DB하이텍은 국내 반도체 산업을 이끌어가는 여러분들의 귀중하고

    의미있는 시간을 보내실 수 있도록 준비에 최선을 다하였습니다. 본 학술대회를 통해 반도체 산업을 이끌어가는

    산·학·연 연구 개발자들이 그 동안의 결실을 한 데 모아 창의적인 아이디어를 공유하고 한 단계 더 발전시킬

    수 있는 기회의 장이 되기를 기대합니다.

    마지막으로 본 대회를 준비하면서 물심양면으로 도움을 주신 모든 관계자 여러분께 감사의 말씀을 전합니다.

    감사합니다.

    제 26회 한국반도체학술대회 대회장 이 윤 종

  • The 26th Korean Conference on Semiconductors

  • 목 차

    Ⅰ. 대회 조직 ······································································································ 7

    Ⅱ. 주요 프로그램 ···························································································· 13

    ∙ 2월 13일(수)- Short Course ············································································································· 13

    ∙ 2월 14일(목)- 개회식 ·························································································································· 14

    - 기조강연 ······················································································································· 14

    - 만찬 / 시상식 ············································································································· 14

    - Rump Session ··········································································································· 14

    ∙ 2월 15일(금)- 폐회식 / 경품추첨 ······································································································ 15

    Ⅲ. 강대원상 ······································································································ 16

    Ⅳ. 안내사항 ······································································································ 18

    ∙세션별 QR 코드 안내 ···························································································· 18∙현장등록 ··················································································································· 18∙점심 ··························································································································· 19∙ Coffee Break ·········································································································· 19∙참가자 혜택 ············································································································· 19

    Ⅴ. 전시안내 ······································································································ 21

    Ⅵ. 기조강연 ······································································································ 32

    Ⅶ. 구두 / 포스터 발표 안내 ········································································· 35

    ∙ 2월 14일(목) ··········································································································· 37- 구두 발표 ····················································································································· 38

    - 포스터 발표 ················································································································· 60

    ∙ 2월 15일(금) ··········································································································· 85- 구두 발표 ····················································································································· 86

    - 포스터 발표 ·············································································································· 122

    Ⅷ. Author Index ························································································· 146

    ∙ Author Index (A-Z) ···························································································· 146∙ Author Index (ㄱ-ㅎ) ························································································· 180

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    주관

    DB하이텍 한국반도체산업협회 한국반도체연구조합

    주최

    한국물리학회 한국재료학회 대한전기학회

    대한전자공학회 반도체설계교육센터

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    후원

    강원국제회의센터 삼성전자 SK하이닉스

    원익 IPS SK실트론 주식회사 도쿄일렉트론코리아

    에이에스엠엘코리아 (주) AUROS Technology 주성엔지니어링

    케이케이테크 (주) (주)넥스틴 전자부품연구원

    SEMI Korea 유진테크 테크위드유 주식회사

    (주)뉴파워프라즈마 엔비스아나 주식회사 대한광통신

    (주)아이언디바이스 (주)옵토레인 앤시스코리아 유한회사

    케이던스 코리아 (유) 멘토, 지멘스 비즈니스 IEEE Electron Device Society Korea Chapter

    IEEE SSC Seoul Chapter

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    전시

    한국기초과학지원연구원 나노스코프시스템즈(주) 피아이코리아

    (주)휴인스 키사이트테크놀로지스코리아(주) (유)시높시스 코리아

    한국센서연구소 (주)파크시스템스 안리쓰 코퍼레이션(주)

    서울대학교 반도체공동연구소 (주) 아이브이솔루션 (주)아이작리서치

    턴잇인코리아 유한회사 (주) 실바코 코리아 (주) 진성 Q&S

    한국알테어 (주)루엔소프트 CS CLEAN SOLUTIONS AG

    큐알티 주식회사

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    Ⅰ. 대회 조직

    The 26th Korean Conference on Semiconductors

    상임운영위원회

    상임운영위원장 이정희 교수 (경북대학교)

    상임운영위원 강호규 연구소장 (삼성전자 반도체연구원)

    구용서 교수 (단국대학교)

    김상식 교수 (고려대학교)

    김진국 부사장 (SK하이닉스)

    남기만 부회장 (한국반도체산업협회)

    박용인 부사장 (삼성전자 System LSI)

    박재근 교수 (한양대학교)

    손보익 대표 (실리콘웍스)

    유지범 교수 (성균관대학교)

    유회준 교수 (KAIST)

    이윤종 부사장 (DB하이텍)

    이종호 교수 (서울대학교)

    정덕균 교수 (서울대학교)

    조직위원회

    대회장 이윤종 부사장 (DB하이텍)

    학술위원장 이상기 상무 (DB하이텍)

    공동학술위원장 이희덕 교수 (충남대학교)

    학술부위원장 나현철 상무 (DB하이텍)

    재정 분과 장준태 상무 (DB하이텍)

    간사 양택승 수석 (DB하이텍)

    출판/행사 분과 오정우 교수 (연세대학교)

    이가원 교수 (충남대학교)

    이원준 교수 (세종대학교)

    차호영 교수 (홍익대학교)

    최우영 교수 (서강대학교)

    최창환 교수 (한양대학교)

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    분과위원회

    A. Interconnect & Package

    분과위원장 김성동 (서울과학기술대학교)

    공동분과위원장 이웅선 (SK하이닉스)

    분과위원 곽노정 (SK하이닉스), 권오중 (인천대학교), 김구성 (강남대학교),

    김사라은경 (서울과학기술대학교), 김선정 (울산대학교), 김수현 (영남대학교),

    김시범 (매그나칩반도체), 김형준 (연세대학교), 박세훈 (전자부품연구원),

    박영배 (안동대학교), 손윤철 (삼성전자), 안상훈 (삼성전자), 여종석 (연세대학교),

    유세훈 (한국생산기술연구원), 이원준 (세종대학교), 이태윤 (연세대학교),

    이후정 (성균관대학교), 정세영 (엔트리움(주)), 조철호 (SK하이닉스),

    조태제 (삼성전자), 주영창 (서울대학교), 최광성 (한국전자통신연구원)

    B. Patterning

    분과위원장 안진호 (한양대학교)

    공동분과위원장 채희엽 (성균관대학교)

    분과위원 김현우 (한양대학교), 박종철 (삼성전자), 안창남 (ASML), 양현조 (SK하이닉스),

    오용호 (원광대학교), 유원종 (성균관대학교), 정연식 (KAIST), 정지원 (인하대학교),

    정진기 (SK하이닉스), 주정훈 (군산대학교), 황찬 (삼성전자)

    C. Materials Growth & Characterization

    분과위원장 권순용 (UNIST)

    공동분과위원장 백승협 (KIST)

    분과위원 김성대 (KIMS), 김지현 (고려대학교), 김태헌 (울산대학교),

    박일규 (서울과학기술대학교), 송정훈 (공주대학교), 송진동 (KIST),

    신건욱 (삼성전자종합기술원), 이재진 (아주대학교), 이철로 (전북대학교),

    장혜정 (KIST)

    D. Thin Film Process Technology

    분과위원장 박태주 (한양대학교)

    공동분과위원장 조영진 (삼성종합기술원)

    분과위원 권세훈 (부산대학교), 김건환 (KRICT), 김성근 (KIST), 김우희 (전북대학교),

    김정환 (KIMM), 김형섭 (성균관대학교), 민요셉 (건국대학교), 박민혁 (부산대학교),

    박호경 (SK하이닉스), 송봉근 (홍익대학교) 안지훈 (한국해양대학교),

    엄태용 (삼성전자), 윤성민 (경희대학교), 이상운 (아주대학교), 이한보람 (인천대학교),

    전상훈 (고려대학교), 전우진 (경희대학교), 정두석 (한양대학교), 최리노 (인하대학교),

    최병준 (서울과학기술대학교), 최창환 (한양대학교), 한정환 (서울과학기술대학교),

    허재영 (전남대학교)

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    E. Compound Semiconductors

    분과위원장 장태훈 (전북대학교)

    공동분과위원장 오승규 (University of Houston)

    분과위원 곽준섭 (순천대학교), 권혁인 (중앙대학교), 김동현 (한국나노기술원), 김정진 (ETRI),

    노민수 ((주)액시온), 문재경 (ETRI), 민병규 (ETRI), 심규환 (전북대학교),

    윤형섭 (ETRI), 이정희 (경북대학교), 임종원 (ETRI), 차호영 (홍익대학교)

    F. Silicon and Group-IV Devices and Integration Technology

    분과위원장 김경록 (UNIST)

    공동분과위원장 김춘환 (SK하이닉스)

    분과위원 김상완 (아주대학교), 김소영 (성균관대학교), 김태훈 (삼성전자), 배동일 (삼성전자),

    신창환 (성균관대학교), 안동환 (국민대학교), 양지운 (고려대학교), 이내인 (삼성전자),

    이병훈 (GIST), 이성주 (성균관대학교), 이용규 (삼성전자), 이종호 (서울대학교),

    이희덕 (충남대학교), 전인상 (ASM), 정성웅 (SK하이닉스), 조성재 (가천대학교),

    조일환 (명지대학교), 최우영 (서강대학교)

    G. Device & Process Modeling, Simulation and Reliability

    분과위원장 나현철 (DB하이텍)

    공동분과위원장 유현용 (고려대학교)

    분과위원 김대환 (국민대학교), 김성동 (SK하이닉스), 김성호 (세종대학교),

    박문수 (삼성디스플레이), 박정수 (SK하이닉스 시스템아이씨),

    배종욱 (LG 디스플레이), 이재규 (삼성전자), 이재우 (고려대학교),

    이정수 (POSTECH), 최성진 (국민대학교), 홍성민 (GIST)

    H. Display and Imaging Technologies

    분과위원장 박진성 (한양대학교)

    공동분과위원장 권혁인 (중앙대학교)

    분과위원 강성준 (경희대학교), 권장혁 (경희대학교), 박상식 (세종대학교), 배병성 (호서대학교),

    오누리 (한양대학교), 오민석 (전자부품연구원), 윤성민 (경희대학교),

    이호년 (순천향대학교), 임유승 (세종대학교), 전우진 (경희대학교),

    정성준 (숭실대학교), 조정대 (한국기계연구원), 진병두 (단국대학교),

    홍완식 (서울시립대학교), 홍용택 (서울대학교), 황도경 (KIST)

    I. MEMS & Sensors Systems

    분과위원장 유경식 (KAIST)

    공동분과위원장 안재혁 (광운대학교)

    분과위원 권순홍 (중앙대학교), 김동현 (연세대학교), 김영민 (홍익대학교), 김정현 (광운대학교),

    남효진 (LG전자), 류한열 (인하대학교), 문성욱 (KIST), 신형재 (삼성전자),

    장원익 (ETRI), 전동환 (한국나노기술원), 정재웅 (KAIST), 조성보 (가천대학교)

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    J. Nano-Science & Technology

    분과위원장 이태우 (서울대학교)

    공동분과위원장 김수영 (중앙대학교)

    분과위원 강기석 (서울대학교), 김상욱 (KAIST), 김선주 (중앙대학교), 김 웅 (고려대학교),

    박병국 (KAIST), 박원일 (한양대학교), 박철민 (연세대학교), 왕건욱 (고려대학교),

    이건재 (KAIST), 이 우 (KRISS), 이탁희 (서울대학교), 정대성 (DGIST),

    정성준 (숭실대학교), 정연식 (KAIST), 조경상 (삼성종합기술원), 최성율 (KAIST)

    K. Memory (Design & Process Technology)

    분과위원장 권용우 (홍익대학교)

    공동분과위원장 유경창 (삼성전자)

    분과위원 강명곤 (한국교통대학교), 권건우 (홍익대학교), 김경민 (KAIST),

    김수길 (SK하이닉스), 김영희 (창원대학교), 김용기 (SK하이닉스),

    김 윤 (부산대학교), 노광명 (SK하이닉스), 민경식 (국민대학교),

    백승재 (한경대학교), 손용훈 (삼성전자), 이재구 (삼성전자), 이중호 (용인대학교),

    전종욱 (건국대학교), 정두석 (한양대학교), 조성익 (전북대학교),

    조우영 (삼성전자), 최영돈 (삼성전자), 홍상훈 (경희대학교), 황희돈 (삼성전자)

    L. Analog Design

    분과위원장 김종선 (홍익대학교)

    공동분과위원장 지동우 (아주대학교)

    분과위원 김수연 (동국대학교), 김수환 (서울대학교), 김용신 (고려대학교),

    김진태 (건국대학교), 김태욱 (연세대학교), 노정진 (한양대학교), 류승탁 (KAIST),

    문 용 (숭실대학교), 백광현 (중앙대학교), 송민규 (동국대학교), 심재윤 (POSTECH),

    안길초 (서강대학교), 유창식 (한양대학교), 이승훈 (서강대학교), 이윤식 (UNIST),

    임신일 (서경대학교), 장영찬 (금오공과대학교), 조제광 (LG전자), 최윤경 (삼성전자),

    최중호 (서울시립대학교), 허승찬 (삼성전자), 홍국태 (LG전자)

    M. RF and Wireless Design

    분과위원장 권익진 (아주대학교)

    공동분과위원장 권구덕 (광운대학교)

    분과위원 김영진 (한국항공대학교), 김천수 (ETRI), 김태욱 (연세대학교),

    남일구 (부산대학교), 민병욱 (연세대학교), 백동현 (중앙대학교),

    양종렬 (영남대학교), 왕성호 (Radio Pulse Inc.), 윤상웅 (경희대학교),

    이강윤 (성균관대학교), 이민재 (GIST), 조성환 (KAIST), 지동우 (아주대학교),

    차혁규 (서울과학기술대학교), 한정환 (충남대학교), 황인철 (강원대학교)

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    N. VLSI CAD

    분과위원장 정재용 (인천대학교)

    공동분과위원장 양준성 (성균관대학교)

    분과위원 강석형 (UNIST), 김녹원 (경희대학교), 김영민 (광운대학교),

    김윤진 (숙명여자대학교), 신영수 (KAIST), 유승주 (서울대학교),

    이강희 (University New South Wales), 이종은 (UNIST), 정의영 (연세대학교),

    조영철 (삼성전자), 최정연 (삼성전자)

    O. System LSI Design

    분과위원장 김경기 (대구대학교)

    공동분과위원장 김영민 (광운대학교)

    분과위원 강석형 (UNIST), 공준진 (삼성전자), 공준호 (경북대학교), 김수연 (동국대학교),

    김지훈 (이화여자대학교), 김진상 (경희대학교), 김태환 (한국항공대학교),

    남병규 (충남대학교), 문병인 (경북대학교), 박종선 (고려대학교),

    이광엽 (서경대학교), 이성수 (숭실대학교), 이영주 (POSTECH),

    이윤명 (성균관대학교), 이재진 (서울대학교), 이종열 (전북대학교),

    이진언 (삼성전자), 이찬호 (숭실대학교), 이채은 (인하대학교),

    이한호 (인하대학교), 장성준 (전자부품연구원), 장익준 (경희대학교),

    정건옥 (유한대학교), 정기석 (한양대학교), 정진균 (전북대학교), 조경록 (충북대학교),

    최기영 (서울대학교), 최준림 (경북대학교), 한태희 (성균관대학교)

    P. Device for Energy (Solar Cell, Power Device, Battery, etc.)

    분과위원장 이철호 (고려대학교)

    공동분과위원장 류학기 (아주대학교)

    분과위원 고민재 (한양대학교), 김미소 (KRISS), 김수영 (중앙대학교), 김영환 (KIST),

    김형탁 (홍익대학교), 노준홍 (고려대학교), 명재민 (연세대학교), 박정웅 (가천대학교),

    변혜령 (KAIST), 손정곤 (KIST), 송희은 (KIER), 이미정 (국민대학교),

    이재현 (아주대학교), 장호원 (서울대학교), 정준호 (한국기계연구원),

    정현석 (성균관대학교), 좌성훈 (서울산업대학교), 함문호 (GIST)

    Q. Metrology, Inspection, and Yield Enhancement

    분과위원장 양준모 (나노종합기술원)

    공동분과위원장 유형원 (히타치하이테크)

    분과위원 김중정 (삼성전자), 김진승 (전북대학교), 김호섭 (선문대학교),

    박문희 (한국나노기술원), 박병천 (KRISS), 유규상 (케이맥), 이병호 (SK하이닉스),

    임선종 (한국기계연구원), 조상준 (파크시스템스), 조용재 (KRISS)

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    R. Semiconductor Software

    분과위원장 최종무 (단국대학교)

    공동분과위원장 김태석 (광운대학교)

    분과위원 강수용 (한양대학교), 김종민 (Samsung Inc.), 김종찬 (국민대학교),

    노삼혁 (UNIST), 도인환 (삼성전자), 민 홍 (호서대학교), 반효경 (이화여자대학교),

    백승재 (한국해양과학기술원), 신동군 (성균관대학교), 안성용 (부산대학교),

    원유집 (한양대학교), 윤대석 (Naver), 이건호 (LG전자), 이동희 (서울시립대학교),

    이은지 (충북대학교), 임효준 (LG전자), 조상연 (삼성전자), 주용수 (국민대학교),

    허준영 (한성대학교)

    S. Chip Design Contest

    분과위원장 조경록 (충북대학교)

    공동분과위원장 김태욱 (연세대학교)

    분과위원 고형호 (충남대학교), 김수연 (동국대학교), 박창근 (숭실대학교),

    백동현 (중앙대학교), 변철우 (원광대학교), 신창환 (성균관대학교),

    심재윤 (POSTECH), 양병도 (충북대학교), 이승은 (서울과학기술대학교),

    이영주 (POSTECH), 이종열 (전북대학교), 장영찬 (금오공과대학교),

    차혁규 (서울과학기술대학교), 채형일 (국민대학교), 최준림 (경북대학교)

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    Ⅱ. 주요 프로그램

    The 26th Korean Conference on Semiconductors

    2019년 2월 13일(수)

    Short Course

    Short Course 1 (nano-KISS, Global Session) 10:30-18:00 / Room A (아라홀, 2층)

    ※ ‘영어’로 진행되는 세션이며, 동시통역을 제공하지 않습니다.

    주 제: [nano-KISS] Emerging Nano-Devices: from Concepts to Technology

    좌 장: Prof. Sorin Cristoloveanu (IMEP-LAHC, Grenoble INP Minatec, France)

    강연개요: The Korean International Summer School on Nanoelectronics is an annual event, started in

    2010. Nano-KISS offers every year a panel of detailed lectures on emerging fields in

    nano-micro-electronics, given by world-class experts. It is a unique opportunity for senior and

    junior researchers to update their knowledge in the rapidly growing field of nano-size

    components. For the first time, this year nano-KISS will be organized in association with KCS.

    SC1-1 “The Physics of SOI Manufacturing”

    연사: Dr. Didier Landru (Soitec, France)

    SC1-2 “Silicon Photonics: Interconnects and Co-Integrated Hybrid Devices”

    연사: Mr. Stéphane Bernabé (LETI, Grenoble, France)

    SC 1-3 “Gate-All-Around NanoSheets Structures: The Last Chance to Keep Moore’ Law Alive?”

    연사: Dr. Sylvain Barraud (LETI, Grenoble, France)

    SC 1-4 Neuromorphic Devices and Concepts

    연사: Prof. Jong-Ho Lee (Seoul National University, Korea)

    SC 1-5 “A Selection of Possible Nano-Devices”

    연사: Prof. Sorin Cristoloveanu (IMEP-LAHC, Grenoble INP Minatec, France)

    Short Course 2 14:00-18:00 / Room B (마루홀, 2층)

    주 제: Revolution Engine: Memory Technology

    좌 장: 이가원 교수 (충남대학교)

    강연개요: 4차 산업혁명의 기반기술이며 성장동력인 메모리 반도체 기술의 오늘과 내일을 DRAM과

    Flash Memory로 나누어 우리나라 최고 전문가들로부터 듣는다.

    SC2-1 “DRAM Now & Future”

    연사: 정수옥 연구위원 (SK하이닉스)

    SC2-2 “NAND Flash Memory Technologies: Evolution or Metamorphosis”

    연사: 변대석 마스터 (삼성전자)

    Short Course 3 14:00-18:00 / Room C (가람홀, 2층)

    주 제: 자율주행 차량용 LiDAR & Image Sensor

    좌 장: 하만륜 수석 (DB하이텍)

    강연개요: 자율주행 차량 시대를 견인할 핵심 기술인 ADAS LiDAR 및 이를 지원할 Image Sensor의 핵심 기술

    현황 등을 가장 밀접한 현업 전문가들로부터 듣는다.

    SC3-1 “자율주행 자동차 개발현황 및 인공지능 기술적용”

    연사: 민경원 수석 (전자부품연구원)

    SC3-2 “자율주행 자동차용 센서 및 반도체 기술 이슈”

    연사: 연규봉 센터장 (자동차부품연구원)

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    2019년 2월 14일(목)

    개회식

    일시: 2019년 2월 14일(목), 14:00-14:10

    장소: 체육관 (3층, 본관 외부)

    기조강연

    일시: 2019년 2월 14일(목), 14:10-16:00

    장소: 체육관 (3층, 본관 외부)

    기조강연 1 14:10-15:00

    주제: 신경계와 반도체 집적회로

    연사: 박병국 교수 (서울대학교)

    기조강연 2 15:10-16:00

    주제: 자율주행과 차량용 반도체의 미래 동향

    연사: 이승수 대표이사 (인피니언 테크놀로지스 코리아(주))

    만찬 / 시상식

    일시: 2019년 2월 14일(목), 18:00-20:00

    장소: 체육관 (3층, 본관 외부)

    Rump Session

    일시: 2019년 2월 14일(목), 20:00-

    장소: Room A (아라홀, 2층), Room B (마루홀, 2층)

    Rump Session 1 Room A (아라홀, 2층)

    주 제: 64Kilo에서 100Tera를 향한 미래 반도체의 전망과 도전

    좌 장: 민경식 교수 (국민대학교)

    패널리스트: 이병훈 교수 (GIST), 장세억 연구위원 (SK하이닉스), 장준연 소장 (KIST), 한진만 전무 (삼성전자),

    황철성 교수 (서울대학교)

    강 연 개 요: 반도체 미세화의 속도 저하와 인공지능 응용의 급속한 확대, 반도체 제조국으로 중국의 부상 등,

    현재 한국의 반도체기술과 산업은 이전과는 전혀 다른 도전을 앞두고 있다. 본 럼프세션에서는

    반도체기술, 산업, 응용의 급격한 변화의 시기에, 메모리를 중심으로 미래 반도체 기술을 전망하고

    새로운 응용과 산업환경에서의 도전에 대해서 토의한다.

    Rump Session 2 Room B (마루홀, 2층)

    주 제: 파워반도체 - 미래와 도전

    좌 장: 구용서 교수 (단국대학교)

    패널리스트: 김상철 책임연구원 (한국전기연구원), 서한석 이사 (실리콘마이터스), 이상기 상무 (DB하이텍),

    장동근 대표이사 (세미파워렉스), 차호영 교수 (홍익대학교)

    강 연 개 요: 4차 산업혁명시대에서 전기자동차, 스마트카, AI, 로봇, 신재생에너지, 스마트팩토리 등의 핵심

    부품으로 파워반도체 산업이 급성장 하고 있다. 본 Rump Session에서는 파워반도체 산업의 미래와

    글로벌 시장에서 기술을 선도하기 위한 대응전략에 대하여 토의한다.

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    지능형 반도체 기술 개발 세션

    일 시: 2019년 2월 14일(목), 11:00-12:30

    장 소: Room K (국실, 5층)

    강연개요: 이 세션에서는 2019년 과기부에서 추진하는 미래반도체 신소자원천기술개발사업과 반도체산업의

    경쟁력을 획기적으로 강화하기 위해 기획되고 있는 차세대지능형반도체 사업 (기획예산 1.5조원/

    10년) 내용중 미래반도체 소자기술개발 전략, 경쟁국 기술동향, 동 사업과 기존 연구프로그램의

    차이점 등을 기획에 참여하고 있는 전문가들이 소개함으로써 반도체연구자들이 대규모 융합연구과

    제를 체계적으로 준비할 수 있도록 관련정보를 제공할 계획임. 또한 Q&A 세션을 통해 연구자들의

    의견을 수렴하여 시범사업 및 본사업 실행계획에 반영할 계획이다.

    “차세대 지능형반도체사업 예타 추진현황 및 19년 미래반도체 신소자원천기술개발사업 소개”

    연사: 송재용 단장 (한국연구재단)

    “지능형 반도체 사업단 연구목표 및 추진체계에 대한 소개”

    연사: 이병훈 교수(GIST)

    “해외 반도체 연구사업 추진현황 (미국, 유럽을 중심으로)”

    연사: 김형준 박사 (KIST)

    “웨이퍼레벨 신소자특화 플랫폼 개발 계획”

    연사: 최리노 교수(인하대학교)

    시범사업 RFP의견 수렴 및 연구자들과의 Q&A, Discussion

    2019년 2월 15일(금)

    폐회식 / 경품추첨

    일 시: 2019년 2월 15일(금), 17:15-17:30

    장 소: Room A (아라홀, 2층)

    참여방법: 기조강연, 구두세션 참석 후 진행요원에게 ‘세션 참석 인증 스티커’를 받아, 네임텍의 ‘폐회식

    경품 쿠폰’ 에 붙여 주세요. 6개 중 최소 4개 이상의 세션스티커를 붙여야 경품 추첨 응모가 가능합니다.

    Special Session

    일 시: 2019년 2월 15일(금), 09:00-17:15

    장 소: Room L (죽실, 5층)

    세션주제: [FL1-SS] Sensor & IoT

    [FL2-SS] 고전력 반도체 & 헬스케어

    [FL3-SS] AI

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    Ⅲ. 강대원상

    The 26th Korean Conference on Semiconductors

    강대원상 시상 개요

    시상 개요

    한국의 반도체 생산은 1965년 미국합작사인 당시 ‘고미전자산업’에서 트랜지스터 조립생산으로부터 시작

    되었고, 이로부터 50여년이 흐르는 동안, 한국의 반도체 산업은 눈부신 속도로 발전해 왔습니다. 선진국

    으로부터의 위탁생산 및 조립이 전부였던, 1977년 수출액은 3억 달러가 채 되지 않았습니다. 이후 삼성

    전자, 현대전자(現 SK하이닉스), 금성 일렉트론(LG반도체)이 반도체 사업에 뛰어들면서 본격적으로 성장

    한 반도체 산업은 1994년 최초로 수출 100억 달러를 달성하였고, 10년이 지난 2014년에는 단일수출

    품목 최초로 연 600억 달러를 돌파하였습니다. 600억 달러는 2014년 수출 규모 세계 53위인 포트투칼

    (629억 달러)의 수출 규모와 맞먹는 규모입니다.

    눈부신 성장과 괄목할만한 성과를 내고 있는 반도체 분야의 전공 학생들에게 더욱 자부심을 심어줄 수

    있고, 기존 기술을 뛰어넘을 수 있는 연구에 대한 동기부여가 필요한 때라고 생각됩니다. 더불어 한국반도체

    학술대회 국제화 준비의 일환으로 반도체 분야를 대표할 수 있는 ‘Flagship Award’의 필요성에 대해서

    지난 2015년 2월부터 한국반도체학술대회 상임위원회에서 위원들이 공감을 하고, 협의를 시작하였습니다.

    상의 이름은 반도체 역사에서 기념비적인 업적을 남긴 국내 과학자의 한 사람으로 MOSFET(Metal Oxide

    Semiconductor Field Effect Transistor)을 세계 최초로 개발하여 트랜지스터의 미세화 및 대량생산에

    공헌을 하였고, NAND Flash에 사용되는 플로팅 게이트(Floating Gate) 기술을 최초로 개발한 강대원

    박사의 업적을 기리기 위해 ‘강대원 (논문)상’을 제정합니다.

    한국반도체학술대회 상임위원회

    수상자 선정 기준

    선정기준 한국반도체학술대회 논문 제출자로서 주요 학회 및 외국저널에 인용(Citation)이 된

    논문으로 한국 반도체 학술 발전에 기여한 자

    수상자 후보

    신청 방법

    1) 본인 직접 ‘포상 추천서’ 작성 및 사무국으로 메일 제출

    2) 강대원상 수상에 적합한 사람 또는 논문을 추천하여 ‘포상 추천서’ 작성 후

    사무국 제출

    상금/포상 각 상금 500만원, 상장 및 100만원 상당 순금 메달

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    강대원상 선정위원회

    위원장 정진용 교수 (인하대학교)

    소자/공정 분과 분과위원장 염근영 교수 (성균관대학교)

    분과위원 김동원 마스터 (삼성전자), 김상식 교수 (고려대학교),

    손현철 교수 (연세대학교), 안진호 교수 (한양대학교),

    윤병진 센터장 (충남테크노파크 디스플레이센터),

    이종호 교수 (서울대학교)

    회로/시스템 분과 분과위원장 유회준 교수 (KAIST)

    분과위원 김시호 교수 (연세대학교), 박근우 상무 (SK하이닉스),

    범진욱 교수 (서강대학교), 송용호 교수 (한양대학교),

    엄낙웅 소장 (ETRI), 이혁재 교수 (서울대학교),

    홍국태 연구위원 (LG전자), 황상준 상무 (삼성전자)

    강대원상 수상자 및 수상논문

    제 1회 강대원상 수상자 (KCS 2017)

    소자/공정 분과 (‘인물’ 선정)

    수 상 자: 박병국 교수 (서울대학교)

    논 문: Three-Dimensional Stacked Array NAND Flash Memory (제 21회 한국반도체학술대회 발표)

    외 다수

    회로/시스템 분과 (‘논문’ 선정)

    수상논문: A 1.5-V 493-㎼ Delta-Sigma Modulator with 87-dB Dynamic Range

    저 자: Hyungdong Roh, Youngkil Choi, Sanho Byun, Hyuntae Lee, Kyoungsik Kang, and Jeongjin

    Roh (Hanyang University)

    제 2회 강대원상 수상자 (KCS 2018)

    소자/공정 분과 (‘인물’ 선정)

    수 상 자: 황철성 교수 (서울대학교)

    회로/시스템 분과 (‘논문’ 선정)

    수상논문: Loosely Coupled Memory-Based Decoding Architecture for Low Density Parity Check Codes

    (2006년)

    저 자: Se-Hyeon Kang and In-Cheol Park (KAIST)

    수 상 자: 강세현 박사 (삼성전자), 박인철 교수 (KAIST)

    제 3회 강대원상 수상자 (KCS 2019)

    ※ 2019년 2월 14일(목) 만찬에서 발표될 예정입니다.

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    Ⅳ. 안내사항

    The 26th Korean Conference on Semiconductors

    세션별 QR 코드 안내

    각 세션별로 QR코드를 찍으면 세션별 논문 파일을 확인하실 수 있습니다.

    현장등록

    1) 현장 등록데스크 운영시간 및 장소

    2019년 2월 13일(수) 2019년 2월 14일(목) 2019년 2월 15일(금)

    09:00-18:00 07:30-18:00 07:30-16:30

    장소: 5층 로비

    2) 등록비

    Conference 등록비

    등록 타입 사전등록 현장등록

    Conference Only일반 160,000원 190,000원

    학생 70,000원 80,000원

    Short Course 등록비

    등록 타입 사전등록 현장등록

    Short Course 1 Only

    *13일 점심 포함

    일반 220,000원 250,000원

    학생 120,000원 140,000원

    Short Course 2, 3

    *13일 점심 불포함

    일반 190,000원 220,000원

    학생 100,000원 120,000원

    Conference + Short Course 패키지

    등록 타입 사전등록 현장등록

    Conference + Short Course 1 (전일)

    *13일 점심 포함

    일반 290,000원 350,000원

    학생 140,000원 170,000원

    Conference + Short Course 2, 3

    *13일 점심 불포함

    일반 260,000원 320,000원

    학생 120,000원 150,000원

    등록비 포함 사항

    등록 타입 세션장 입장 프로그램 북 점심 만찬

    Conference일반 ○ ○ ○ ○

    학생 ○ ○ ○ X

    Short CourseSC 1 Short Course 1 입장, Short Course 1 Book, 13일(수) 점심

    SC 2, 3 Short Course 2 또는 3 입장, Short Course 2 또는 3 Book

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    점심

    일자 시간 장소 메뉴

    2 월 13 일(수) 13:00-14:00 한식당 (3층) 비빔밥

    2 월 14 일(목) 12:30-14:00 한식당, 양식당 (3층)

    설식당 (2층)

    육개장

    2 월 15 일(금) 12:30-14:00 제육덮밥

    ※ 입구에서 STAFF이 점심 쿠폰을 확인할 예정이오니, 네임텍 내 점심 쿠폰을 미리 준비하여 주시기 바랍니다.

    쿠폰 분실로 인한 재발급은 불가하오니 유의해 주시기 바랍니다.

    ※ 식당 별 수용 인원이 한정되어 있는 관계로, 타 식당으로 이동 가능성이 있습니다.

    STAFF의 안내에 따라 이동 부탁 드립니다.

    Coffee Break

    장소: 2층 로비, 2층 포스터세션장(그랜드볼룸) 로비, 5층 전시장(골드홀)

    ※ 프로그램 공식 휴식시간에 지정된 장소에서 커피 및 다과가 준비될 예정입니다.

    참가자 혜택

    KCS 2019 조직위원회에서는 학술대회 참가자를 위해 웰리힐리파크의 시설 이용 할인가 제공 건에 대해

    협의하였습니다.

    ※ 해당 내용에 대한 자세한 문의는 웰리힐리파크 각 시설 카운터에서 확인하실 수 있습니다.

    할인 혜택 기간

    2019년 2월 12일(화)-16일(토)

    할인 혜택 사항

    리프트, 렌탈 60% 할인

    등록대에서 네임텍 발급 시, 할인쿠폰을 함께 드릴 예정입니다. 중앙매표소에 할인권 제출 시, 할인 적용됩니다.

    ※ 네임텍 1개 당 할인쿠폰 1개가 제공됩니다.

    ※ 할인권은 분실 시, 재발급 되지 않습니다.

    리프트 / 렌탈 요금

    (단위: 원, VAT 포함)

    권 종 이용시간 대/소 정상가

    KCS 2019

    참가자

    리프트

    60% 할인

    정상가

    KCS 2019

    참가자

    렌탈(스키)

    60% 할인

    정상가

    KCS 2019

    참가자

    렌탈(보드)

    60% 할인

    오전 08:30-13:00대인 60,000 24,000 28,000 11,200 29,000 11,600

    소인 48,000 19,200 20,000 8,000 23,000 9,200

    오전 + 10:30-15:00대인 63,000 25,200 28,000 11,200 29,000 11,600

    소인 51,000 20,400 20,000 8,000 23,000 9,200

    오후 12:00-16:30대인 63,000 25,200 28,000 11,200 29,000 11,600

    소인 51,000 20,400 20,000 8,000 23,000 9,200

    야간 18:30-22:30대인 62,000 24,800 28,000 11,200 29,000 11,600

    소인 50,000 20,000 20,000 8,000 23,000 9,200

    심야 21:00-24:00대인 45,000 18,000 28,000 11,200 28,000 11,200

    소인 33,000 13,200 20,000 8,000 20,000 8,000

    철야(주말) 22:00-02:00대인 45,000 18,000 27,000 10,800 27,000 10,800

    소인 33,000 13,200 19,000 7,600 19,000 7,600

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    주간 08:30-16:30대인 77,000 30,800 34,000 13,600 34,000 13,600

    소인 64,000 25,600 26,000 10,400 27,000 10,800

    주간 + 10:00-16:30대인 71,000 28,400 32,000 12,800 34,000 13,600

    소인 58,000 23,200 26,000 10,400 27,000 10,800

    오야 12:00-22:30대인 89,000 35,600 34,000 13,600 34,000 13,600

    소인 76,000 30,400 26,000 10,400 27,000 10,800

    오야 + 14:00-22:30대인 80,000 32,000 32,000 12,800 34,000 13,600

    소인 67,000 26,800 26,000 10,400 27,000 10,800

    야심 18:30-24:00대인 67,000 26,800 32,000 12,800 34,000 13,600

    소인 54,000 21,600 26,000 10,400 27,000 10,800

    심철(주말) 21:00-02:00대인 56,000 22,400 31,000 12,400 31,000 12,400

    소인 42,000 16,800 22,000 8,800 22,000 8,800

    렌탈

    (2 일권)08:30-익일주간

    대인 0 0 56,000 22,400 62,000 24,800

    소인 0 0 47,000 18,800 47,000 18,800

    스키복 / 보드복 요금

    (단위: 원, VAT 포함)

    구분 일반 KCS 2019 참가자 이용시간

    1 타임대인 17,000 15,000 오전권 08:30-13:00 / 오후권 12:00-16:30

    야간권 18:30-22:30소인 14,000 12,000

    2 타임대인 22,000 20,000 주간권 08:30-16:30 / 주간권 플러스 10:00-16:30

    오후야간권 12:00-22:30 / 오후야간권 프럴스 14:00-22:30

    야심권 18:30-24:00소인 18,000 16,000

    부대시설 요금

    - 수영장, 사우나, 당구장, 탁구장, 볼링장 회원가 적용 (중복할인 가능)

    입장 시, 네임텍을 함께 제시하여 주시기 바랍니다.

    (단위: 원, VAT 포함)

    구분 볼링장 당구장 탁구장 수영장 사우나

    일반 4,500 2,000 14,000 14,000 11,000 11,000 8,000

    KCS 2019 참가자 4,000 1,800 13,000 8,000 6,500 6,500 4,500

    - 볼링장 대화료 별도(2,000원)

    - 당구장 10분당 기준 (포켓볼 일반 2,500원 / 회원 2,300원)

    - 탁구장 1시간당(복식) 기준 (단식 일반 12,000원 / 회원 11,000원)

    한식당(자연차림), 양식당(로메레안) 10% 할인 제공 (음료제외)

    주문 시, 네임텍을 함께 제시하여 주시기 바랍니다.

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    Ⅴ. 전시 안내

    The 26th Korean Conference on Semiconductors

    전시 일정

    일시: 2019년 2월 14일(목)-15일(금)

    장소: 골드홀, 5층

    부스 배치도

    부스번호 업체/기관 명 부스번호 업체/기관 명

    1 한국기초과학지원연구원 12 (주) 아이브이솔루션

    2 나노스코프시스템즈(주) 13 (주)아이작리서치

    3,4 피아이코리아 14 턴잇인코리아 유한회사

    5 (주)휴인스 15 (주) 실바코 코리아

    6 키사이트테크놀로지스코리아(주) 16 (주) 진성 Q&S

    7 (유)시높시스 코리아 17 한국알테어

    8 한국센서연구소 18 (주)루엔소프트

    9 (주)파크시스템스 19 CS CLEAN SOLUTIONS AG

    10 안리쓰 코퍼레이션(주) 20 큐알티 주식회사

    11 서울대학교 반도체공동연구소

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    전시 업체

    Booth No. 1

    기 관 명 한국기초과학지원연구원 대 표 자 이광식

    주 소 대전광역시 유성구 과학로 169-148

    전 화 042-865-3500 팩 스 042-865-3404

    이 메 일 [email protected] 웹 사 이 트 www.kbsi.re.kr

    전 시 물 품 개발장치 모형 및 과제 홍보자료

    회 사 소 개

    한국기초과학지원연구원은 국가 과학기술 발전에 기반이 되는 기초과학 진흥을 위한 연구시설 ․장비 및 분석과학기술 관련 연구개발, 연구지원 및 공동연구 수행을 목적으로 1988년 설립되었다.

    이러한 설립 목적을 바탕으로 다음과 같은 주요기능을 수행하고 있다.

    1. 첨단 대형 연구장비의 구축 ․운영을 통한 연구지원 및 공동연구2. 분석과학 연구를 통한 분석기술 ․장비 개발3. 국가연구시설장비 총괄관리 전담

    4. 연구장비 전문인력 및 창의적 미래인재 양성

    본 학술대회를 통하여 분석과학연구장비개발사업(BIG사업)을 소개하고, 개발장비 활용의 장이 되었으면

    한다.

    Booth No. 2

    기 관 명 나노스코프시스템즈(주) 대 표 자 전병선

    주 소 대전광역시 유성구 테크노3로 65 한신에스메카 333호

    전 화 042-862-0772 팩 스 042-336-4774

    이 메 일 [email protected] 웹 사 이 트 http://www.nanoscope.co.kr

    전 시 물 품 컨포컬 열화상 현미경 CTRM700

    회 사 소 개

    국내 최초로 고해상도 3차원 레이저 스캐닝 컨포컬 현미경을 자체 기술로 개발하여 최초의 한국 브랜드로

    제품화하여 판매하고 있는 기업으로서, 컨포컬 현미경의 기술을 바탕으로 컨포컬 열반사 현미경

    CTRM700을 출시했습니다. 측정 시료의 온도에 따라서 시료의 광학적 반사율이 변한다는 물리적인 현상에

    근거하여, 광학적인 반사율을 측정함으로써 대상 시료의 온도를 산출해 내는 기술로, 적외석 영상과 같은

    전통적인 발열 영상 이미징 기법으로는 얻을 수 없었던 국소적인 영역에 대한 고해상도 현미경적 열영상

    이미징을 가능하게 합니다. 나노스코프시스템즈(주)에 오셔서 확인 바랍니다.

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    Booth No. 3,4

    기 관 명 피아이코리아 대 표 자 마쿠스미햐엘슈판너

    주 소 서울특별시 강동구 성안로 156, 10층

    전 화 02-475-0060 팩 스 02-475-3663

    이 메 일 [email protected] 웹 사 이 트 www.pikorea.co.kr

    전 시 물 품 피에조시스템, DC&Stepper모터, 헥사포드, 마그네틱드라이브, 에어베어링시스템

    회 사 소 개

    PI는 피에조 스테이지, 모터, 액추에이터를 비롯해 DC & Stepper 모터, 마그네틱 드라이브, 에어베어링

    기술을 바탕으로 정밀 모션에 특화된 포지셔닝 제품을 개발 및 생산하고 있으며 센서 및 컨트롤러 또한

    자체 제작하고 있습니다.

    PI Korea는 독일 Physik Instrumente(PI)의 한국지사로 국내 기업, 대학교, 연구소 등에 우수한 기술력을

    갖춘 다양한 PI 제품들을 공급하고 있습니다. 또한, 국내외 애플리케이션에 대한 경험과 노하우를 바탕으로

    포지셔닝 솔루션 및 고객 서비스를 제공하고 있습니다.

    Booth No. 5

    기 관 명 (주)휴인스 대 표 자 송태훈

    주 소 경기도 성남시 분당구 대왕판교로 670 B동 605호(삼평동, 유스페이스2)

    전 화 031-719-8200 팩 스 031-719-8201

    이 메 일 [email protected] 웹 사 이 트 www.huins.com

    전 시 물 품 사물인식교육시스템 외 5종

    회 사 소 개

    (주)휴인스는 인공 지능 기반 사물인식 교육 솔류션 / 자율 주행 차량 교육 솔류션, ARM 코어 기반

    솔루션, SoC 검증 플랫폼, Drone System, 임베디드 솔루션, IoT 솔루션, 코딩,/아두이노시스템 및 로봇

    시스템 분야의 전문 기술을 보유한 회사로서 중견기업으로 성장하였습니다. 휴인스는 새로운 사업분야를

    위한 신기술 개발에 매진을 하는 회사 입니다.

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    Booth No. 6

    기 관 명 키사이트테크놀로지스코리아(주) 대 표 자 JUN CHIE

    주 소 서울특별시 영등포구 여의나루로 57, 20층동(여의도동)

    전 화 080-769-0800 팩 스 080-769-0900

    이 메 일 [email protected] 웹 사 이 트 www.keysight.com

    전 시 물 품 반도체 디바이스 분석기와 EEsof 소프트웨어

    회 사 소 개

    키사이트테크놀로지스는 고객들이 보다 빠르게 성공과 발전을 할 수 있도록 혁신적인 전자 제품과 시스템

    을 경제적인 비용으로 제공하고 있습니다. 키사이트의 솔루션은 설계 및 시뮬레이션부터 시제품 검증,

    제조 테스트, 네트워크 최적화에 이르기까지 전자 신호가 전달되는 곳이면 어느 곳이든 사용할 수 있으며,

    전 세계 통신 생태계, 네트워크, 항공 우주 및 방위, 오토모티브, 반도체 및 전자 제품 시장의 성장을

    위해 기여하고 있습니다. 키사이트에 관한 더 자세한 내용은 홈페이지, 블로그 및 페이스북에서 확인할

    수 있습니다.

    홈페이지 : www.keysight.com

    블 로 그 : https://blog.naver.com/keysightkorea

    페이스북 : https://www.facebook.com/KeysightKorea/

    Booth No. 7

    기 관 명 (유) 시높시스코리아 대 표 자 정해수

    주 소 경기도 성남시 분당구 판교역로 235 H스퀘어 N동 5층

    전 화 02-3404-2775 팩 스 02-3404-9393

    이 메 일 [email protected] 웹 사 이 트 www.synopsys.com

    전 시 물 품 -

    회 사 소 개

    시높시스는 일상 생활에서 자주 사용하는 전자제품과 소프트웨어 애플리케이션을 개발하는 혁신적인

    기업들을 위하여 실리콘칩에서부터 소프트웨어를 아우르는 Silicon to Software 파트너이며, 세계 16위

    의 소프트웨어 기업으로, 오랜시간 전자설계자동화 (EDA)분야와 반도체 지식재산 분야를 이끌어왔으며

    소프트웨어 보안과 품질 솔루션에 있어서도 점차 리더십을 확대하고 있다. 첨단 반도체를 만드는 설계자나

    최고의 보안과 품질을 요구하는 애플리케이션 개발자들을 위하여 혁신적인 고품질 보안 제품 개발에

    반드시 필요한 솔루션을 제공한다.

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    Booth No. 8

    기 관 명 한국센서연구소 대 표 자 이수민

    주 소 대전광역시 유성구 대학로 291, KAIST 나노종합기술원 804호

    전 화 042-936-5361 팩 스 042-863-5361

    이 메 일 [email protected] 웹 사 이 트 www.ksensor.co.kr

    전 시 물 품 KOLAS 성적서, 센서 및 반도체소자 신뢰성 시험-분석

    회 사 소 개

    KOLAS 국제공인시험기관 한국센서연구소1) Wafer Level 신뢰성 시험/분석 기술(국내유일)

    - 국내 유일의 Wafer Level 신뢰성 KOLAS 국제공인시험기관(KT-614) 인정 획득

    - 국내외 굴지의 대기업 및 연구기관, 대학교 등에서 시험 의뢰 다수 진행

    2) 우주용 전자부품 전기적 시험 분석

    - 2017년 우주용 인공위성 전자부품 전기적 시험 용역 과제 진행

    - 2018년 우주용 인공위성 전자부품 중이온 조사 시험 용역 과제 진행

    - 외관검사 수행 및 전류, 전압 파라미터 등 전기적 특성 측정 및 정확한 Spec 검증

    3) 감도 개선(저주파 노이즈 시험 분석)

    - 센서의 감도가 증가하거나 제품의 성능이 향상될수록 저주파 노이즈의 시험이 중요

    - 노이즈의 크기가 신호의 크기보다 크게 되면 신호 구분이 어려워지기 때문에, 성능이 감소하거나

    오작동을 일으키게 됨

    - 센서 성능을 향상시키고 센서 오작동을 방지하기 위해 최상의 서비스를 제공함

    Booth No. 9

    기 관 명 (주)파크시스템스 대 표 자 박상일

    주 소 경기도 수원시 영통구 광교로 109, 한국나노기술원 4층

    전 화 031-546-6800 팩 스 031-546-6805

    이 메 일 [email protected] 웹 사 이 트 https://www.parksystems.com

    전 시 물 품 원자현미경 (AFM, Atomic Force Microscope)

    회 사 소 개

    (주)파크시스템스는 원자현미경(AFM)을 개발, 생산, 판매하는 나노계측기기 전문기업으로, 한국에 본사가

    있고 미국, 일본, 유럽, 중국, 대만, 싱가포르에 현지법인이 있으며 유럽과 아시아 주요국에 판매망을

    가지고 있다. 지속적인 R&D 투자를 통해 나노계측기술 시장을 선도하고 있으며, 독자적인 자체 브랜드와

    판매망으로 성공적으로 글로벌 시장을 개척하였다.

    (주)파크시스템스의 원자현미경은 나노 과학기술 연구뿐 아니라, 첨단기술 제품개발 및 품질관리에서 널리

    활용되고 있다. 또한 세계 유수의 대학교와 연구소, 글로벌 기술기업으로부터 인정을 받은 것을 통해 그

    우수성이 세계적으로 증명되고 있다. 최근에는 하드디스크 생산공정에 활용되고 반도체 생산공정에도 도입되기

    시작하여 산업용 시장에서도 주목을 받고 있다. (주)파크시스템스는 세계 최고의 기술과 제품개발, 글로벌

    시장 확대로 나노계측산업을 선도하는 글로벌 기업으로서의 위상을 굳건히 확립해 나갈 것으로 기대된다.

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    Booth No. 10

    기 관 명 안리쓰코퍼레이션(주) 대 표 자 다케시시마

    주 소 성남시 분당구 판교역로235 5층 안리쓰코퍼레이션

    전 화 031-696-7750 팩 스 031-696-7751

    이 메 일 [email protected] 웹 사 이 트 www.anritsu.com

    전 시 물 품Signal quality analyzer with calibration s/w

    Vector network analyzer, Spectrum analyzer

    회 사 소 개

    안리쓰코퍼레이션은 무선 기술의 선두업체로 일본, 미국, 유럽 지역에 R&D 센터를 운영하고 있습니다.

    안리쓰 계측기 사업부의 주력 제품들은 다양한 통신 시스템, 서비스, 애플리케이션과 관련된 기술 개발과

    품질 보장 운영을 위한 제품과 서비스를 제공합니다. 또한, 안리쓰의 기술은 IP 네트워크 장비, 식품

    및 약품용 검사 장비, 전자 부품용 정밀 측정 장비와 같은 다른 분야의 광범위한 부품에도 통합되어

    있습니다. 안리쓰는 유/무선 통신용 계측기기를 주요 제품으로 취급하고 있습니다. 통신 계측기기는 시험

    장비 및 계측장비 등으로, 시험장비의 경우 이동통신망의 음성과 데이터서비스 품질상태를 측정하여

    문제점을 진단합니다. 또한, 계측장비는 단말기의 개발 및 생산 시 성능시험에 사용되는 장비입니다.

    Booth No. 11

    기 관 명 서울대학교 반도체공동연구소 대 표 자 이종호

    주 소 서울시 관악구 관악로1 서울대학교 104동 반도체공동연구소

    전 화 02-880-5440 팩 스 02-875-2488

    이 메 일 [email protected] 웹 사 이 트 http://isrc.snu.ac.kr

    전 시 물 품 연구소 소개 브로셔 등

    회 사 소 개

    서울대학교 반도체공동연구소는 1988년 개소 이래 반도체 공동연구를 위한 인프라를 구축하여 CMOS공정

    분야와 설계 분야뿐만 아니라 MEMS, 화합물반도체, Display소자, Bio소자 등 다양한 분야에 대한 전국

    대학, 산업체, 연구기관들의 반도체 분야 핵심 연구를 지원하고 있습니다.

    또한 본 연구소는 공정 교육을 통하여 반도체분야 고급 인재를 양성해왔으며, 이 곳에서 배출된 인재들은

    본 연구소와의 공동연구 및 기술협력을 통해 우리나라 반도체기술을 세계 정상급 수준으로 끌어올리는

    중추적인 역할을 수행하고 있습니다.

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    Booth No. 12

    기 관 명 주식회사 아이브이솔루션 대 표 자 인용훈

    주 소 서울시 강남구 자곡로 174-10번지 강남에이스타워 1003호

    전 화 02-543-7773 팩 스 02-543-7040

    이 메 일 [email protected] 웹 사 이 트 http://www.ivsolution.co.kr/

    전 시 물 품 계측기

    회 사 소 개

    주식회사 아이브이솔루션은 세계적인 계측기기 업체인 TEKTRONIX 사의 KEITHLEY공인대리점, 그리고

    Probe-Station Total Solution업체인 MS TECH의 공인 대리점으로 각종 국책 연구소, 대학, 기업에

    Tektronix, Keithley의 계측기와 MS tech의 모든 제품을 공급하고 있습니다.

    저희 아이브이솔루션 임직원은 AC, DC, RF 분야에서 약 20년간 축적된 경험과 노하우를 바탕으로 고객

    여러분들의 실험과 연구 NEEDS에 맞는 최적의 측정 솔루션을 제공해 드릴 것을 약속 드립니다.

    Booth No. 13

    기 관 명 (주)아이작리서치 대 표 자 박형상

    주 소 대전 유성구 테크노 2로 340

    전 화 070-8810-4200 팩 스 042-671-7667

    이 메 일 [email protected] 웹 사 이 트 www.isacresearch.com

    전 시 물 품 포스터

    회 사 소 개

    ISAC Research사는 고객에 대한 서비스를 가장 중요한 가치로 여기는 사람들이 모여 설립한 산업용

    박막 증착 설비 전문 업체 입니다. 우리의 고객은 항상 새로운 가치를 추구하는 모든 분들입니다. 진공

    증착 분야의 생산 및 연구의 현장에서 필요한 설비를 개발 초기부터 상호 협력하에 고객의 Needs에

    맞도록 최적화해서 우수한 성능의 제품을 제공하는 것이 바로 우리의 일입니다.

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    Booth No. 14

    기 관 명 턴잇인코리아 유한회사 대 표 자 로버트 알렌 윌슨

    주 소 서울시 종로구 종로5길 7, 타워8빌딩 16층

    전 화 02-6465-0280 / 010-2682-6974 팩 스

    이 메 일 [email protected] 웹 사 이 트 Turnitin.com/ko

    전 시 물 품 교육연구용 소프트웨어

    회 사 소 개

    1996년 UC 버클리 교수, 수학자, 프로그래머가 합심해 표절 방지와 교육 발전을 위해 설립한 회사로,

    Elsevier, Springer, Wiley, Nature, Science 등 전 세계 권위 있는 출판사 및 학회와 독점 컨텐츠 파트너

    십을 맺고 있고, 학회의 편집위원이 투고 논문 검증을 위해 사용하는 바로 “그” 솔루션입니다. 학술자료

    및 140개국 15,000개의 기관에서 제출하는 10억 건의 글을 포함해, 가장 방대한 데이터베이스(DB)를

    바탕으로 전 세계에 신뢰도 높은 논문 유사도 검사 솔루션을 제공하는 회사입니다.

    Booth No. 15

    기 관 명 (주)실바코 코리아 대 표 자 황만규

    주 소 서울특별시 강동구 구천면로 140 (천호동) 스타시티빌딩 5F

    전 화 02-447- 5421 팩 스 02-447-5420

    이 메 일 [email protected] 웹 사 이 트 www.silvaco.co.kr

    전 시 물 품 공정 / 소자 시뮬레이터, SPICE 시뮬레이터, 레이아웃 / 스키매틱 시뮬레이터

    회 사 소 개

    실바코는 아날로그 및 mixed-signal IC 설계를 위한 EDA 소프트웨어를 제공하는 선도 업체입니다. 1984년

    창립 이래 TCAD 공정 및 소자 시뮬레이션, Spice 파라미터 추출, 회로 시뮬레이션 및 custom IC 디자인과

    검증에 필요한 솔루션을 공급하고 있습니다.

    미국 캘리포니아 본사 및 세계 11개 현지 법인에서 최상의 제품과 숙련된 인력으로 아날로그 반도체

    공정, 소자 및 디자인 자동화 솔루션을 제공함으로써, 전세계의 팹리스 업체, 집적 반도체 제조업체,

    파운드리, 대학에 폭넓은 고객층을 확보하고 있습니다.

    (주)실바코 코리아는 실바코의 한국 법인으로서, 1994년 창립 이래 대한민국의 산업 경쟁력 강화에

    이바지하고 있습니다.

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    Booth No. 16

    기 관 명 (주) 진성 Q&S 대 표 자 천동희

    주 소 경기도 화성시 정남면 제기길 163

    전 화 031-366-6460 팩 스 031-366-6461

    이 메 일 [email protected] 웹 사 이 트 -

    전 시 물 품 Quartz 및 Ceramic 제품 Sample, Heater 제품 Sample, 기타 Brochure 류

    회 사 소 개

    (주) 진성큐엔에스는 반도체, 디스플레이, 태양광 분야에 이용되는 Quartz, Ceramic 및 특수 소재 전문업

    체 입니다. 아울러 열처리 System 의 최적화를 요구하는 고객사를 위해 Tube Heater 와 Plate Heater

    를 개발하여 공급하고 있으며, 나아가 차세대 반도체 소재인 단결정 Nitrides 재료의 대중화를 위해

    세계 최대 용량의 Nitrides Template System 을 개발하였습니다.

    폐사는 “고객과의 약속”을 어떤 가치보다 소중하게 생각하며, 고객만족 실현을 위해 최선을 다하는 최고의

    파트너가 될 것입니다.

    Booth No. 17

    기 관 명 한국알테어 대 표 자 문성수

    주 소 경기도 성남시 분당구 대왕판교로 660 유스페이스1 A동

    전 화 070-4050-9210 팩 스 070-4050-9298

    이 메 일 [email protected] 웹 사 이 트 Blog.altair.co.kr

    전 시 물 품 CAE 솔루션 (소프트웨어)

    회 사 소 개

    한국알테어는 주력 제품인 개방형 CAE 엔터프라이즈 솔루션 하이퍼웍스(HyperWorks)를 비롯하여, 3D

    디자인 및 간편한 해석 시뮬레이션 도구와 시스템 제어 솔루션까지 포함된 통합 제품군 인스파이어

    (Inspire), 수준 높은 인력을 바탕으로 제공하는 CAE 컨설팅 서비스, 프로덕트 디자인(ProductDesign),

    효율적인 업무 관리를 위한 워크로드 매니저 PBS 웍스(PBS Works), 빅데이터 관리 및 IoT 솔루션 스마트

    웍스(SmartWorks) 제품으로 제조 단계에서의 혁신을 만들어내고 있습니다.

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    Booth No. 18

    기 관 명 (주)루엔소프트 대 표 자 유승호

    주 소 서울특별시 송파구 법원로 8길 13 헤리움 써밋타워 616,617

    전 화 02-6485-6701 팩 스 02-6008-6711

    이 메 일 [email protected] 웹 사 이 트 www.luensoft.co.kr

    전 시 물 품nextnano 반도체소자 시뮬레이터 / VirtualLab 광학시뮬레이터 /

    Lumerical 나노포토닉 시뮬레이터

    회 사 소 개

    - 루엔소프트는 2009년 설립된 이례 다양한 공학 소프트웨어와 엔지니어링 솔루션을 바탕으로 광학,

    전자, 포토닉스 등 분야의 최첨단 기술개발을 돕고 있습니다.

    - 현재 아래의 각 분야 대표 제품을 바탕으로, Physics simulation 기반의 소자 설계부터 전체 시스템에

    아우르는 폭넓은 시뮬레이션 환경을 국내 연구자 분들께 제공하고 있습니다.

    광 학 분야 – VirtualLab, 기하광학 및 파동광학을 모두 반영한 광학소자 및 시스템 시뮬레이션

    포토닉스 분야 – Lumerical, FDTD 및 FEM 기반 멀티피직스 실리콘 포토닉스 시뮬레이션

    반 도 체 분야 – nextnano, 양자역학적 물리현상을 고려한 반도체 나노소자 시뮬레이션

    Booth No. 19

    기 관 명 CS CLEAN SOLUTIONS AG 대 표 자 Georg Lipperer, Dr.Eckard Kopatzki

    주 소 Fraunhoferstr. 4, 85737 Ismaning, Germany

    전 화 +49-89-962400-141 팩 스 +49-89-962400-122

    이 메 일[email protected]

    [email protected]웹 사 이 트

    www.csclean.com

    www.clanetc.com

    전 시 물 품 CLEANSORB Dry Bed Type Scrubber, 고체상흡수제, CLEANSORB약제, 컬럼 etc

    회 사 소 개

    1986년 이래로 CS CLEAN SOLUTION은 전 세계 6,000여 고객에게 공정가스정화 시스템을 공급해왔으며,

    오랜 세월을 통해 얻은 Dry Bed Type Scrubber의 전문 지식 및 엔지니어링의 경험을 가지고 있습니다.

    가속화되는 지구 온난화 문제 및 환경친화적인 측면에서 유해가스 배출에 의한 대기 오염 문제를 저감하기

    위해 CS CLEAN SOLUTIONS의 Dry type Chemisorption는 온실가스 생성과 관련된 연료 및 전기를

    사용하지 않습니다. 이는 환경적인 측면에서 유해가스를 TLV 이하로 배출할 수 있는 아주 좋은 해결책입니다.

    한국에도(주) CLANET INC.이 환경보호를 위한 유해가스의 처리와 폐 가스 처리 과정에서 CLEANSORB의

    시장 확대/공급에 기여하고 있습니다. CLANET은 한국에서 CS CLEAN SOLUTION의 건식약제 스크러버

    판매 및 약제 충진(CLEANSORB refill) 서비스 제공을 담당하고 있으며, 현지에서 고객 상담 서비스를

    제공합니다. 당사의 모든 제품은 적절한 서비스를 통해 반영구 적으로 재사용 가능 하도록 설계되어 있습니다.

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    Booth No. 20

    기 관 명 큐알티 주식회사 대 표 자 김영부

    주 소 경기도 이천시 부발읍 경충대로 2091

    전 화 031-8094-8255 팩 스 031-8094-8239

    이 메 일 [email protected] 웹 사 이 트 http://www.qrtkr.com

    전 시 물 품 신뢰성 및 종합분석 관련 서비스 상담

    회 사 소 개

    큐알티 주식회사는 반도체, 전자부품 및 세트제품에 대한 신뢰성시험 및 종합분석서비스 전문회사로서,

    반도체 분야에서의 오랜 경험과 기술력을 바탕으로 ICT 및 전장 산업의 중요한 파트너로 자리매김하고

    있습니다. 40년 이상 축적해온 신뢰성 평가 기술과 전문 기술 인력을 바탕으로 품질경영체계(IS9001)를

    갖추고, KOLAS(한국인정기구)로부터 국내최초로 산업용 및 자동차용 반도체의 신뢰성시험규격

    (JEDEC/AEC)에 대한 국제공인시험기관 인증을 획득하여, 신뢰성시험 분야의 독보적인 위상을 갖추게

    되었습니다. 또한, 부설연구소를 설립하여 시험분석 기술개발과 고객맞춤 시험분석 솔루션을 지원, 무연솔

    더(Pb-free)적용 자동차전장부품에 대한 신뢰성평가 및 분석서비스(ES규격 등)를 제공하여 고객사 품질경

    쟁력확보를 지원하고 있습니다. 현재 경기도 이천본사를 중심으로 청주, 구미 지사 운영을 통해 전국적인

    서비스망 구축하였고 광교테크노밸리 內에 종합분석 Open Lab.을 설립하여 다양한 분석 서비스를 제공하

    고 있으며, 해외 customer 밀착지원을 통한 서비스 품질향상 및 global 경쟁력을 갖추기 위해 2017년

    중국 법인 설립을 시작으로 해외 시장 개척에 나서고 있습니다.

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    Ⅵ. 기조강연

    The 26th Korean Conference on Semiconductors

    기조강연 1

    일시: 2019년 2월 14일(목), 14:10-15:00

    장소: 체육관 (3층, 본관 외부)

    “신경계와 반도체 집적회로”

    박병국 교수

    서울대학교

    강연개요:

    현재 인공지능은 자연의 신경계를 모방한 신경망의 활용을 통해 비약적인 발전을 이루었지만

    아직 인간 뇌의 에너지 효율에는 훨씬 못 미치는 수준이다. 에너지 효율의 획기적인 제고를

    위해서는 소자 수준에서부터 회로, 시스템에 이르기까지 하드웨어의 전반적인 혁신이

    요구되며, 이를 달성하기 위한 노력과 방법론에 대하여 살펴본다.

    Biography

    학력서울대학교 전자공학과 학사 (1982)

    서울대학교 전자공학과 석사 (1984)

    Stanford 대학교 Electrical Engineering 박사 (1990)

    경력1990-1992 : AT&T Bell 연구소 연구원

    1993-1994 : Texas Instruments 연구원

    1994-1998 : 서울대학교 전기공학부 조교수

    1998-2004 : 서울대학교 전기공학부 부교수

    2004-현재 : 서울대학교 전기공학부 교수

    2002, 2010 : Dept. of EE, Stanford University Visiting Professor

    2008-2010 : 반도체 공동연구소 소장

    2014 : IEEE Seoul Section Chair

    2015 : 대한전자공학회 회장

    2017-현재 : 공학한림원 정회원

    학술 활동International Electron Devices Meeting, Program Committee, (2001-2002)

    Silicon Nanoelectronics Workshop, Program Chair/General Chair, (2005, 2007)

    International Conference on Solid State Devices and Materials, Program Committee, (2005-2009)

    ITC-CSCC, Program Chair/General Chair, (2007, 2011)

    ICEIC, General Chair, (2015)

    AWAD, General Chair, (2009)

    IEEE Electron Device Letters, Editor, (2009-2012)

    Journal of Semiconductor Technology and Science, Editor-in-Chief, (2012-2014)

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    논문 및 특허428편의 SCI 저널을 포함한 453편의 논문지 논문, 528편의 국제학술대회 논문을 포함한 859편의 학술대회

    논문, 45건의 미국 특허, 3건의 일본특허, 107건의 국내 특허 등록

    수상2003 : 도연 창조상 / 서울대학교 반도체공동연구소

    2006 : 훌륭한 공대교수상(교육상) / 서울대학교 공과대학

    2008 : 해동 학술상 / 대한전자공학회, 해동학술재단

    2008-2012 : 우수연구상 / 서울대학교 공과대학

    2013 : 나노코리아 나노연구혁신상 / 미래창조과학부 장관

    2015 : 학술연구상 / 서울대학교

    2017 : 강대원상 / 한국반도체학술대회

    Abstract

    Currently, artificial neural networks (ANNs) are implemented by software executed in digital hardware.

    Although hardware accelerators such as neural processing units (NPUs) and tensor processing units

    (TPUs) are developed for ANNs that require massively parallel processing, their energy efficiency is

    much lower than that of biological neural networks.

    In order to improve the energy efficiency drastically, radical change in the hardware encompassing

    devices, circuits and systems is inevitable. It is important to develop the building blocks - synaptic

    devices and neuron circuits - and to select appropriate neural network architectures. Synaptic devices

    based on flash memory and resistive memory cells demonstrate promising performances in low energy

    consumption and gradual change of characteristics. Various neuron circuits have been developed

    on the basis of “integrate-and-fire” circuit, which implements spike-timing dependent plasticity (STDP)

    known as the learning mechanism of biological neural networks and achieves high energy efficiency.

    On the foundation of these building blocks, we can build a neural network system that exploits

    synaptic weights obtained by backpropagation and normalization. Utilizing appropriate weight

    conversion and well-tempered neuron parameters, we have confirmed that such a system can achieve

    very high accuracy in classification of representative datasets such as MNIST, SVHN, and CIFAR-10.

    Furthermore, we would like to establish a framework in which we can extend the described method

    to the general neural network applications beyond classification.

    [Acknowledgement] This work was supported in part by the Nano Material Technology Development

    Program through the National Research Foundation (NRF) of Korea funded by the Ministry of Science,

    ICT and Future Planning (MSIP) under Grant No. 2016M3A7B4910348, and in part by NRF of Korea

    funded by MSIP with Grant No. 2018R1A2A1A05023517.

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    기조강연 2

    일시: 2019년 2월 14일(목), 15:10-16:00

    장소: 체육관 (3층, 본관 외부)

    “자율주행과 차량용 반도체의 미래 동향”

    이승수 대표이사

    인피니언 테크놀로지스 코리아(주)

    강연개요:

    전세계 자율주행 경향과 이에 대비한 차량용 반도체 개발 현황을 분석하여 미래 방향을

    제시 및 국내 반도체인들에게 바라는 내용을 제안한다.

    Biography

    학력Computer Electronics 석사 1992년

    인디애나 주립대학교, 미국

    Computer Science 학사 1990년

    미시간 주립대학교, 미국

    경력인피니언 테크놀로지스 코리아 (2011년 2월-현재)

    : 대표이사 겸 오토모티브 사업부 총괄

    인피니언 테크놀로지스 코리아 (2007년 7월-2011년 1월)

    : 오토모티브 사업부 총괄 상무

    프리스케일 반도체 (모토로라 코리아) (2000년 3월-2007년 7월)

    : 현대자동차, 중앙연구소 (1993년 3월-2000년 3월)

    : AllTell Telecommunication Co. (Sprint), 미국 (1992년 3월-1993년 3월)

    Abstract

    전세계가 자율주행차를 기대하고 있습니다. 운전은 첨단 기술에 맡기고 이동하면서 편하게 차 안에서 사무도

    보고 음악도 듣고 휴식을 취하면서 목적지까지 안전하게 갈 수 있기를 바라고 있습니다. 이런 자율주행차가

    상용화되기 위해서는 여러가지 기술 및 사회적 문제들이 선결되어야 하겠지만, 이미 현실로 다가오고 있습니다.

    기술적 측면에서 자율주행차 시대는 반도체, 전자, 소프트웨어의 융복합으로만 가능합니다. 특히 반도체는

    차량용으로 고성능, 고안전 요구 사양을 충족하면서 미래 자동차의 기술혁신을 이끌고 있습니다.

    차량용 반도체가 미래자동차 즉, 자율주행차와 친환경차 및 커넥티드카 구현에 어떻게 기여하고 있는지

    말씀드리고자 합니다.

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    Ⅶ. 구두 / 포스터 발표 안내

    The 26th Korean Conference on Semiconductors

    구두 발표 안내

    발표 요령 - 발표자는 해당 세션 시작 15분전까지 좌장 및 진행요원에게 도착여부를 알리시기 바랍니다.

    - 일반논문 발표시간은 발표 12분, 질의응답 3분으로 총 15분입니다.

    - 초청논문은 발표 27분, 질의응답 3분으로 총 30분입니다.

    발표자료 제출 세션 시작 15분전까지 해당 세션장의 진행요원에게 발표자료(PPT)를 전달하여 주시기 바랍니다.

    발표자료 준비 모든 발표장에는 LCD프로젝트와 노트북이 준비되어 있으며, 구두발표자께서는 세션 시작되기

    15분 전, 해당 세션장의 진행요원의 도움을 받아 파일 세팅을 필히 진행하여 주시기 바랍니다.

    포스터 발표 안내

    일시: [TP1] 2019년 2월 14일(목), 16:10-17:40

    [FP1] 2019년 2월 15일(금), 14:00-15:30

    장소: 그랜드볼룸, 2층

    포스터 규격 가로 0.9m × 세로 1.2m

    발표자료 준비 - 포스터는 아래 발표일자에 따른 부착시간 확인하시어 필히, 본인 발표코드가 부착되어

    있는 판넬에 부착해 주시기 바랍니다.

    - 포스터 부착 시 필요한 테이프, 칼, 가위 등은 세션장에 비치되어 있습니다.

    - 부착시간 내에 미 부착 되어있는 포스터는 심사가 불가할 수 있으니, 필히 부착시간 내에

    부착해 주시기 바랍니다.

    세션기호 세션일자 부착시간 발표시간

    TP1 2 월 14 일(목) 09:00-14:00 16:10-17:30

    FP1 2 월 15 일(금) 09:00-12:00 14:00-15:20

    발표요령 - 세션이 진행되는 동안 현장 우수포스터

    선정을 위해 포스터 심사가 진행되오니,

    본인의 포스터논문 옆에 상주하시어

    질의응답 시간에 임해 주시기 바랍니다.

    - 현장에서 심사위원의 심사를 통해

    분과 별로 우수 포스터를 선정합니다.

    포스터보드

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    포스터세션 배치도

    ※ 포스터 부착에 필요한 테이프 및 가위, 칼은 세션장 내 테이블에 비치되어 있습니다.

    세션기호 세션일자 부착시간 발표시간

    TP1 2월 14일(목) 09:00-14:00 16:10-17:30

    FP1 2월 15일(금) 09:00-12:00 14:00-15:20

    [TP1] 2월 14일(목)

    분과 코드번호

    A 001~014

    C 015~041

    D 042~095

    H 097~112

    K 113~142, 247

    N 143~150

    P 151~177

    S 178~249

    [FP1] 2월 15일(금)

    분과 코드번호

    B 001~014

    E 015~034, 249

    F 035~074

    G 075~103

    I 104~129

    J 130~175

    L 176~194

    M 196~205

    O 206~224

    Q 225~239

    R 240~248

  • THE 26th KOREAN

    CONFERENCE ON SEMICONDUCTORS

    ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    구두 포스터발표 안내

    2019년 2월 14일(목)

    제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    K. Memory (Design & Process Technology) 분과

    2019년 2월 14일(목), 09:00-10:45

    Room A (아라홀, 2층)

    [TA1-K] Neuromorphic Computing

    좌장: 강명곤 교수(한국교통대학교), 김수길 수석(SK하이닉스)

    TA1-K-109:00-09:30

    [초청]

    Memory-Centric Neuromorphic Processor Design

    Vladimir Kornijcuk1, Jaewook Kim1, Joon Young Kwak1, Jongkil Park1,

    and Doo Seok Jeong2

    1Center for Electronic Materials, KIST, 2Division of Materials Science and Engingeering,

    Hanyang University

    TA1-K-209:30-09:45

    Proton–Based Three–Terminal Synapse Device with Analog Conductance Change and

    Linear I-V Characteristics for Neuromorphic Systems

    Jongwon Lee, Myonghoon Kwak, Seokjae Lim, and Hyunsang Hwang

    Center for Single Atom-based Semiconductor Device, and also Department of Material

    Science and Engineering, POSTECH

    TA1-K-309:45-10:00

    Influence of the Oxygen Content in IGZO on the Pattern Recognition Rate in

    Pd/IGZO/p+-Si Memristors

    Donguk Kim, Jun Tae Jang, Jungi Min, Jingyu Park, Dong Myong Kim, Sung-Jin Choi,

    Hyun-Sun Mo, and Dae Hwan Kim

    School of EE, Kookmin University

    TA1-K-410:00-10:15

    Two-Terminal Perpendicular Spin-Transfer-Torque(p-STT) Based Neuron with p-STT

    Magnetic-Tunneling-Junction (MTJ) Spin-Valve

    Sunhwa Jung2, Kei Kondo1, Jin-Young Choi1, Jong-Ung Baek2, Han-sol Jun2,

    Tae-Hun Shim1, and Jea-Gun Park1,2

    1Department of Electronics and Computer Engineering, Hanyang University, 2Department of Nanoscale Semiconductor Engineering, Hanyang University

    TA1-K-510:15-10:30

    Development of Self-Rectifying TaOy/Nanoporous TaOx Memristor Synapse for

    Suppressing Non-Neural Signal in the Large-Scale Neuromorphic Array System

    Sanghyeon Choi1, Seonghoon Jang1, Jung-Hwan Moon2, Jong Chan Kim3,

    Hu Young Jeong3, Peonghwa Jang2, Kyung-Jin Lee1,2, and Gunuk Wang1

    1KU-KIST Graduate School of Converging Science and Technology, Korea University, 2Department of Materials Science and Engineering, Korea University, 3UCRF and School of Materials Science and Engineering, UNIST

    TA1-K-610:30-10:45

    Effects of Pulse Amplitude on Conductance Change of TiOx-Based Synaptic Devices

    Tae-Hyeon Kim, Min-Hwi Kim, Suhyun Bang, Dong Keun Lee, and Byung-Gook Park

    Department of Electrical and Computer Engineering, IISRC, Seoul National University

  • 제 26회 The 26th Korean Conference on Semiconductors

    한국반도체학술대회

    G. Device & Process Modeling, Simulation and Reliability 분과

    2019년 2월 14일(목), 09:00-10:45

    Room B (마루홀, 2층)

    [TB1-G] Advanced Devices I : Multi-Gate Transistors

    좌장: 홍성민 교수(GIST), 나현철 상무(DB하이텍)

    TB1-G-109:00-09:15

    High Temperature Characterization of High-K/Metal-Gate 2-Stacked Gate-All-

    Around Nanowire FET Versus FinFET

    Soo Hyun Kim1, Dong Hyun Kim1, Dong Geun Park1, Doyoung Jang2, and Jae Woo Lee1

    1ICT Convergence Technology for Health & Safety and Department of Electronics and

    Information Engineering, 2Imec, Belgium

    TB1-G-209:15-09:30

    Stress Analysis of Sub-7 nm P- and NMOS Gate-All-Around Transistor Processes on

    Si Bulk and SiGe-On-Insulator Substrates

    Ji Hwan Lee, Kihwan Kim, and Saeroonter Oh

    Department of Electrical Engineering, Hanyang University

    TB1-G-309:30-09:45

    Logic Performance of Multi-Stacked Gate-All-Around CMOS Transistors with Strain

    Incorporation

    Kihwan Kim, Ji Hwan Lee, and Saeroonter Oh

    Department of Electrical Engineering, Hanyang University

    TB1-G-409:45-10:00

    Threshold Voltage Variation Induced by Source/Drain Mole Fraction and Si/SiGe

    Intermixing of Silicon Nanosheet Field-Effect Transistors

    Jinsu Jeong, Jun-Sik Yoon, Seunghwan Lee, and Rock-Hyun Baek

    Department of Electrical Engineering, POSTECH

    TB1-G-510:00-10:15

    Layout Effect Analysis on Sub-10nm bulk-FinFET’s Thermal Behaviors with

    Pre-existing EDA Software

    Yoongeun Seon1, Jaemin Han1, Minsik Choi1, Heesauk Jhon2, and Jongwook Jeon1

    1Department. of E.E Engineering, Konkuk University, 2Department of E.I.C. Engineering,

    Mokpo National University

    TB1-G-610:15-10:30

    Physics Based Compact Model of Low-Frequency Noise for Gate-All-Around MOSFETs

    Boram Yi1, Geun Soo Yang1, Sylvain Barraud2, Laurent Bervard1, Jae Woo Lee1,

    and Ji-Woon Yang1

    1Department of Electronic & Information Engineering, Korea University, 2University of Grenoble Alpes, CEA-LETI, Minatec Campus, France

    TB1-G-710:30-10:45

    Low Frequency Noise Variability Analysis Depending on Epi-Source/Drain in GAA

    (Gate-All-Around) FET

    Geun Soo Yang1, Dong Hyun Kim1, Dong Geun Park1, Soo Hyun Kim1, Jung Chun Kim1,

    Sylvain Barraud2, Laurent Bervard2, and Jae Woo Lee1

    1ICT Convergence Technology for Health & Safety and Department of Electronics and

    Information Engineering, 2University of Grenoble Alpes, CEA-LETI, Minatec Campus,

    France

  • ‘Smart Semiconductor in IoT and 4th Industrial Revolution’

    F. Silicon and Group-IV Devices and Integration Technology 분과

    2019년 2월 14일(목), 09:00-10:30

    Room C (가람홀, 2층)

    [TC1-F] Advanced Integration Technology and Photonics

    좌장: 김춘환 상무(SK하이닉스), 정성웅 연구위원(SK하이닉스)

    TC1-F-109:00-09:30

    [초청]

    Silicon Photonics Lasers and Photodetectors for Communications and Sensing

    Il-Sug Chung

    School of Electrical and Computer Engineering, UNIST

    TC1-F-209:30-09:45

    Effective Work Function Modulation Using Dipole Mechanism with Al2O3 on HfO2

    Atomic Layer Deposition

    Munhyeon Kim1,2, Sihyun Kim1, Kitae Lee1, Soyoun Kim1, Junil Lee1, Hyun-Min Kim1,

    Ryoongbin Lee1, Sangwan Kim3, and Byung-Gook Park1

    1Department of Electrical and Computer Engineering, Seoul National University, 2Samsung Electronics Semiconductor R&D Center, 3Department of Electrical and Computer Engineering, Ajou University

    TC1-F-309:45-10:00

    The Lowest On-Resistance and Robust 0.13μm BCD Technology Implementation

    Utilizing HTO Field Plate for Mobile Market

    Kuemju Lee, Daehoon Kim, Jaeeuk Kim, Junghun Choi, Jaehee Lee, and Inwook Cho1Technology Development office, SK Hynix

    TC1-F-410:00-10:15

    Reduction of Contact Resistance between NiSi and n/p-Si Using Ho Interlayer

    Sunil Babu Eadi1, Jeong Chan Lee1, Jungwoo Oh2, and Hi-Deok Lee1

    1Department of Electronic Engineering, Chungnam National University, 2School of

    Integrated Technology, Yonsei Institute of Convergence Technology, Yonsei University

    TC1-F-510:15-10:30

    Low-Temperature Hybrid Dopant Activation Technique Using Pulsed Green Laser for