Bai Giang Dklg & Plc (2012!08!22)

download Bai Giang Dklg & Plc (2012!08!22)

of 145

Transcript of Bai Giang Dklg & Plc (2012!08!22)

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    1/145

    1

    Tun Ni dung Gio trnh BT, TN,

    1

    Chng I: Khi nim v iu khin Logic

    1.1Khi nim v iu khin Logic1.2M hnh ha cc h thng ri rc

    1.2.1 i s Bool1.2.2 Automat hu hn

    2

    1.2.3 Petri net

    1.2.4 State Charts1.2.5 StateFlow1.2.6 GRAFCET

    3

    1.3Chun IEC 61131 v cc b iu khin lp trnhc1.3.1 PLC v ngn ng lp trnh theo chun

    1.3.2 Cc cng c t cu hnh1.3.3 n v t chc chng trnh

    1.3.4 Phng php cu hnh c bit1.3.5 T chc PLCopen

    4

    Chng II: Mch logic t hp

    2.1 nh ngha v phn loi

    2.2 Tng hp mch logic t hp

    2.2.1 Phng php i s

    2.2.2 Phng php ma trn Cc n

    Lm bi tp

    5 2.2.3 Phng php Quine Mc. Clusky

    6 Chng III: Mch logic tun t3.1 Khi nim c bn v mch logic tun t

    73.2 Tng hp mch logic tun t

    3.2.1 Phng php ma trn trng thiLm bi tp

    83.2.1 Phng php ma trn trng thi (tip)

    3.2.2 Phng php GRAFCETLm bi tp

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    2/145

    2

    9 3.2.2 Phng php GRAFCET Lm bi tp

    10

    Chng IV: Tng quan v PLC

    4.1 Gii thiu v PLC

    4.2 Cu trc phn cng

    4.3 Hot ng ca PLC

    Lm th nghim

    11 4.4 Cc lnh trong PLC Lm th nghim

    12

    Chng V: K thut lp trnh PLC

    5.1 Thit k chng trnh da vo lu

    5.2 Thit k chng trnh da vo trng thi

    Lm bi tp v

    th nghim

    135.3 K thut ghi dch

    5.4 S dng biu chc nng tun t (SFC)

    Lm bi tp vth nghim

    14Chng VI: Ghp ni v truyn thng vi PLC

    6.1 Cc thit b vo raLm th nghim

    156.2 Ghp ni vi PLC

    6.3 Truyn thng vi PLC

    Chng VII: M hnh ha cc s kin ri rc

    7.1 FSM Done

    7.2 Petrinet - Done

    7.3 State Charts & State Flow

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    3/145

    3

    CHNG 1: KHI NIM CHUNG V IU KHIN LOGIC

    1.1Khi nim v KLG

    Mt h thng c th c coi l mt t hp cc b phn tng tc ln nhau,c t chc thc hin mt mc tiu no , thng thng l t c gi trgia tng - thng qua qu trnh thay i cc tnh cht vt l, ha hc hay sinh hc, spt li v tr, trao i thng tin trn cc loi nguyn liu th, nng lng, bn thnh

    phm, sc lao ng trong cc iu kin mi trng nht nh. Nu nh cc qu trnhxy ra trong cc iu kin nht nh u tun theo nhng quy lut ha l hay sinh hcca chnh n th m bo kt qu ca qu trnh l cc sn phm mong mun ta cth tc ng vo cc iu kin ny. Vic tc ng vo cc iu kin qu trnh xyra nh mong mun gi l iu khin. thc hin vic iu khin cn phi theo di

    cc i lng thay i lin quan n bn thn qu trnh v cc tham s th hin cciu kin m qu trnh ang xy ra thng qua cc thit b o nh cc sensor. Cc ktqu mong mun c th hin nh cc lng t. Nh vy iu khin lin quan nvic tip nhn cc gi tr lng t, cc gi tr ca cc tham s, cc gi tr lin quann i lng thay i theo qu trnh, x l cc thng tin ny theo mt quy lut no, sau a ra cc tn hiu tc ng ln qu trnh.

    Nu theo di qu trnh theo thi gian c th phn loi qu trnh thnh loi lintc v loi ri rc. Qu trnh l lin tc nu c th xc nh hoc m t c cc ilng lin quan n qu trnh mi im theo thi gian, v d qu trnh thay i nhit, thay i p sut, cc phn ng ha hc, Qu trnh l ri rc nu ch c th bitc gi tr cc i lng lin quan n qu trnh nhng thi im nht nh theothi gian hoc thm ch khng bit c thi im xut hin ca chng. Loi sau nygi l cc s kin. S kin ch cho thy s hin din ca chng khi chng xy ra.

    c th iu khin, khng ch c cc qu trnh, mt vn quan trng lc th c c m t ton hc ca chng. S dng cc cng c ton hc m t ccqu trnh gi l m hnh ha. y chnh l qu trnh dng t duy tru tng m tcc qu trnh. Vi t duy tru tng mt s qu trnh c bn cht rt khc nhau li c

    th c m t bi cc m hnh ging nhau, do c nghin cu bng cc cng cton hc ging nhau.Cc qu trnh lin tc c th c m t bi h phng trnh vi phn. Nh php tnhvi phn c th m t c s thay i ca cc i lng quan tm trong nhng khongthi gian nh ty . Cc h thng lin tc l i tng nghin cu ca l thuyt iukhin t ng. c bit i vi lp cc h thng tuyn tnh, c m t bi h phngtrnh vi phn tuyn tnh, cc phng php v cng c nghin cu c pht trinv ng dng t lu nay.

    i vi cc h thng ri rc, vi cm nhn ban u, c th ngh rng vic m t

    v nghin cu chng s d dng hn. Mt trong nhng cch tip cn ngy th nht ivi h thng ri rc l c th lit k c ht nhng p ng c kh nng xy ra. V

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    4/145

    4

    d mt chic qut in thng thng c th trng thi chy hoc dng. Qut c thchy nu ta ng cng tc cp ngun in cho ng c qut. Qut s dng nu ta ctcng tc cp in cho ng c. Hnh dung v chic qut s phc tp dn ln nu bxung thm nhng trng thi thc t khc. Ch chy c th cn phn bit thm chy

    tc no, n gin nht c th l qut c ba cp tc , 1, 2, 3. Khi trong ch chy c th c ch thay i hng gi. Gia cc cp tc c nh c th c ch chuyn gia tc ny sang tc khc v ngc li phng theo ch gi tnhin, gy cm gic d chu. Nu hnh dung chic qut ny lp t mt v tr xangi s dng hoc y l mt chic qut to nn s thay i p sut khng khtrong mt dy chuyn cng nghip s cn c mt b phn cm bin gi nhn bitqut c thc s hot ng hay khng khi cng tc ngun ng. trnh b nhhng khi in p li c s thay i bt thng tn hiu t b cm bin gi (cm

    bin p sut khng kh) ch thc s c x l sau mt khong thi gian nht nh, v

    d sau 30s. Nhng bin ng nhy, mt in p ngun ngn 5 10s s khng nhhng g n trng thi hot ng ca qut. R rng l m t h thng xc thchn, s lng cc trng thi s tng ln nhanh chng. Thc t, mt trong nhng khkhn ln khi nghin cu cc h ri rc l s lng cc trng thi qu ln, n mc chcn lit k ra chng l khng th, ngay c vi s tr gip ca cc my tnh hin i.

    Khi nim v iu khin logic (Logic Control) lin quan n cc h thng rirc, trong p ng ca qu trnh ch c th bit c nhng thi im nht nhtheo thi gian hoc khi nhng s kin xy ra. Thi im s kin xy ra c th hon

    ton khng bit trc c. p ng ca h thng c th chu s nh hng ca tnhtrng trc cng nh ca cc s kin nn cc h thng loi ny cn gi l h phnng hay h tng tc (Reactive Systems). iu khin logic c th hiu l s la chnhay s a ra quyt nh, trong nhng hon cnh nht nh, trong nhng iu kinnht nh, cch tc ng vo qu trnh c c p ng nh mong mun.Trong thc t nhng tc ng vo cc h ri rc c phm vi rt rng, t ra nhng vn cn gii quyt cho qu trnh iu khin, c th k ra sau y:

    - H thng c th phi trong cc ch lm vic khc nhau, tun theo lnh

    iu khin t bn ngoi. Ch n gin nht c th thy l chy (Start), dng(Stop).

    - H thng c th phi chuyn t ch ny sang ch khc theo mt trnh tnht nh, xc nh bi mt s iu kin nht nh. Cch thc hot ng ny rt

    ph bin i vi cc qu trnh cng nghip. V d khi ng mt dychuyn cng ngh lun i hi nhng trt t cht ch, b phn no phi chy

    trc, b phn no phi chy sau, cng nh tun th qu trnh tng tc theo quylut nht nh. iu tng t cng xy khi cn dng dy chuyn li.

    - m bo qu trnh xy ra theo cc trnh t v thi gian nht nh. m bo tnhng b l v d v nhim v kiu ny.

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    5/145

    5

    - Tng tc gia cc b phn. Trong mt h thng cc b phn c tng tc ln

    nhau, ch hot ng khu ny c th to ra nhng iu kin nht nh quynh hot ng ca cc b phn khc. m bo nhng mi quan h ny trongcc h thng phn tn, kch c ln l mt nhim v khng h d dng.

    - Phn ng tc thi trc mt s s kin. S kin c th tham gia vo cc hotng bnh thng, v d nh mt chuyn ng n mt cng tc cui hnhtrnh, cn phi dng li hoc chuyn ng ngc li, lnh iu khin n khi

    mt nt bm chc nng no tc ng, S kin thng tham gia m boan ton cho qu trnh nh khi bnh rng truyn chuyn ng b v, vt l thm

    nhp khu vc nguy him, hoc can thip tc thi ca ngi vn hnh n ntdng khn cp khi thy c hin tng bt thng.

    iu khin logic c nghin cu trong nhiu lnh vc:- K thut tnh ton (Computer Science);- Lp trnh (Programming);

    - M phng (Simulation);- Truyn thng (Communication);

    - Cc h thng iu khin cng nghip (Industrial Control).

    y s ch quan tm n nhng vn ca iu khin logic trong cc h thng iu

    khin cng nghip, trong ngi k s cn thit k h thng iu khin m boqu trnh xy theo ng quy trnh cng ngh yu cu, hot ng an ton, tin cy, vihiu qu cao nht. Tnh hiu qu c th hin bi s kt hp mt cch tit kim hthng trang thit b trong khi m bo c xc sut gia nhng ln dng my nh(Mean Time Between Failures), my mc d vn hnh, d sa cha, tit kim nnglng, Nhng thc o hiu qu ca h thng thit b my mc c th khng dnh gi ngay t khu thit k ban u nhng c tnh nh hng cho ngi k s khitip cn cc vn i vi mt h thng iu khin cng nghip.

    Nhim v thit k mt h thng iu khin logic tun theo cc bc ging nh cc

    nhim v thit k bt k no, l:

    1. Phn tch qu trnh lm r cc yu cu v cng ngh mong mun;2. Trn c s cc yu cu cng ngh mong mun cn m t c h thng

    bng ngn ng k s ph hp. Ngn ng k s y c hiu l mt cng c m tc th no m da trn kt qu m t h thng, gi l m hnh, c th chuyn mhnh ny sang dng ng dng c thng qua cc thit b phn cng cng vi cc

    phn mm cn thit;

    3. M phng h thng. Vi nhng h thng phc tp hoc quan trng khngth d dng xy dng ngay cc thit b th nghim, c th l do qu t tin hoc qu

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    6/145

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    7/145

    7

    s la chn cc tc ng cn thit trong mt t hp rt ln cc tn hiu u vo thhin qua cc hm logic. Cc cng c phn tch ca i s Bool cho php ti thiu hacc phn t logic cn thit xy dng nn cc b iu khin logic hu hiu l c scho nhng ng dng thc t ca l thuyt ny.

    i s Bool l c s xy dng nn cc mch in tnh ton, cc vi mchlogic phc hp cao (Complex Programmable Logic Device CPLD) hay cc mcht hp logic c th lp trnh c (Flexible Programmable Gate Array FPGA), ngycng c ng dng rng ri.

    Tuy nhin tru tng ha n mc coi mt ci g ch l ng hoc sai s lqu khin cng khi m t cc qu trnh thc t hoc s dn n phi phn chia qutrnh ra qu nhiu mc chi tit n mc khng th phn tch ni. b p nhngkhim khuyt ny lnh vc i s logic pht trin ln cc hng chuyn su mi llogic m (Fuzzy Logic) v mng nron. Cc bc pht trin cao hn ca logic t ra

    c nhng ng dng thc t quan trng v cn n nhng nghin cu chuyn su,ngoi phm vi mun cp n y.Vi s pht trin ca k thut my tnh con ngi c nhng cng c hu hiu khc m t mt cch tru tng nhng qu trnh thc t m khng phi da trn t duykiu ton hc. l nhng cng c t duy bng ngn ng hay bng hnh nh. ymun ni n cc cng c m hnh ha cc qu trnh mt cch trc gic thng quacc loi th.

    1.1.2 Automat hu hn (Finite State Machine - FSM)

    Automat hu hn hay l my trng thi hu hn dng ngn ng hnh nh, didng th m t cc qu trnh [2]. Cc trng thi (state), th hin di dng thl mt hnh khp kn, ch nht hay trn, c th gi chung l qu bng. Ni gia cctrng thi l cc mi tn ch kh nng chuyn t bc ny sang bc khc(Transition). th ny c gi l th trng thi (State graph). Mi mi tn ngvi mt iu kin logic cn kim tra hay l cc tn hiu u vo. FMS hot ng btu t mt trng thi ban u, qua cc bc chuyn ph thuc vo cc iu kin logicc cho php hay khng, n mt trng thi bt k no nu c th. Nhng trng thic th n c t trng thi ban u trong th gi l trng thi c php. FMS cth a ra tc ng u ra ph thuc vo cc u vo v trng thi hin ti. Nu nhs cc trng thi v gn cho cc iu kin logic cc mc logic 0,1, c th biu dinFMS di dng bng, rt thun li cho biu din di dng k t, c th chuyn tmi trng son tho ny sang mi trng khc mt cch d dng.

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    8/145

    8

    HnhError! No text of specified style in document..1 V d v FSM m hnh

    b iu khin thang my.

    V d FSM cho trn hnh 1.1. Gi s c b iu khin thang my, ch c haitng, tng 1: First; v tng ngm: Floor. C hai lnh chuyn ng, ln: Up; v xung:Down. B iu khin c hai n ch th, n ch tng ngm: Red; v n xanh chtng 1: Green. Mi n s sng khi thang tng tng ng. th trn hnh 1.1 gmhai qu bng ch hai trng thi Floor v First. Tn hiu u vo l hai lnh Up, Down.Hnh ng cn thc hin khi cc trng thi l lm sng n tng ng v tt nkia. mi thi im b iu khin s theo di tn hiu u vo v thc hin bt, ttn nh mong mun.

    Nu coi u vo: Up = 1; Down = 0;Trng thi: Floor = 0; First = 1;n (tn hiu ra): On = 1; Off = 0,C th lp bng trng thi nh sau:

    BngError! No text of specified style in document.-1 Bng trng thi caFSM trn hnh 1.1.

    Trng thi u vo Trng thi tiptheo

    n Red

    n xanhGreen

    0 0 0 1 00 1 1 0 11 0 0 1 01 1 1 0 1

    FSM c th m t h thng mt cch hu hiu, v c ng dng trong thit k

    cc mch in t s, cc th tc truyn thng [3]. Cc phn mm thit k h thng sngy nay cho php khai bo cc FMS phc tp v t ng ha qu trnh chuyn t m

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    9/145

    9

    hnh sang ng dng trn mch in t rt thun tin. Trong cc h thng t ng haphc tp State graph rt hay c dng, c th khng phi thit k m l m thot ng v cc tng tc gia cc b phn ca h thng.

    Mc d FSM c cng c ton hc c s l l thuyt th, n cng t ra cn

    nhiu hn ch, l mi trng thi c coi l khng chia nh ra c na, dn ns trng thi c th l rt ln cng nh l cc bc chuyn gia chng.

    1.1.3

    Petri Net

    Petri Net, c pht minh bi Carl Adam Petri nm 1939 dng m t ccqu trnh ha hc, l mt ngn ng ton hc m hnh ha cho cc h thng phn tn[7]. Petri Net l mt th c hng, trong mi nt ca th th hin bcchuyn (transition, cc s kin c th xy ra), k hiu bng mt gch ng, v cc v

    tr (ngha l cc iu kin), k hiu bng vng trn nh. Nhng cung c hng, khiu bng cc mi tn, ni cc nt vi cc v tr v ngc li, th hin cc v tr chun b cc iu kin cho cc bc chuyn xy ra. Cc cung khng bao gi ni giacng cc v tr cng nh cng cc bc chuyn.Cc cung ni t v tr n bc chuyn gi l cung u vo. Cc cung ni bcchuyn vi v tr gi l cc cung u ra.

    Ti cc v tr c mt s lng cc token no . S phn b cc token ti cc vtr gi l s nh du. Bc chuyn s xy ra nu c token tt c cc cung u vo.Khi chuyn cc token s b a sang u cui ca tt c cc cung u ra. Khi c kh

    nng chuyn, tt c cc bc chuyn u c kh nng chuyn nh nhau, tuy nhinkhng th bit l ci no s chuyn v mi ln ch c mt token c chuyn i. Vcc token c th phn b cc v tr khc nhau, thm tr nhiu token ti mt v tr, nndo tnh khng th bit trc ca m hnh PetriNet m hnh ny c th m t rt tttnh trng tranh chp (concurrent) ca h thng phn tn.

    HnhError! No text of specified style in document..2 V d v Petri Net.

    Ging nh cc ngn ng dng hnh nh khc Petri Net cho php m t bng

    th cc qu trnh nhy cp, bao gm la chn, suy lun v cc hot ng tranh chp.

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    10/145

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    11/145

    11

    HnhError! No text of specified style in document..3 V d Statecharts v ch

    ng h bm gi.

    Tng t nh Statecharts nhng pht trin thnh ngn ng m t tiu chun lUML (Unified Modelling Language) [9] c s dng rng ri trong m hnh hav thit k cc h tng tc kch c ln, trong lp trnh cho cc ng dng nhng vcc h iu khin ni chung.c bit Stateflow do Matlab pht trin, cng l mt dng ca Statecharts, a ra khnng thit k h thng a trn m hnh (Model-based design), tch hp trong mi

    trng m phng cng Simulink, to nn kh nng thit k mu nhanh (FastPrototyping).

    o Stateflow

    Stateflow l mt dng cng c ha tng tc, tch hp cng cng c mphng Simulink ca Matlab dng m hnh ha cc h tng tc. Cc h tng tcchuyn t trng thi hot ng ny sang trng thi hot ng khc do tc ng ca ccs kin hoc cc iu kin nht nh. Cc h thng ny m hnh ha logic hay cch

    thc hot ng cho cc thit b hay mt h thng t ng ha nh bm, qut, ng choc mt h my mc thit b no .Stateflow biu din cc ch hot ng ca thit b bng th trng thi

    (FSM), v d ch lm vic ca chic qut trong nh c th l cao, trung bnh, thpv dng (High, Medium, Low, Off). Phn mm Stateflow cung cp cc i tng ha, c th gp ra t hp thoi cc mu, ni chng vi nhau bng cc cung c hng ch ch hay iu kin chuyn t trng thi ny sang trng thi khc. Ngoi racn c th xc nh:

    - Cc s liu u vo, u ra;- Cc s kin kch hot th trng thi;

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    12/145

    12

    - Cc tc ng v cc iu kin gn vi cc trng thi v cc iu kin

    xc nh hnh vi ca th trng thi.

    Vi Stateflow c th m rng kh nng ca th trng thi bng cch:

    - Thm vo cc cu trc phn cp;

    - M hnh cc trng thi lm vic song song (tranh chp);- Xc nh cc hm chc nng bng th, bng cc th tc chn vo

    hoc bng cc bng trng thi.- S dng logic thi gian lp lch cho cc s kin.

    - Xc nh cc vector, ma trn v cc kiu d liu.

    Hn na, Stateflow s tin hnh m phng m hnh c xy dng

    nghin cu cc c tnh cn quan tm ca h thng. Kh nng rt mnh ca Stateflowl t ng chuyn sang dng m chng trnh C dng StateflowCoder, tch hpcng phn m C ca Simulink ra dng c th ci t vo cc b iu khin. iu nyrt ngn rt nhiu thi gian lao ng ca cc k s trong qu trnh thit k h thng

    iu khin.V d v th trng thi ca Stateflow cho trn hnh 1.4. y l m hnh mt

    hp s t ng iu khin t. Hp s s t ng chuyn s ty theo tc ca xe v m ca ng vo ga cho ng c.

    - M hnh c hai trng thi c th hot ng song song l: gear_state(trng thi hp s) v selection_state (la chn s). Cc trng thi song song, cngxy ra (parallel AND states) c vnh bao bn ngoi bng ng nt t. Trong mihai trng thi song song ny c cc trng thi con. Cc trng thi con c vnh ngoi

    nt lin l nhng trng thi loi tr nhau, ngha l mi thi im ch c mt trongchng c kch hot.

    - Cc trng thi ni vi nhau bng cc cung ch s kin (UP, DOWN)hoc cc iu kin (speed > down_th: tc ln hn ngng thp; speed < down_th:

    tc nh hn ngng thp; speed > up_th: tc ln hn ngng tc cao; speed< up_th: tc nh hn ngng tc cao; ).

    - Vi mi trng thi c th c cc tc ng (action) gy nn cc hot ng

    cn thit khi h thng ang trong mt trng thi no . Cc tc ng c th l loikch hot khi vo trng thi, entry; khi ang trong trng thi, during, khi ra khitrng thi, exit.

    - Trong trng thi c th c hm tnh ton, nh hm calc_th:

    [down_th,up_th] = calc_th(gear,throttle). Hm calc_th tnh ton cc gi tr ngng tc thp, tc cao t v tr hp s gear v m ca ng a ga vo bung t

    throttle.

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    13/145

    13

    HnhError! No text of specified style in document..4 V d Stateflow iu

    khin hp s t ng xe t.

    Mc d khng c phn ng ngha cht ch nh UML [6, 9], iu c th dn tikhng ti u v m pht sinh, li chng trnh rt kh pht hin v g ri, nhng

    Stateflow rt ph hp cho nhng ngi pht trin ng dng trong cc h thng iukhin, trong ngi k s tp trung vo cc nhim v m bo chc nng iu khincho h thng ch khng phi l cc chuyn gia v lp trnh hay m hnh ha.

    1.1.5 GRAFCET

    GRAFCET cng l mt ngn ng th c pht trin Php t 1977, nh lmt phng php m t c tnh cho cc b iu khin logic [10]. T nm 1988GRAFCET c cng nhn nh mt chun quc t bi IEC v mang tn th hm

    tun t (Sequential Function Charts SFC). Ngy nay GRAFCET/SFC l mt phntrong chun quc t ngn ng lp trnh IEC 61131 cho cc b iu khin logic lptrnh c (Programmable Logic Controller PLC). GRAFCET c dng ph bintrong cng nghip v giao din th r rng ca n. GRAFCET c c s ton hc tmng Petri Net.

    GRAFCET c c php th, v d cho trn hnh 1.5. N bao gm cc trngthi (steps), th hin bng mt hnh ch nht, v cc bc chuyn (transitions), thhin bng cc gch ngang. Lu i vi GRAFCET trng thi (state) c gi lstep. Trng thi ban u (Initial step) th hin bi hnh vung nt i s c kch

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    14/145

    14

    hot trc tin khi h thng bt u hot ng. Cc trng thi c th c kch hotln lt hoc ng thi.Trng thi (steps): trng thi c th tch cc hoc khng. Trng thi tch cc cnh du bng mt token trong n. Cc trng thi tch cc xc nh trng thi ca h

    thng ti mt thi im no . Gn vi mi trng thi c th c mt hay vi hnhng. Cc hnh ng c thc hin khi trng thi tch cc.

    HnhError! No text of specified style in document..5 C php ca GRAFCET.

    Cc bc chuyn (transitions): dng kt ni cc trng thi. Mi bcchuyn c mt hp th nht nh. Bc chuyn l c php nu tt cc trng thi

    pha trn n u tch cc. Khi hp th ca mt bc chuyn c php tr nn l th bc chuyn c kch hot ngay lp tc. Khi bc chuyn kch hot cc trngthi trn n tr nn th ng v cc trng thi tip sau n tr nn tch cc.

    Hnh ng (actions): gm hai loi, loi theo mc v loi theo xung. Loi theomc ko di mt khong thi gian hu hn theo mt bin logic no v gi nguyntrng thi tc ng chng no trng thi gn vi n cn tch cc. Hnh ng theo mcc th l c iu kin hoc khng iu kin. Loi hnh ng theo xung c nhim vthay i gi tr mt bin no . Bin c th l logic nhng khng nht thit nh vy.Mt hnh ng theo xung c thc hin ngay khi trng thi ca n tr nn tch cc.C th a vo cc bin ph thuc thi gian to nn cc hnh ng c tr hoc xyra trong khong thi gian nht nh. Mt hnh ng theo mc bao gi cng c thchuyn thnh mt hnh ng theo xung.

    hp th (receptivities). Mi bc chuyn c mt hp th nht nh. hp th c th l mt iu kin logic, mt s kin hay iu kin logic kt hp vi skin. Trn th s kin th hin bng mt ch ci (bin) bn cnh tri ca n c mi

    tn ln hoc xung, v d ,x y . Mi trn ln ch s kin xy ra sn ln ca

    xung, mi tn xung ch s kin gn vi sn xung.

    Ngn ng GRAFCET c pht trin vi mc ch s dng cho cc b PLC.Ngn ng c dng trc ht vi mc ch m t h thng bng th c th

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    15/145

    15

    phn tch, nh gi s hot ng mt cch trc gic. Vic ng dng thc t c thchin bng cch chuyn th GRAFCET sang mt ngn ng thng dng khc l th dng bc thang (Ladder Diagrams LD). LD l ngn ng n gin, tha hng tcc s iu khin logic dng rle trc y. Cc s LD rt d thc hin i vi

    cc h thng nh nhng khi h thng tr nn phc tp hn th s LD s rt khqun l.

    V mt ng dng GRAFCET thng i cng vi mt loi PLC do mt hngpht trin. Do s dng GRAFCET ch hiu qu khi ta nh xy dng h thng iukhin trn loi PLC , khi phn mm son tho chng trnh s chuyn t ngh thng m t bi GRAFCET sang ngn ng LD, ci t trn PLC. Nu khng cnhng iu kin ny th vic chuyn GRAFCET sang dng ci t trn PLC s rt khkhn, n mc m nhng u th ca ngn ng th cng khng cn gi tr g.

    1.3Chun IEC 61131 v cc b iu khin lp trnh c1.3.1 PLC v ngn ng lp trnh theo chun

    PLC l b iu khin logic lp trnh c, c nhiu nh sn xut pht

    trin vi kch c t nh n ln v c ng dng rng ri trong cng nghip.Tuy nhin tnh ph bin ca PLC lm ny sinh hng lot vn . Mc d vnmang mt s c im chung v phn cng, phn mm nhng gia cc loiPLC c nhiu im khc nhau, c bit l v phn mm m c th l ngn ng

    lp trnh iu khin. Cc hng khc nhau c th pht trin nhng tp lnh khcnhau, cc hm khc nhau v cch s dng cc chc nng quan trng nh bm, b nh thi, truyn thng cng c nhiu im khc bit. Ngay c giacc loi PLC ca cng mt hng cng c th c s khc nhau rt ln. S bttng thch gy ra nhiu kh khn cho ngi s dng khi mun chuyn i

    chng trnh iu khin c sn trn mt loi PLC ny sang mt loi PLC khckhi nng cp hoc sa cha h thng, khi mun s dng phi hp nhiu loiPLC khc nhau trong cng mt thit b my mc. Ngay c vic hc v s dngcc loi PLC khc nhau cng s tiu tn nhiu cng sc v thi gian.

    Do nhng l do trn m t chc IEC (International ElectrotechnicalCommission) ra chun cho ngn ng lp trnh mang tn IEC 61131 [12].

    IEC 61131 khng phi l mt ngn ng m l cc chun ngn ng c thphi tun theo. Chun IEC 61131 a ra cc quy nh v b iu khin kh

    trnh, trong c PLC, v cc thit b ngoi vi i km, t phn cng (c kh,in, in t, kh nn, thy lc, ...), truyn thng n phn mm v ngn ng

    lp trnh. B tiu chun ny gm nhiu phn, mi phn xt n mt kha cnhnht nh, v do to thnh mt chun con bn trong b tiu chun IEC

    61131 v thng c k hiu bi s th t ca phn (v d IEC 61131-1, IEC61131-2,...). Trong tiu chun IEC 61131-3, tc phn 3 ca b tiu chun

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    16/145

    16

    IEC 61131, vi tn gi Programming Languages, qui nh v cc ngn ng lp trnh

    cng nh cch thc lp trnh iu khin cho tt c cc thit b, cc qu trnh v cc biu khin. Nhng qui nh trong tiu chun IEC 61131-3 em li mt cch nhn nhnmi v lp trnh cho cc h thng iu khin, m bo tnh hiu qu cao v sc mnh.

    Chun IEC 61131-3 ni ring v b tiu chun IEC 61131 ni chung vt rangoi gii hn l mt b tiu chun v PLC m tr thnh mt b tiu chun cho ccthit b iu khin kh trnh (Programmable Controller) ni chung. Hin nay, phn ln

    cc b iu khin trong thc t u l cc b iu khin kh trnh. Bi vy, phm vip dng ca b tiu chun IEC 61131 tr nn rt rng ln. Mt im cn ch na l

    phn nhiu cc qui nh trong b tiu chun IEC 61131 dng khuyn co, ngha lnn c tun theo ch khng bt buc. Bi vy mc d nhiu sn phm ca cc hng

    khc nhau c ni l tun theo chun IEC 61131 nhng vn c th khng thc

    hin y v hon ton ng nh nhng qui nh ra trong chun.Di y s ch ra nhng c im quan trng nht m chun IEC 61131-3

    em li cho cc ngn ng lp trnh iu khin.

    1.3.2

    Khng ph thuc vo mt phn cng c th no.

    iu ny cn thit chng trnh c th mang i c (Portable). Do tnh c

    th mang i c c th to nn cc th vin gm cc chng trnh nh, c xydng cho cc ng dng ph bin, c th ghp ni vo cc ng dng ln hn. iu ny

    cng c ngha l cc on m c th s dng li (reuseable). R rng l thi gian vcng sc ca nhng ngi pht trin ng dng s c gim ng k.

    1.3.3

    Dng nhiu ngn ng trong cng mt chng trnh iu khin

    y l mt trong nhng u im ni bt nht ca chun. Vic s dng kt hp

    nhiu ngn ng lp trnh khc nhau trong cng mt chng trnh l iu mong mica hu ht cc lp trnh vin. Trong cng mt chng trnh iu khin, ngi lp

    trnh c th s dng ng thi v trc tip nhiu ngn ng lp trnh khc nhau. iu

    ny gip tng tnh linh hot v hiu qu ca vic lp trnh bi c th tn dng ti acc u im ca tng ngn ng lp trnh.

    IEC 61131-3 nh ngha 5 ngn ng lp trnh: Ladder (LD), Function block

    diagram (FBD), Sequential function chart (SFC), Structure Text (ST), Instruction List(IL) phc v cho mt di rng cc ng dng. Ngi lp trnh PLC mi ni s s dngcng ngn ng lp trnh, ngn sch o to s gim i, nht l khi dng thit b canhiu hng khc nhau tun theo chun ny, khi cn thit ch cn b sung thm mt vi

    kin thc v mt b iu khin mi. Chun gip tng hiu sut cng nh gim thi

    gian thc hin mt d n t ng ha bng cch ti s dng cc thnh phn (chngtrnh) c pht trin trc trong cc d n khc hoc bi nhng ngi khc.

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    17/145

    17

    Tuy nhin khng phi khi no cng s dng c 5 ngn ng lp trnh

    ny, cn ph thuc vo tc vi x l, loi PLC hay mc h tr ca hng.Thng thng th vic s dng ngn ng nh sau y:

    - SFC dng cho qu trnh x l lp i lp li, c lin ng hay nhng hot

    ng ng thi.- LD c chp nhn rng ri bi ngi lp trnh PLC khp ton cu, dng

    cho nhng ng dng vo ra s, x l c bn, kh d dng cho vic thay th

    code v sau.- IL c tc x l nhanh do st vi ngn ng my v hay c s dng

    chu u.- ST l ngn ng hay c dng chu u, dng cho nhng ngi quen vi

    lp trnh bc cao, thc hin c cc php ton phc tp, nu lp trnh bng

    IL v ST gy kh khn trong vic pht hin v sa li sau ny.- FBD dng cho cc vo ra s hay nhng x l c bn, tuy nhin li tn din

    tch mn hnh quan st khi lp trnh.

    1.3.4 Cc cng c t cu hnh

    cc phng php cu trc ha cc chng trnh PLC truyn thng,

    cc ng dng c gi gn trong cc khi vi cc c tnh runtime (khi angchy) khng r rng, vic cu hnh n thun l chn PLC v phn cng vo

    ra, sau lp trnh da theo phn cng ban u ny, s l rt kh khn khichng trnh ca chng ta di hng trm trang. IEC 61131-3 cung cp cc

    phng tin chun ha v tinh vi tho g kh khn ny. V chng trnh lc lp vi phn cng, nn vic cu hnh cn phi xc nh c tnh runtimecho chng trnh (PROGRAMs) v khi chc nng (FBs), giao tip gia cccu hnh v gn cc bin cho a ch phn cng PLC c th. Gi y chng tac th cu hnh bng chng trnh nn thot khi g b lc lp trnh v cng

    khng phi nh nhiu na. Qun l d n c th chia chng trnh thnh cc

    module cho nhiu ngi lm sau tng hp li mt cch d dng.

    1.3.5

    Lp ti liu d n mt cch tin li v nhanh chng

    Chun cng cho php chng trnh cng nh thit b to iu kin gim st, chn on h thng v thu thp d liu phc v cho vic tng kt v

    lp k hoch chnh xc, d dng.

    1.3.6

    An ton v tin li hn khi dng cc bin v kiu d liu

    Vi PLC v phng php lp trnh thng thng th vic truy cp d

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    18/145

    18

    liu c thc hin bi cc bin ton cc hay l a ch tuyt i (phn cng), thng

    thng l a ch vo ra, c, khi d liu chng hn nh I0.0, I0.1, Q0.0, Ngi lptrnh phi t phn b v tr ca chng v phi ht sc cn thn nu khng s xy ratrng hp cc phn ca chng trnh nh hng ln nhau (v d ghi d liu).

    Khi lp trnh theo chun IEC 61131-3 th khng dng a ch phn cng trctip m thay vo l vic s dng cc bin c t tn r rng. Ngi lp trnhcng khng phi xc nh chng cn c lu gi u m chng trnh t ng sp

    xp. Mi bin c mt kiu d liu c th nh Bool, Byte, WORD, DWORD,LWORD, Integer (SINT, INT, DINT, LINT, USINT, UINT, UDINT, ULINT),

    REAL, LREAL, TIME, DATE, TOD hay TIME_OF_DAY, DT hayDATE_AND_TIME, WString. Bin cc b ch c ngha trong phm vi mt n v

    t chc chng trnh, nn khng lo nh hng khi s dng n v khc.

    1.3.7 n v t chc chng trnh

    i vi cc chng trnh iu khin ln v phc tp, phng php lp trnh ccu trc c s dng thay cho phng php lp trnh tuyn tnh. Trong cc h lptrnh cho PLC trc kia, phng php lp trnh c cu trc c h tr thng qua vict chc chng trnh thnh cc khi (block), vi ngha nh l cc thnh phn nh

    nht xy dng nn chng trnh (v vy gi l cc building block). Cc khi ny cphn loi theo ni dung cha bn trong khi, thng thng bao gm: khi t chc

    chng trnh (OB - Organisation Block), khi chc nng (FB - Function Block), khid liu (DB - Data Block). C th thy l y khi chc nng v d liu ca khi

    chc nng c tch ri nhau tng ng trong cc khi FB v DB. Cch phn chianh th ny gi nh n cc ngn ng lp trnh quen thuc nh Pascal hay C vichng trnh chnh, hm v d liu ton cc (global data).

    Chun IEC 61131-3 tin mt bc xa hn khi phn chia cc khi, c gi

    tn l cc n v t chc chng trnh (POU - Program Organisation Unit), theo chcnng v s phn cp cu trc. C ba loi POU c quy nh l: Hm (FUN -

    FUNction), khi chc nng (FB - Function Block) v chng trnh (PROG -PROGram). Mt im ng ch l d liu ca cc khi chc nng khng nm ring

    na m c a vo ngay trong chnh khi . chnh l s ng gi d liu, mttrong nhng nguyn tc c bn ca phng php lp trnh hng i tng. Vic sdng POU gip hn ch c chng loi khi c s dng, thng nht ha v ngin ha ngi s dng d dng hn. Hn na, POU c thit k hon ton c

    lp vi i tng, chnh v th m c th ti s dng chng trn nhiu nn khc nhau.

    1.3.8

    Phng php cu hnh c bit

    Thng thng khi lp trnh PLC vic cu hnh l chn loi PLC v phn cng

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    19/145

    19

    vo ra, sau vit chng trnh da theo phn b ban u ny. Cc ng dng ca vo cc khi (v d OB) v khng c c tnh lc vn hnh r rng.IEC 61131-3 p dng nhng tin b ca cng ngh k thut mi cho php vic mhnh mt d n PLC gm nhng ng dng c nhiu vi x l. M hnh phn mm theo

    chun gip ngi dng cu trc ha cc ng dng hng ti thc tin bng cch xydng cc khi t chc chng trnh POU to iu kin d dng cho vic bo tr,thu thp d liu v tng kh nng chn on ca PLC. Mt phn mm ng nht l rtcn thit cho vic tng tnh linh hot ca cc ng dng. Cc ti nguyn ca PLC (ccvi x l hay khi CPU) c gn c tnh vn hnh khi cu hnh v iu lm chochng trnh ca ta c lp vi phn cng.

    1.3.9

    T chc PLCopen

    IEC 61131 ra i mang li nhiu li ich cho c ngi s dng cui v c nhsn xut thit b logic kh trnh. Hin nay hu ht cc hng u cam kt sn xut sn

    phm tun theo chun ny, c th l mt phn hay ton phn. Cng phi ni rngchun khng ch p dng cho PLC, m cho hu ht cc thit b iu khin kh trnhnh PAC, PLC, cc b iu khin qu trnh,.. Nhiu t chc ang hot ng nhm

    ph bin rng ri chun, trong c vic ra i ca t chc PLCopen, t chc khuynkhch cc thnh vin theo chun. PLCopen c rt nhiu thnh vin, m c bit lcc cng ty tp on ln nh Siemens, ABB, Allen Bradley, OMRON, MitsubishiElectric, Hin t chc khng ch hot ng chu u m c c M, .

    Cng ty Smart software solution, cng l thnh vin ca PLCopen, pht trinphn mm CoDeSys v thnh lp t chc automation alliance vi hn 100 thnh vincam kt s dng phn mm ca hng. CoDeSys khng ch c chp nhn chu um ang dn pht trin trn ton th gii, l cc cng ty c, Thy s, Italia, o,Php, Anh, B, Phn Lan, Thy in, Nga, M v Trung Quc vi nhiu loi sn

    phm nh PLC, CNC/PLC combination, B truyn ng thng minh, DCS(decentralized control system), Panel PLC, Li PLC, cc module vo ra thng minh,...Phn mm CoDeSys lp trnh cho thit b iu khin kh trnh hon ton tun theochun IEC 61131-3. Vi mt phn mm lp trnh chung theo chun v cc hng snxut thit b phn cng cam kt sn phm hon ton h tr th vic lp trnh s thngnht v n gin hn cho ngi s dng.

    Ngoi cc hng c k trn cn rt nhiu hng ln nh na sn xut cc sn phm htr theo tiu chun IEC 61131 nh BECKHOFF, Rexroth, Danfoss, Schneider,Yokogawa, Emerson,.... Qua thy rng chun c chp nhn rng ri nhth no. C mt thc t l nhiu hng vn dng phn mm ring cho PLC hay PAC vcc b iu khin kh trnh ca h, do vy vn cha phi l hon ton theo chun nncha th tn dng ht c nhng u im ca lp trnh theo chun, cng cha th tit

    kim c ti a thi gian v cng sc cho vic lp trnh. Chng hn, khi mua PLCca nhiu hng, chng ta vn phi hc kh nhiu, c phn cng ln phn mm, cng

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    20/145

    20

    cha th ly chng trnh lp trnh vi phn mm ca hng ny sang PLC ca hngkhc c. Trong tng lai, nhng hn ch trn s c khc phc v tiu chun nychc chn s cn c chp nhn rng hn na v lp trnh theo.

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    21/145

    21

    CHNG 2: MCH LOGIC T HP

    2.1 C s ton hc v i s logic2.1.1

    Hm v bin logic

    a. Bin logicBin s x c gi l bin logic nu x thuc tp hp B ch gm 2 phn t k

    hiu l 0 v 1. Ngha l bin logic x ch nhn hai gi tr 0 v 1.

    xB = {0;1}

    b. Hm logic

    Hm s f ca cc bin x1, x2, , xn c gi l hm logic khi v ch khi ccbin x1, x2, , xn l cc bin logic v gi tr ca hm s f cng l gi tr logic, tc l

    f cng ch c 2 gi tr 0 v 1.

    f(x1, x2, , xn)B = {0;1} vi x1, x2, , xn B = {0;1}.

    c. Cc php ton logic c bn

    Php nghch o (NOT)

    K hiu: nghch o ca mt bin logic x k hiu l x.

    Php nghch o c nh ngha thng qua bng gi tr 2.1 nh sau

    Bng 2.1. Bng gi tr ca php nghch o

    x f(x) = x0 11 0

    Php cng logic (OR)

    Php cng logic c thc hin vi 2 bin logic x v y k hiu l x+y

    Php cng logic c nh ngha thng qua bng gi tr 2.2 nh sau:

    Bng 2.2. Bng gi tr ca php cng logic

    x Y f(x,y) = x+y0 0 00 1 11 0 11 1 1

    Kt qu ca php cng logic hai bin logic ch nhn gi tr 0 khi v ch khi c hai binlogic c gi tr 0

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    22/145

    22

    Php nhn logic (AND)

    Php nhn logic c thc hin vi 2 bin logic x v y k hiu l x.y hoc x*yhoc n gin l xy

    Php nhn logic c nh ngha thng qua bng gi tr 2.3 nh sau:

    Bng 2.3. Bng gi tr ca php nhn logic

    x y f(x,y) = x+y0 0 00 1 01 0 01 1 1

    Kt qu ca php nhn logic hai bin logic ch nhn gi tr 1 khi v ch khi c hai binlogic c gi tr 1

    2.1.2

    Cc tnh cht v nh lut logic c bn

    a. Cc tnh cht ca php ton logic

    Tnh cht giao honKt qu ca php tnh giao hon l khng i nu ta i ch hai bin logic cho

    nhau

    x+y = y+xx.y = y.x

    Tnh cht kt hpx+y+z = (x+y)+z = x+(y+z)

    x.y.z = (x.y).z = x.(y.z)

    Tnh cht phn phix.(y+z) = x.y + x.zx+(y.z) = (x+y).(x+z)

    Lut De Morgan

    n21n11

    n21n21

    x...xxx.....x.x

    x.....x.xx...xx

    Ph nh ca mt tng cc bin logic bng tng cc ph nh cc bin logic.

    Ph nh ca mt tch cc bin logic bng tch cc ph nh ca cc bin logic

    Tnh i nguNu trong mt h thc ta thay php cng bng php nhn, php nhn bng php

    cng, thay 0 bng 1 v 1 bng 0 th s thu c mt h thc mi gi l h thc i

    ngu. Nu h thc ban u ng th h thc i ngu ca n cng ng.

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    23/145

    23

    b. Mt s h thc logic c bn

    x+0 = x ; x.1 = x x.0 = 0 ; x+1 = 1 x+x = x ; x.x = x

    0x.x;1xx

    x+xy = x ; x.(x+y) = x x)yx)(yx(;xyxxy

    2.1.3 Cc cch biu din hm logic t hpa. Biu din bng bng chn l

    Bng chn l l bng lit k tt c cc t hp cc gi tr ca cc bin v gi trtng ng ca hm s vi mi t hp bin . Nh vy, vi mt hm n bin th ta s

    c 2nt hp bin. Bng 2.4 cho ta mt v d v bng chn l vi hm 3 bin

    Bng 2.4 Bng chn l biu din hm 3 bin

    Gi tr thp phn cat hp bin

    x1 x2 x3 f(x1,x2,x3)

    0 0 0 0 11 0 0 1 02 0 1 0 x

    3 0 1 1 x4 1 0 0 05 1 0 1 16 1 1 0 x7 1 1 1 1

    Ghi ch:

    Nhng ch nh du x l gi tr hm khng xc nh (c th l 0 hoc 1), cngha l gi tr hm ng vi cc t hp gi tr bin u vo khng nh hng n vai

    tr ca hm logic ny.u im ca cch biu din ny l d nhn, t nhm ln v tt c cc kh nng

    c th ca hm s c lit k ra ht. Nhc im ca phng php ny l cng

    knh, c bit l khi bin s ln.

    b. Biu din bng biu thc i s

    Hm logic c th c biu din bng biu thc i s s dng cc php ton

    cng v nhn logic. Bng cch biu din hm logic bng biu thc i s, c th dngcc thit b logic thc hin hm logic mt cch dng.

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    24/145

    24

    Mt hm logic n bin bt k bao gi cng c th biu din thnh tng chun

    y v tch chun y .

    Cch vit hm di dng tng chun y Ch quan tm n t hp cc gi tr ca bin lm cho hm c gi tr 1. S

    ln hm bng 1 chnh bng s tch ca cc t hp bin ny. Trong mi tch ng vi mt t hp bin lm cho hm c gi tr bng 1,cc bin c gi tr 1 th gi nguyn, cc bin c gi tr 0 th c ly gitr o

    Hm tng chun y s l tng cc tch V d: Cho hm logic 2 bin nh bng 2.5

    Bng 2.5: Hm logic 2 bin s

    x1 x2 y=f(x1,x2)

    0 0 10 1 01 0 01 1 1

    Ta nhn thy c 2 t hp gi tr bin lm cho hm c gi tr 1 l (x1,x2) = (0,0)v (x1,x2) = (1,1). Vi t hp (x1,x2) = (0,0), v hai bin u nhn gi tr 0 nn tchcc bin tng ng s l x1. x2 . Vi t hp (x1,x2) = (1,1), v hai bin u nhn gi tr1 nn tch cc bin tng ng s l x1.x2. Do hm logic c th c biu din didng tng chun y nh sau

    y= f(x1,x2) = x1. x2 + x1.x2

    Cch vit hm di dng tch chun y Ch quan tm n t hp cc gi tr ca bin lm cho hm c gi tr 0. S

    ln hm bng 0 chnh bng s tng ca cc t hp bin ny. Trong mi tng ng vi mt t hp bin lm cho hm c gi tr bng 0,

    cc bin c gi tr 0 th gi nguyn, cc bin c gi tr 1 th c ly gitr o

    Hm tch chun y s l tch cc tng

    V d: Cho hm logic 2 bin nh bng 2.5

    Ta nhn thy c 2 t hp gi tr bin lm cho hm c gi tr 0 l (x1,x2) = (0,1)v (x1,x2) = (1,0). Vi t hp (x1,x2) = (0,1), v bin x1 nhn gi tr 0 nn c ginguyn, bin x2 nhn gi tr 1 nn phi ly gi tr o, tng cc bin tng ng s lx1 + x2 . Vi t hp (x1,x2)=(1,0), v bin x1 nhn gi tr 1 nn phi ly gi tr o,

    bin x2 nhn gi tr 0 nn c gi nguyn, tng cc bin tng ng s l x1 +x2. Do hm logic c th c biu din di dng tch chun y nh sau

    y=f(x1, x2)= (x1 + x2

    )(x1

    +x2)

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    25/145

    25

    vit cc hm logic di dng ngn gn, ngi ta c th vit cc hm logicdi dng nh sau:

    Dng tng chun y

    Hm logic bng 2.4 c th vit nh sau:f(x1,x2,x3)=(0,5,7) vi N = 2,3,6

    Trong cc s 0, 5, 7 l gi tr thp phn ca t hp bin (theo th tx1x2x3) lm cho hm c gi tr bng 1; v 2, 3, 6 l cc gi tr thp phn cat hp bin lm cho hm c gi tr khng xc nh.

    Dng tch chun y

    Hm logic bng 2.4 c th vit nh sau:

    f(x1,x2,x3)=(1,4) vi N = 2,3,6Trong cc s 1, 4 l gi tr thp phn ca t hp bin (theo th t

    x1x2x3) lm cho hm c gi tr bng 0; v 2, 3, 6 l cc gi tr thp phn ca

    t hp bin lm cho hm c gi tr khng xc nh.

    c. Biu din bng bng Cc n

    Nguyn tc xy dng bng Cc n nh sau: biu din mt hm logic c n bin s, cn lp mt bng c 2 n , mi

    tng ng vi mt t hp bin. Cc cnh nhau hoc i xng nhau ch cho php khc nhau v 1 gi tr ca

    mt bin Trong cc ghi cc gi tr ca hm tng ng vi t hp bin ng vi V d: Bng Cc n biu din hm logic trong bng 1.5

    Bng 2.6 Bng Cc n biu din hm logic trong bng 2.5x2

    x10 1

    0 1 01 0 1

    Bng Cc n biu din hm logic trong bng 2.4

    x2x3x1

    00 01 11 10

    0 1 0 x x1 0 1 1 x

    Ch :vi cc ng vi cc t hp bin lm cho hm c gi tr khng xc nh th cth in x hoc b trng

    Bng Cc n cho hm 5 bin

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    26/145

    26

    x3x4x5

    x1x2

    000 001 011 010 110 111 101 100

    2.1.4

    Cc k hiu mch logic

    m t cc mch logic thc hin cc hm logic, ngi ta thng dng cc khiu ca cc phn t logic thc hin cc php ton logic c bn. Cc k hiu baogm:

    a. Php ph nh (nghch o) NOT

    b. Php cng logic OR

    c. Php nhn logic AND

    d. Php NOR v NAND

    - Php NOR

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    27/145

    27

    - Php NAND

    2.1.5 Mchrle-tip im

    Mch logic cng c th c biu din bng s rle- tip im. Mt s k hiuc s dng trong mch rle tip im bao gm:

    - Nt n thng m: . Bnh thng khi khng b tc ng th hai cc cant n c cch ly. Nu n nt th hai cc ca nt n s c ni vi nhau v cth cho php dng in chy qua.

    - Nt n thng ng: . Bnh thng khi khng b tc ng th hai ccca nt n c ni vi nhau v c th cho php dng in chy qua. Nu n ntth hai cc ca nt n s c cch ly v khng cho dng in chy qua.

    - Cng tc hng trnh thng m: . Bnh thng khi khng b tc ng thhai cc ca cng tc hnh trnh c cch ly. Nu b tc ng th hai cc ca cngtc hnh trnh s c ni vi nhau v c th cho php dng in chy qua.

    - Cng tc hnh trnh thng ng: . Bnh thng khi khng b tc ngth hai cc ca cng tc hnh trnh c ni vi nhau v c th cho php dngin chy qua. Nu b tc ng th hai cc ca cng tc hnh trnh s c cch lyv khng cho dng in chy qua.

    - R le gm 2 phn cun dy , k hiu l mt hnh ch nht ng v cc tipim. Tip im c 2 loi c bn l tip im thng m v thng ng. Tipim thng m, k hiu . Bnh thng khi cun dy khng c in th 2im cc ca tip im b cch ly v khng cho php dng in c chy qua.

    Nu cp in cho cun dy th cun dy ny s lm cho hai cc ca tip imc ni vi nhau v c th cho php dng in chy qua. Tip im thngng, k hiu . Bnh thng khi cun dy khng c in th 2 im cc catip im c ni vi nhau v c th cho php dng in chy qua. Nu cp incho cun dy th cun dy ny s lm 2 im cc ca tip im b cch ly vkhng cho php dng in c chy qua.

    Mch logic c th c biu din bng s r le tip im nh sau:

    - S gm 2 dy th hin dy ngun cp cho mch- Ty thuc vo thit b vt l tng ng vi cc bin logic m ta c th biu din

    cc bin di dng nt n, cng tc hnh trnh hay cc tip im- Cc bin trng thi bnh thng c biu din bng cc phn t trng thi

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    28/145

    28

    thng m- Cc bin trng thi o th c biu din bng cc phn t trng thi thng

    ng.- u ra c biu din bng cun dy ca rle. Cun dy c in th c ngha l

    u ra nhn gi tr 1, khng c in th nhn gi tr 0. Khi cun dy thay i trngthi c in hoc khng c in th cc tip im (r le) tng ng cng thay itrng thi theo (coi l tc thi)

    - Php cng logic c biu din bng cch ni hai phn t song song vi nhau- Php nhn logic c biu din bng cch ni hai phn t ni tip vi nhau.- u ra c biu din bng cch ni cun dy vi t hp kt ni cc phn t u

    vo.V d mch rle-tip im thc hin hm logic

    y=f(x1,x2)= x1. x2 + x1.x2

    Khi x1 = x2 = 0 ngha l cun dy x1 v x2 (khng v y) khng c in, do

    cc tip im trng thi nh hnh v. Khi dng in c th i t 1-7-5-2, cundy Y c in , ngha l y = f(x1,x2) = 1.

    Khi x1=x2 = 1 ngha l cun dy x1 v x2 c in. Khi tip im x1 (1-3), x2(3-5)ng li, cc tip im x1(1-7), x2(7-5) m ra. Khi dng in c th i t 1-3-5-2,cun dy Y c in , ngha l y = f(x1,x2) = 1.

    Khi x1 = 0, x2 = 1 ngha l cun dy x1 khng c in v cun dy x2 c in. Khi cc tip im x1 gi nguyn trng thi nh hnh v. Tip im x2(3-5) ng li, x2(7-5) m ra. Cun dy Y b cch ly khi ngun in, ngha l y = f(x1,x2) = 0.

    Khi x1 = 1, x2 = 0 ngha l cun dy x1 c in v cun dy x2 khng c in. Khi cc tip im x2 gi nguyn trng thi nh hnh v. Tip im x1(1-3) ng li, x2(1-7) m ra. Cun dy Y b cch ly khi ngun in, ngha l y = f(x1,x2) = 0.

    2.2 nh ngha v phn loi

    Mch t hp l mch m trng thi u ra ca mch ch ph thuc vo t hp

    cc trng thi u vo m khng ph thuc vo trnh t tc ng ca cc u vo.Theo quan im iu khin th mch t hp l mch h, h thng khng c phn hi,

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    29/145

    29

    x1

    x2

    xn

    MCHT HP

    y1

    y2

    ym

    ngha l trng thi ng m ca cc phn t trong mch hon ton khng b nhhng ca trng thi tn hiu u ra.

    V mt ton hc, gi thit mt mch t hp c n u vo xi(i=1,,n) v m u ra yj(j=1,,m), ta k hiu

    X = {x1, x2, , xn} l tp cc tn hiu vo

    Y = {y1, y2, , ym} l tp cc tn hiu ra

    Th mch t hp c biu din bi m phng trnh i s Boole nh sau:

    yj= fj(x1,x2,,xn) vi j = 1, , m

    C th biu din m hnh ton hc ca mch t hp theo s khi nh hnh

    di y

    2.3 Tng hp mch logic t hp

    Vic tng hp mch logic t hp thc cht l thit k mch t hp. Nhim vchnh y l thit k c mch t hp tha mn yu cu k thut nhng mch phiti gin. Bi ton tng hp l bi ton phc tp, v ngoi cc yu cu v chc nnglogic, vic tng hp mch cn ph thuc vo vic s dng cc phn t. Vi mi loi

    phn t c s dng th ngoi nguyn tc chung v mch logic cn i hi phi bsung nhng nguyn tc ring lc tng hp v thit k mch. Tuy nhin, phm vi c cp y ch tp trung vo vic p ng cc chc nng logic v ti thiu ha mchlogic.

    Nh cp trong phn biu din hm logic trong chng I, ta c th biu din hmlogic di dng biu thc i s t bng chn l. T biu thc i s ta c th sdng cc phn t logic thc hin mch logic. Tuy nhin biu thc i s m tanhn c trong chng I thng khng ti thiu, ngha l s lng bin v php tonl khng ti u. Do vy s lng phn t logic cn thit thc hin hm logic s ln,gy ra tng chi ph v phc tp trong vic g ri. phn di y s cp n mts phng php ti thiu ha hm logic t hp.

    - Phng php i s

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    30/145

    30

    - Phng php ma trn cc n

    - Phng php Quine Mc Clusky

    2.3.1

    Phng php i s

    Phng php i s l phng php dng cc bin i i s rt gn hm logic.Mt s biu thc i s thng hay c s dng bao gm:

    x+0 = x ; x.1 = x x.0 = 0 ; x+1 = 1 x+x = x ; x.x = x

    0x.x;1xx

    x+xy = x ; x.(x+y) = x x)yx)(yx(;xyxxy

    V d:

    Rt gn hm y = f(x1,x2) = x1x2 +x1x2+x1 x2

    f(x1,x2) = x1x2 +x1x2+x1 x2

    = (x1x2 +x1x2)+(x1x2+x1 x2)

    = x1 + x2

    Nh vy t mt hm logic 2 bin vi 2 php cng v 3 php nhn logic, ta cth rt gn thnh mt hm logic tng ng vi ch mt php cng logic. Cng cth thy rng biu din ny l ti thiu.

    u im:

    Phng php i s c u im l kh trc quan.

    Nhc im:

    Tuy nhin nhc im c bn ca phng php ny l rt kh c th nh gic kt qu thu c l ti u hay cha.

    2.3.2 Phng php ma trn cc n

    Phng php bng Cc n biu din hm logic dng bng Cc n sau dng cc tnh

    cht ca bng Cc n nhm cc t hp bin thch hp li vi nhau rt gn hmlogic. Cc bc thc hin phng php bng Cc n nh sau:

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    31/145

    31

    - Bc 1:

    Biu din hm cho di dng bng Cc n

    - Bc 2:

    Nhm cc c gi tr 1 hoc x (khng xc nh) cnh nhau hoc i xngnhau thnh cc vng. Vic nhm ny phi tha mn cc iu kin sau:

    S trong mt vng c dng 2m(1mN) vi m ln nht c th

    Cc vng c th giao nhau nhng khng c trm ln nhau

    Cc vng phi ph ht cc c gi tr 1 (khng phi ph ht cc khng xc nh).

    S vng phi l t nht

    - Bc 3:

    Mi vng s tng ng vi tch cc bin m gi tr cc bin l khng thay itrong cc trong vng . Hm rt gn bng tng cc tch tng ng vi ccvng

    Vic nhm cc c gi tr 1 cnh nhau hoc i xng nhau thnh mt nhm

    thc cht chnh l p dng tnh cht xy + xy= x ca php ton logic. Theo cch spxp ca bng cc n th hai cnh nhau hoc i xng nhau ch khc nhau mt gi trbin, v d l y. Nn khi ghp hai li vi nhau th s rt gn c bin y, v biuthc tng ng vi nhm 2 s ch cn li t hp cc bin khng i tr, y lx. Tnh cht ny c th m rng ra cho 2m cnh nhau hoc i xng nhau (qua trc

    phn chia vng nhn gi tr 0 v vng nhn gi tr 1 ca 1 bin). Ch rng trongnhm 2m th s c ng m bin b i tr. Gi tr ca m phi l ti a v s vng phil ti thiu m bo kt qu thu c l ti u.

    V d 1:

    Ti thiu ha hm logic f(x1, x2, x3) = (0,2,5,6,7)

    Biu din hm logic bng bng Cc n nh di y

    x2x3

    x1

    00 01 11 10

    0 1 0 0 1

    1 0 1 1 1

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    32/145

    32

    C th nhm cc vi nhau nh sau:

    - x1 . x2 . x3 (000) v x1 .x2.x3 (010), 2 ny ch c bin x2 l i tr nn t hp

    rt gn tng ng cn x1 . x3 - x1. x2 . x3(101) v x1.x2.x3 (111), 2 ny ch c bin x2 l i tr nn t hp

    rt gn tng ng cn x1.x3

    - x1.x2.x3 (111) v x1.x2.x3 (110), 2 ny ch c bin x3 l i tr nn t hprt gn tng ng cn l x1.x2

    Nh vy hm rt gn l f(x1,x2,x3) =x1 . x3 + x1.x3+ x1.x2

    Ta cng c th nhm cc theo cch khc nh sau

    x2x3x1

    00 01 11 10

    0 1 0 0 1

    1 0 1 1 1

    - x1 . x2 . x3 (000) v x1 .x2.x3 (010), 2 ny ch c bin x2 l i tr nn t hprt gn tng ng cn x1 . x3

    - x1. x2 . x3(101) v x1.x2.x3 (111), 2 ny ch c bin x2 l i tr nn t hprt gn tng ng cn x1.x3

    - x1 .x2.x3 (010) v x1.x2.x3 (110), 2 ny ch c bin x1 l i tr nn t hprt gn tng ng cn l x2. x3

    Nh vy hm rt gn l f(x1,x2,x3) =x1 . x3 + x1.x3+ x2. x3

    Ta nhn thy rng c th c nhiu kt qu rt gn khc nhau v u l kt quti u. iu ny hon ton hp l v tuy cch biu din c khc nhau nhng gi trhm s ng vi cng 1 t hp bin l nh nhau v s php ton thc hin hai biu

    din khc nhau ny l nh nhau (3 php nhn logic v 2 php cng logic) v l tithiu

    V d 2:

    Ti thiu ha hm logic f(x1, x2, x3)=(0,5,7) vi N = 2,3,6

    Biu din hm logic bng bng Cc n nh di y

    x2x3

    x1

    00 01 11 10

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    33/145

    33

    0 1 0 x x

    1 0 1 1 x

    Trong v d ny c c cc t hp khng xc nh nn khi nhm cc li vi

    nhau ta c th kt hp cc c gi tr 1 v cc khng xc nh c nhm c s l ti a. Ch rng khng nht thit cc vng phi ph ht cc khng xc nh.Trong v d ny c th nhm cc nh sau:

    - Hai x1 . x2 . x3 (000) v x1 .x2.x3 (010), 2 ny ch c bin x2 l i tr nn thp rt gn tng ng cn x1 . x3

    - Hai x1. x2 . x3(101) v x1.x2.x3 (111), 2 ny ch c bin x2 l i tr nn thp rt gn tng ng cn x1.x3

    Sau khi nhm nh trn ta thy cc c gi tr 1 c nhm ht vi s vng l t

    nht. Mt s khng xc nh khng nht thit phi thuc mt vng no . Nh vyhm rt gn l f(x1,x2,x3) =x1 . x3 + x1.x3

    Phng php bng Cc n kh trc quan, tuy nhin khi s bin tng ln th vicnhm cc tr nn phc tp v vic chn s ti a trong mt nhm cng nh s nhml ti thiu tr nn kh khn. Thm vo , vic thc thi phng php ny trn cccng c tnh ton rt kh khn.

    2.3.3 Phng php Quine Mc Clusky

    Cng nh phng php bng Cc n, phng php Quine Mc Clusky cng p dngtnh cht xy + xy= x ca php ton logic. Tuy nhin phng php ny xem xt lnlt kh nng rt gn ca tt c cc kt hp ca hai t hp bin ban u v c sautng bc rt gn. Bng cch ny vic rt gn c trnh by di dng thut ton vc th lp trnh cho my tnh thc hin.

    Cc bc thc hin thun ton Quine Mc Clusky nh sau:

    - Bc 1: Ghi cc t hp bin lm cho hm c gi tr bng 1 theo m nh phn. Cc

    bin b o th ghi thnh 0, cc bin c gi nguyn th ghi thnh 1. V dx1x2 x3 s ghi thnh 101

    - Bc 2: Nhm cc t hp bin theo s ch s 1 trong biu din nh phn ca thp bin. t tn nhm i l nhm c i ch s 1 trong biu din nh phn. Ghi cct hp bin ny trong 1 ct.

    - Bc 3: Xem xt kh nng ghp ca mi t hp ca nhm th i vi tng t hpca nhm th i+1 trong cng mt ct. Hai t hp ch c ghp vi nhau khi biudin nh phn ca chng ch khc nhau 1 bt cng 1 v tr. Khi ghp 2 t hp vinhau ta s ghi sang ct bn cnh t hp mi hnh thnh bng cch gi nguyn cc

    phn ging nhau v thay phn khc nhau bng du gch ngang (-). Sau khi xemxt tt c cc kh nng ghp ca ton b cc t hp, nh du sao (*) vo cc t

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    34/145

    34

    hp bin tham gia ghp v du v () vo cc t hp khng th ghp.

    - Bc 4: Lp li bc trn vi ct va mi hnh thnh cho n khi khng kt hpc na. Ch , hai t hp c du (-) ch ghp c vi nhau khi m ch c 1 vtr bt khc nhau v bt phi l 0 v 1, khng th l -.

    - Bc 5: Lp bng ph ti thiu chn s t hp khng th ghp ti thiu c thph ht c s t hp ban u. Bng ph ti thiu c cc ct tng ng vi s thp nguyn gc ban u. Cc hng tng ng vi s t hp khng th ghp cna. Trn mt hng, nu t hp ng vi hng c th ph t hp ng vi ct(nu thay t hp rt gn du - bng s 0 hoc 1 th s c t hp nguyn gcng vi ct) th ng vi ct nh du x. T bng ph nh du s chnc s t hp ti thiu.

    - Bc 6: Sau khi tm c s t hp ti thiu th chuyn cc t hp m nh phnthnh t hp bin tng ng (cc bin ng vi v tr c du - s b rt gn trong

    biu din). V d cho hm 3 bin f(x1,x2,x3) th 1-1 ng vi t hp x1x3. V tr x2tng ng vi du - nn b rt gn trong biu din.

    V d: Rt gn hm f(x1,x2,x3) = (0,1,4,5,7)

    Ta c bng th hin thut t bc 1 n 3 nh sau:

    Nhm Gi tr thpphn tngng

    T hp I T hp II T hp III

    0 0 000* 00-*(0&1)

    -00* (0&4)

    -0- (0&1;4&5)

    (0&4;1&5)

    1 1 001* -01* (1&5)

    10-* (4&5)4 100*

    2 5 101* 1-1(5&7)

    3 7 111*

    T hp 000(0) v 001(1) ch khc nhau 1 bt cui cng nn c th ghp chngc vi nhau v t hp mi hnh thnh lag 00-. Tng t vi cc t hp khc ta thuc ct t hp II. Trong t hp II ta thy 00- v 10- c cng ch khc nhau bt utin nn c th ghp c vi nhau v c t hp -0-. Tng t vi cc t hp khc.

    Ring t hp 1-1 khng ghp c vi t hp no nn ta nh du (). Cc t hp tham gia ghp c nh du (*). n ct t hp III th khng ghp c na nn qu

    trnh ghp dng y.

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    35/145

    35

    Tip theo l lp bng ph nh di y

    000 001 100 101 111

    -0- X x X x

    1-1 x x

    Ta thy 2 t hp -0- v 1-1 l ti thiu.

    Kt lun hm ti thiu s l f(x1,x2,x3) = x2 +x1.x3

    V d 2:

    Rt gn hm f(x1, x2, x3, x4) = (0,4,5,6,7,8,9,10,13,15)

    Nhm Gi tr thpphn tngng

    T hpI

    T hp II T hp III

    0 0 0000* 0-00(0&4)

    -000(0&8)

    1 4 0100* 010-*(4&5)

    01-0*(4&6)

    100-(8&9)

    10-0(8&10)

    01-- (4&5;6&7)

    (4&6;5&7)

    8 1000*

    2 5 0101* 01-1*(5&7)

    011-*(6&7)

    -101*(5&13)

    1-01(9&13)

    -1-1 (5&7;13&15)

    (5&13;7&15)6 0110*

    9 1001*10 1010*

    3 7 0111* -111*(7&15)

    11-1*(13&15)

    13 1101*

    4 15 1111*

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    36/145

    36

    Bng ph:

    0000 0100 0101 0110 0111 1000 1001 1010 1101 1111

    0-00 x X

    -000 x x

    100- x x

    10-0 x x

    1-01 x x

    01-- X X X x

    -1-1 X x x x

    C mt s gi chn ra cc t hp rt gn t bng ph nh sau:

    Trong cc ct, tm cc ct ch c 1 c du x, y l 3 ct 0110, 1010 v1111. Ta thy rng cc t hp ng vi cc du x tm trn phi c chn v ch cnhng t hp rt gn mi ph c cc t hp tng ng vi cc ct tm thy.

    Nh vy y ta chn 3 t hp rt gn l 01-- (ng vi 0110), 10-0 (ng vi 1010) v-1-1 (ng vi 1111). Ba t hp rt gn ph ht cc t hp 0100, 0101, 0110, 0111,

    1000, 1010, v 1111. Ta cn cc t hp nguyn gc cha b ph gm c 0000, 1001v 4 t hp rt gn cha dng n. n y vic chn t hp rt gn ph 3 t hpnguyn gc tr nn n gin hn nhiu. V d ta c th chn 0-00, khi 0000 b

    ph, v t hp -000 l khng cn thit, v cc t hp m t hp -000 ph b ph ht.Tip theo ta chn t hp rt gn 100- ph t hp nguyn gc cn li. Nh vy cct hp nguyn gc c ph ht. Cui cng ta thu c kt qu

    f(x1,x2,x3,x4) = x1 . x3 . x4 + x1.x2 . x3 + x1. x2 . x4 + x1 . x2 + x2. x4

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    37/145

    37

    CHNG 3: MCH LOGIC TUN T

    3.1 Khi nim c bn v mch logic tun t

    3.1 Khi nim c bn v mch logic tun t

    3.1.1 Gii thiu chung

    Mch logic tun t l mch m trong trng thi ca tn hiu ra khng nhngph thuc vo tn hiu vo m cn ph thuc vo c trnh t tc ng ca tn hiu vo,ngha l ph thuc vo cc trng thi trc ca mch hay l mch c nh cc trngthi. Nh vy, v mt thit b th mch tun t khng nhng ch c cc phn t ngm logic m cn c cc phn t nh.

    S cu trc c bn ca mch trnh t nh hnh 3.1. Nt c trng y l

    mch c phn hi th hin qua cc bin ni b (Y1, Y2 v y1, y2)

    Hot ng trnh t ca mch c th hin s thay i ca bin ni b Y.Trong qu trnh lm vic, do s thay i ca cc tn hiu vo X (X1, X2, ) s dnn thay i cc tn hiu ra Z (Z1, Z2, ) v c tn hiu ni b Y (Y1, Y2, ). Sthay i ca bin Y (Y1, Y2, ) s dn n thay i bin y (y1, y2, ) sau thi gian

    (1, 2, ). S thay i ca cc bin y (y1, y2, ) li c th dn n thay i cc tnhiu ra Z, k c Y, ri li s thay i ca Y li dn n s thay i ca y Qu trnhnu c tip tc lu di nh vy s lm cho h mt n nh, ngha l mch khng lm

    vic c. Yu cu t ra l phi lm cho mch n nh, ngha l khi mch tun t cs thay i ca tn hiu vo s chuyn t mt trng thi n nh ny sang trng thi nnh khc v tri qua mt s trng thi trung gian khng n nh.

    Hnh 3.1 Cu trc c bn ca mch trnh t

    3.1.2 M t hot ng ca mch tun t

    Y2y1 Y1

    x1

    x2

    Z1

    Z2

    y2

    MCHT HP

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    38/145

    38

    Mt trong nhng cng c m t hot ng ca mch tun t l biu ng mtheo thi gian. V d ta c s mch rle-tip im (hnh 3.2a) v biu ng m(hnh 3.2b) di y

    Hnh 3.2: S mch r le tip im v biu ng m

    Trong biu ng m, chiu ngang biu th thi gian. Cc s biu th tntrng thi ca h thng. Nt m biu th ti thi im gi tr bin l 1 (nt b nhoc cun dy c in). Nt mnh biu th gi tr 0 (nt n trng thi khng b tcng, cun dy cha c in).

    Trng thi 1 l trng thi ban u, tc l cha tc ng g vo cc bin u vo.Khi , cc nt cha b tc ng, a1=a2 = 0 v cc cun dy cha c in, Y=Z=0.

    Nu ta ch n nt a1, a1=1, th cun dy Y c in, Y=1 (trng thi 2). Nu tanh tay ra khng n nt a1 na, a1=0, cun dy Y mt in, Y=0, h thng quay vtrng thi 1.

    Tip theo, nu ta ch n nt a1 th h thng trng thi 2. Khi , cun dy Yc in lm cc tip im y ng li. Sau trong lc vn gi nt a1 v n tip nt a2,a2=1. Nt n thng ng a2 m ra, tuy nhin cun dy Y vn c in v tip im ysong song vi a2 ng li trc duy tr in cho cun dy Y. Nt n a2 thng

    m by gi ng li, cng vi tip im y ng lm cho cun dy Z c in Z=1(trng thi 3). By gi ta nh tay khi nt n a2, a2=0, (vn n nt a1)th tip im a2thng m s tr v trng thi thng m v lm cho cun dy Z mt in, Z = 0.Cun dy vn c in, Y =1. H thng tr li trng thi 2. V nu ta nh tay khi nta1 th trng thi li tr v trng thi 1.

    Khi h thng ang trng thi 1, nu ta ch n nt a2, a2 = 1, khi c cundy Y v Z cng u khng c in, Y = Z = 0 (trng thi 4). Nu ta vn gi a2 v nthm a1 th cun dy Y v Z cng vn khng c in. V khi nt n thng nga2 b n trc nn mch b h. Khi n nt a1 cng khng lm Y c in. V Y khngc in nn tip im y vn m, lm cho Z cng khng c in. y l trng thi s 5.

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    39/145

    39

    Nu sau ta vn gi nt a1 v th nt a2 ra th h thng quay v trng thi 2. V nuth nt a1 ra th t trng thi 2 h thng s tr v trng thi 1.

    C th thy r trong v d ny khc bit c bn ca mch logic tun t vmch t hp. Trong mch logic t hp, hm ch c 1 gi tr vi 1 t hp bin. Tuynhin trong mch logic tun t th khc. Trong v d ny trng thi 3 v 5 c cng thp bin u vo (a1=a2=1), tuy nhin u ra ca trng thi 3 l Y=Z=1, khc vi ura ca trng thi 5 l Y=Z=0. Gi tr ca mch logic tun t khng nhng ph thucvo t hp u vo m cn ph thuc vo trnh t thc hin, tc l cc trng thi trc na, hay ni mt cch khc l mch c nh.

    3.2 Tng hp mch logic tun t

    Bi ton tng hp mch tun t l bi ton kh, hn na t mt yu cu rali c nhiu cch gii quyt khc nhau, do vy vn chung y l phi da vomt ch tiu ti u no , ng thi tm c li gii ti u th ngoi cc suy onlogic ngi thit k cn phi tn dng cc kinh nghim thc t rt phong ph v adng. Di y ch cp n mt s bc thc hin chung v cc v d c th minh ha phng php tng hp mch tun t. Cc phng php tng hp mch tunt c gii thiu:

    - Phng php ma trn trng thi- Phng php Grafcet

    3.2.1 Phng php ma trn trng thi

    Thng thng trong thc t, ngi thit k s nhn c mt yu cu tng hpnn mch logic thc hin mt yu cu cng ngh c t ra no . Do bcu tin l phi m ha bi ton di dng cc bin logic, sau th s dng cccng c ton hc tng hp ra cc hm logic tha mn yu cu cng ngh t ra.Sau khi m ha bi ton ri c th s dng phng php ma trn trng thi tnghp.

    Trnh t tng hp mch logic tun t s dng phng php ma trn trng thi nhsau:

    Bc 1:

    Xc nh cc trng thi ca h v xy dng graph chuyn trng thi. u tin ta philit k c tt c cc trng thi m ta cn quan tm ca h v phi ch ra c milin h gia cc trng thi bng cc cung trn c hng. Mt cung trn c hngt trng thi i n trng thi j cho ta bit h thng c th chuyn t trng thi i sang

    trng thi j.

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    40/145

    40

    Bc 2:

    Lp bng chuyn trng thi MI. Bng chuyn trng thi MI c s hng bng s trngthi ca h, mi hng ng vi mt trng thi. S ct chia lm 2 vng, vng cc binu vo v vng cc bin u ra. Ti vng cc bin u ra, s ct bng s u ra, v tain gi tr ca cc bin u ra ng vi cc trng thi ca h vo cc tng ng vihng ca trng thi .

    i vi vng bin u vo, s ct bng s t hp bin u vo (N bin u vo s c2Nct). Mi ct ng vi mt t hp bin u vo v c vit theo th t ging nhvi bng Cc n. Vic in vo cc vng ny nh sau (da vo graph chuyntrng thi)

    a) Ti trong hng ca trng thi i, thuc ct ng vi u vo ca trng thi i

    ta in (i) (s v c vng trn bao quanh). Ta gi y l cc trng thi i nnh.b)Nu trng thi i c th chuyn sang trng thi j th ti trong hng ca

    trng thi i, thuc ct u vo ca trng thi j ta in s j. Ta gi l trngthi trung gian.

    Bc 3:

    Rt gn bng chuyn trng thi MI thnh MII. Nguyn tc rt gn bng chuyn MI lta ghp cc hng ca bng MI. Cc hng trong bng MI c th ghp c vi nhau khichng tha mn cc yu cu sau:

    a) Khng quan tm n cc u ra ca cc trng thi ng vi cc hngb) Tt c cc cng ct phi c in cng mt s (khng quan tm n n

    nh hay trung gian), hoc l trng. Nu ch cn mt ct c 2 trong ct in s khc nhau th khng ghp c.

    Nguyn tc ghp 2 hay nhiu hng trong bng MI thnh mt hng trong bng MII nhsau:

    a) Nu ghp cc c trng thi n nh th ghi trng thi n nhb) Nu khng c trng thi n nh th ghi trng thi trung gian (nu c)

    hoc trng.Ch :Trong bng MII khng c vng u ra, v khi ghp cc hng vo th mt hngtrong MII c th ng vi nhiu u ra.

    Bc 4:

    Xc nh v m ha bin trung gian. T bng MII ta s xc nh s bin trung giancn thit. Nu s hng ca MII l H th s bin trung gian l S, l s nh nht sao cho2SH. Sau khi chn s bin trung gian, ta s phn chia cc hng (cc trng thi) ngvi cc t hp bin trung gian mt cch hp l.

    Bc 5:

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    41/145

    41

    Lp bng Cc n xc nh hm logic cho cc bin trung gian v u ra. Bng Ccn s tng t nh MII vi s ct bng s ct ca MII ng vi cc t hp ca binu vo, s hng l 2Sng vi cc t hp ca cc bin trung gian. Vic in vo cc ca bng Cc n nh sau:

    a) Nu cc trong MII l trng th cc tng ng trong bng Cc ncng l cc trng ( trng tng ng vi trng thi khng xc nh)

    b) Nu cc trong bng Cc n khng c tng ng trong bng MII (dotrong trng hp 2S>H, s c mt s hng c trong bng Cc n nhngkhng c trong MII) th cc ny cng trng

    c) Nu cc trong bng MII ghi trng thi n nh th cc tng ng trongbng Cc n ca bin ra hoc bin trung gian ghi gi tr ca bin ngvi trng thi c ghi trong .

    d) Nu cc trong bng MII ghi trng thi trung gian th cc tng ng

    trong bng Cc n ca bin ra trng, cc tng ng trong bng Ccn ca bin trung gian ghi gi tr ca bin trung gian ng vi trng thic ghi trong .

    Sau khi lp c bng Cc n cho cc bin trung gian v u ra th ta s ti thiuha cc bng Cc n v tm c hm logic cho bin ra v bin trung gian. Nhvy cc bin trung gian v u ra l hm ca u vo v cc bin trung gian.

    V d 3.1

    Cho cng ngh nh hnh v di y

    Ban u thit b chm vo a0 v di chuyn sang phi. Sau thit b chm vo a1 vdi chuyn sang tri. Tip theo thit b li chm vo a0 v chu trnh c lp li. Ch rng Khi thit b ri khi v tr ca cm bin th cm bin li tr v trng thi khng

    tc ng.Trnh t tng hp mch thc hin cng ngh ny nh sau:

    Bc 1:

    - Xc nh bin vo l a0 v a1; bin ra l A+ (sang phi) v A- (sang tri)- S trng thi v graph chuyn trng thi: vo/ra = a0a1/A+A-

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    42/145

    42

    Trng thi 1 l trng thi cm bin a0 tc ng, a0 =1, a1 cha tc ng,

    a1=0. Khi thit b chy sang phi, A+ =1, A-=0 (a0a1/A+A- = 10/10). Khi thitb chy khi v tr cm bin th a0 tr v trng thi khng tc ng (a0=0), thit bvn tip tc chy sang phi, ta c trng thi 2 (00/10). Khi thit b chy v tc

    ng vo cm bin a1, a1=1, th thit b chuyn hng chy sang tri (A+ = 0; A-= 1). Ta c trng thi 3 (01/01). Khi thit b chy khi v tr cm bin th a1 tr vtrng thi khng tc ng (a1=0), thit b vn tip tc chy sang tri, ta c trng

    thi 4 (00/01). Thit b sau s gp a0 v chu trnh s lp li.

    Bc 2:

    - Lp bng chuyn trng thi MI

    Trng thi 1 c u vo l 10 nn hng 1, ct ng vi t hp 10 ta ghi (1).Tng t ta ghi cc trng thi n nh khc nh (2) hng 2, ct 00; (3) hng 3 ct01; v (4) hng 4, ct 00.

    Trng thi 1 c th chuyn sang trng thi 2 nn hng 1, ct ng vi t hp00 l t hp ng vi trng thi 2, ta ghi s 2. Tng t ta ghi 3 hng 2, ct 01; 4 hng 3, ct 00 v 1 hng 4 ct 10.

    Bc 3:

    - Rt gn bng chuyn trng thi MI thnh MIITa thy hng 1 v 2 c th ghp vi nhau, hng 3 v 4 c th ghp vi nhau. Bngchuyn MII s nh sau

    ct 1 ca hng 1 l 2 n nh, hng 2 l 2 trung gian nn ghp thnh 2 n nh

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    43/145

    43

    ct 2 hng 1 l trng, hng 2 l 3 trung gian nn ghp thnh 3 trung gian

    ct 3 hng 1 v 2 u l trng nn ghp thnh trng

    ct 4 hng 1 l 2 trung gian, hng 2 l trng nn ghp thnh 2 trung gian.

    Tng t ta c kt qu ghp hng 3 v 4 nh trong bng.

    Cc ch s nh ch t hp u ra vi trng thi n nh

    Bc 4:

    - Xc nh v m ha bin trung gian. Ta thy MII c 2 hng nn ta cn 1 bin trunggian, t l X. Ta phn chia hng 1 ca MII (l trng thi 1 v 2) ng vi X =0, vhng 2 ca MII (l trng thi 3 v 4) ng vi X = 1.

    Bc 5:

    - Lp cc bng Cc n v tm hm logic cho cc bin trung gian v bin ra

    a) Vi bin trung gian X

    Cc trng thi 1 v 2 ng vi X = 0 nn trong cc trong bng Cc n ng vi cc trong MII c ghi trng thi 1 v 2 (n nh v trung gian) ta in gi tr 0. Cc trngthi 3 v 4 ng vi X = 1 nn trong cc trong bng Cc n ng vi cc trong MIIc ghi trng thi 3 v 4 (n nh v trung gian) ta in gi tr 1. Cui cng ta c

    bng Cc n nh hnh v v ti thiu ha c hm sau : X = a1 + a0 X

    b) Vi bin u ra A+

    Cc trng thi 1 v 2 ng vi A+ = 1, trng thi 3 v 4 ng vi A+ = 0 nn trong cc trong bng Cc n ng vi cc trong MII c ghi trng thi 1 v 2 n nh ta in gi

    tr 1, ghi trng thi 3 v 4 n nh ta in 0, ghi cc trng thi trung gian ta trng.Cui cng ta c bng Cc n nh hnh v v ti thiu ha c hm sau A+= X.

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    44/145

    44

    c) Vi bin u ra A-

    Cc trng thi 1 v 2 ng vi A- = 0, trng thi 3 v 4 ng vi A- = 1 nn trong cc trong bng Cc n ng vi cc trong MII c ghi trng thi 1 v 2 n nh ta in gitr 0, ghi trng thi 3 v 4 n nh ta in 1, ghi cc trng thi trung gian ta trng.Cui cng ta c bng Cc n nh hnh v v ti thiu ha c hm sau A= X.

    Trong v d 3.1 ta khng nh ngha trng thi c u vo l a0a1= 11. Ph thuc voyu cu cng ngh m ta phi xem xt tt c cc kh nng c th ca h thng hay chxem xt nhng trng thi m ta coi l quan trng v khng quan tm n cc trng thic th khc. V nguyn tc, vic xem xt n tt c cc trng thi c th ca h thngm bo h thng hot ng chnh xc v tin cy.

    V d 3.2:

    Cho 2 nt n m v d iu khin thit b T. Nu n nt m s cp in cho T, n nt d

    s ct in ca T. Cc nt n d v m u l nt n dng xung. Tng hp mch iukhin thit b T

    Bc 1

    - Xc nh bin vo: m v d; bin ra T. Khi b n nt th cc bin vo c gi tr 1,khi khng b tc ng th c gi tr 0. Khi T c cp in th T = 1, khi khng cin th T = 0.

    - Xc nh s trng thi v graph chuyn trng thi, k hiu vo/ra = md/T

    y ta xt tt c cc kh nng c th xy ra ca h. Trng thi 1 l trng thi banu. Nu ta n nt m th h thng chuyn sang trng thi 2 (T=1). Khi ta nh tay khint m th T vn c in (T=1) v ta c trng thi 3. Nu h ang trng thi 3 m ta

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    45/145

    45

    n nt d th h chuyn sang trng thi 4, T mt in (T=0). Sau ta nh tay ra khint d th h quay v trng thi 1.

    Ngoi ra ta cng xem xt cc kh nng t trng thi 1 chuyn sang trng thi 4, ttrng thi 3 chuyn v trng thi 2, v trng thi 2 v 4 chuyn qua li vi nhau.

    Ta cng xt n trng thi 5 l trng thi c 2 nt m v d b n cng lc, khi taquyt nh u ra T =0. Ngoi ra ta cng xt n mi lin h ca trng thi 5 v 4trng thi khc ca h, nh ch ra trong graph chuyn trng thi.

    Bc 2

    - Lp bng chuyn trng thi MI

    Bc 3

    Rt gn bng chuyn trng thi MI thnh MII: ta thy hng 1, 4, v 5 c th ghpc vi nhau; hng 2 v 3 cng c th ghp c vi nhau. Bng chuyn MII s nhsau:

    Bc 4

    - Xc nh v m ha bin trung gianTa thy MII c 2 hng nn ta cn 1 bin trung gian l X. Ta phn chia hng

    1 ca MII (l cc trng thi 1, 4, v 5) ng vi X =0, v hng 2 ca MII (l cctrng thi 3 v 4) ng vi X = 1.

    Bc 5

    - Lp bng Cc n v tm hm logic cho cc bin trung gian v bin raa) Vi bin trung gian X

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    46/145

    46

    X = md+ Xd= (m + X)db) Vi bin ra T

    T = X

    Ch : c hai v d ny ta thy bin trung gian trng vi 1 bin ra. Ta nhn thyrng khi cc trng thi c ghp vo trong mt hng m c cng gi tr u ra th cth chn bin u ra bng bin trung gian. V d trong v d 3.1 trng thi 1 v 2 ccc hng tng ng c ghp vi nhau u c u ra A+ =1, A-=0; trng thi 3 v 4u c u ra A+=0, A-=1 nn ta c th chn X = A-. Tng t v d 3.2 trng thi1, 4, v 5 c cng gi tr T =0, trng thi 2 v 3 c cng gi tr T =1 v cc hng tng

    ng ca chng c ghp vi nhau nn ta c th chn X = T.

    i vi phng php ma trn trng thi, khi s u vo tng ln th vic tng hp trnn phc tp do gp kh khn trong vic ghp cc hng mt cch ti u v bng Ccn c kch thc qu ln. Trong nhiu trng hp ta c th rt gn c s bin uvo nh trong v d di y.

    V d 3.3

    Cho cng ngh nh hnh v di y

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    47/145

    47

    H thng gm 2 c cu chuyn ng ln-xung v phi-tri. u tin c culn xung s thc hin chuyn ng i xung (c cu chuyn ng phi-tri ng im).Khi gp cm bin a1 th s thc hin chuyn ng ln (c cu chuyn ng phi-trivn ng im). Khi gp cm bin a0 th c cu ln-xung dng, c cu phi-tri thc

    hin chuyn ng sang phi. Khi gp cm bin b1 th thc hin chuyn ng sang tri.Khi gp b0 th c cu phi tri dng v c cu ln xung thc hin chuyn ng ixung v chu trnh s c lp li.

    y ta c 4 bin u vo (a0a1b0b1) v 4 bin u ra (A+A-B+B-). Bnhthng graph chuyn trng thi s gm 8 trng thi nh di y

    Tuy nhin ta c th rt gn s bin u vo v s trng thi ca h nh sau:

    Chn bin a thay cho a0 v a1 sao cho: a0 tc ng lm cho bin a c thitlp gi tr 0 duy tr gi tr 0 khi a0 ngng tc ng. V bin a ch c thit lp gitr 1 khi bin a1 tc ng v duy tr gi tr 1 khi a1 ngng tc ng. C th thy rnga0 ng vai tr nt d, a1 ng vai tr nt m v a ng vai tr T trong v d 3.2, do ta c mi quan h sau a =(a1 + a)a0 .

    Tng t ta chn bin b thay cho b0 v b1, v ta co mi quan h b =(b1 + b)b0

    Sau khi chn 2 bin mi a v b lm bin u vo th ghraph chuyn trng thi ca hmi vi quan h vo/ra l ab/A+A-B+B- s nh sau

    By gi bi ton tr nn n gin hn vi 2 u vo v 4 trng thi.

    Kt qu

    - Bin trung gian X = a + bX- Cc bin ra: A+= bX; A = a; B+ = aX; B = b

    3.2.2 Phng php Grafcet

    Grafcet l t vit tt ca ting Php Graphe fonctinnel de commande tapetransition l mt hnh chc nng cho php m t cc trng thi lm vic ca h

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    48/145

    48

    thng v biu din qu trnh iu khin vi cc trng thi chuyn bin t trng thiny sang trng thi khc, l mt graph nh hng v c xc nh bi cc phnt sau

    G := {E, T, A, M}

    Trong

    - E = {E1, E2, , Em } l tp hu hn cc trng thi (giai on) ca h thng. Mitrng thi ng vi nhng tc ng no ca phn iu khin v trong mt trngthi cc hnh vi iu khin l khng thay i. Mt trng thi c hai kh nng lhot ng v khng hot ng. iu khin chnh l thc hin cc mnh logiccha cc bin vo v cc bin ra h thng c c mt trng thi xc nhtrong h v cng chnh l mt trng thi ca grafcet.

    - T = {t1, t2, , tp} l tp hu hn cc chuyn tip (chuyn trng thi). Hm Boole

    gn vi mt chuyn tip c gi l mt tip nhn. Gia hai trng thi lunlun tn ti mt chuyn tip.

    - A = {a1, a2, , an} l tp cc cung nh hng ni gia mt trng thi ny vimt chuyn tip hoc gia mt chuyn tip vi mt trng thi

    - M = {m1, m2, , mm} l tp cc gi tr 0 v 1. Nu mi = 1 th trng thi i l hotng, nu mi = 0 th trng thi i l khng hot ng.

    Grafcet cho mt qu trnh lun lun l mt hnh khp kn t trng thi u ntrng thi cui v t trng thi cui n trng thi u.

    a. Mt s k hiu dng trong grafcet- Mt trng thi c biu din bng mt hnh ch nht c nh s. Gn lin vi

    biu tng trng thi l mt hnh ch nht bn cnh, trong hnh ch nht ny cghi cc tc ng ca trng thi . Trng thi khi u c th hin bng hai hnh ch nht lng vo nhau Trng thi ang hot ng c thm du trong hnh ch nht trng thi

    - Mt chuyn tip c biu din bng ng gch -, bn cnh ghi cc tc nhnkch thch (bin vo) lin quan n chuyn tip .

    b. Quy tc vt qua chuyn tip (quy tc hot ng ca grafcet)

    - Mt chuyn tip l hp cch (hoc chun) khi tt c cc trng thi u vo ca n(cc trng thi c cung nh hng ni theo hng t trng thi n chuyntip) l hot ng. Mt chuyn tip ch c vt qua khi n l chun v tipnhn gn vi chuyn tip l ng.

    - Vic vt qua mt chuyn tip s lm hot ng trng thi k tip (trng thi ccung nh hng i t chuyn tip n n) v kh b hot ng ca trng thi uvo ca chuyn tip

    V d 1

    Cho cng ngh nh hnh di y

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    49/145

    49

    Grafcet ng vi cng ngh ny nh hnh di y

    Trng thi 0 (S0) l trng thi ban u (k hiu l 2 hnh ch nht lng vonhau)

    Trng thi 1 (S1) v 2 (S2) l cc trng thi ca h. Trng thi 1 ng vi

    chuyn ng sang phi v tng ng vi bin S1 = A+. Trng thi 2 ng vi chuynng sang tri v tng ng vi bin S2 = A-. Gia trng thi 0 v trng thi 1 c mtchuyn tip t1 vi tip nhn t1 = a0. Gia trng thi 1 v trng thi 2 c mt chuyntip t2 vi tip nhn t2=a1. Gia trng thi 2 v trng thi 0 c mt chuyn tip t3 vitip nhn t3 = a0.

    Ta c cc cung nh hng t S0 ti t1, t1 ti S1; S1 ti t2, t2 ti S2; S2 ti t3, t3 tiS0.

    Gi s h thng ang trng thi ban u (c mt du trng thi S0). Khi chuyn tip t1 l chun. Nu tip nhn t1 = a0 ng (t1=a0=1) th chuyn tip t1c vt qua. Khi trng thi 1 s hot ng v trng thi ban u s ngng hot

    ng. Du s chuyn t trng thi S0 sang trng thi S1. iu ny tng ng vivic h chuyn t trng thi ban u sang trng thi sang phi. V khi chuyn tipt2 l chun.

    Vt chuyn ng sang phi v tc ng ln cm bin a1, khi tip nhn t2 =a1 =1 ng v chuyn tip t2 c vt qua. Khi trng thi 1 s ngng hot nghot ng (ngng chuyn ng sang phi) v trng thi 2 s hot ng (chuyn ngsang tri). Du s chuyn t trng thi S1 sang trng thi S2.

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    50/145

    50

    Tip theo l tip nhn t2 l chun v khi cm bin a0 b tc ng th h schuyn sang trng thi S0 v ngay sau chuyn sang S1.

    c. Phn nhnh trong grafcet

    - Phn k HOC

    Nu trng thi Sihot ng v chuyn tip ai+1(hoc ai+2hoc ai+3) ng th h

    chuyn sang trng thi Si+1(hoc Si+2hoc Si+3).- Hi t Hoc

    Nu trng thi Si+1hot ng v chuyn tip ai+1ng th h chuyn sang hot

    ng trng thi Si+4. Tng t nh vy nu trng thi S i+2(hoc Si+3) hot ng vchuyn tip ai+2(hoc ai+3) ng th h chuyn sang trng thi Si+4.

    - Phn k V

    Nu trng thi Sihot ng v chuyn tip ai+1ng th tt c trng thi Si+1,

    Si+2v Si+3ng thi hot ng.- Hi t V

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    51/145

    51

    Nu trng thi Si+1, Si+2 v Si+3 cng hot ng v chuyn tip ai+1 ng th

    trng thi Si+4s hot ng, ng thi 3 trng thi trn cng ngng hot ng.

    V d 2:Cho cng ngh nh hnh v di y

    H thng gm 2 c cu chuyn ng ln-xung v phi-tri. u tin c culn xung s thc hin chuyn ng i xung (c cu chuyn ng phi-tri ng im).Khi gp cm bin a1 th s thc hin chuyn ng ln (c cu chuyn ng phi-trivn ng im). Khi gp cm bin a0 th c cu ln-xung dng, c cu phi-tri thchin chuyn ng sang phi v mang theo c c cu ln-xung. Khi gp cm bin b1c cu phi-tri dng v c cu ln xung hot ng thc hin chuyn ng xungth

    thc hin chuyn ng sang tri. Khi gp cm bin a1 th s thc hin chuyn ngln (c cu chuyn ng phi-tri vn ng im). Khi gp cm bin a0 th c cu ln-xung dng, c cu phi-tri thc hin chuyn ng sang tri v mang theo c c culn-xung. Khi gp b0 th c cu phi tri dng v c cu ln xung thc hin chuynng i xung v chu trnh s c lp li.

    Grafcet ng vi cng ngh ny nh hnh di y

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    52/145

    52

    d.

    Grafcet v hm logic tng ng

    Sau khi din t cng ngh bng GRAFCET, ta c th s dng mt s cngc vn hnh GRAFCET thc hin cng ngh yu cu. Mt trong nhng cng cny l s dng PLC vi ngn ng lp trnh SFC, s c gii thiu phn sau.

    phn ny ta s xem xt cch chuyn i t GRAFCET thnh cc hm logic thchin cng ngh yu cu qua cc phn t logic c bn.

    Ta nhn thy vi mi trng thi Si ca h s phi c 2 hm: hm ng, tc lhm lm cho trng thi bt u lm vic, k hiu l Si+; v hm ct, tc l hm lmcho trng thi ngng hot ng, k hiu l Si-.

    Theo quy tc vt qua chuyn tip, trng thi Si s bt u hot ng khi trngthi trc n (trng thi u vo, gi s l Si-1) l hot ng v tip nhn ti gn vichuyn tip nm gia Si-1 v Si l ng. T ta c hm ng Si+ = ti.Si-1

    Cng theo quy tc vt qua chuyn tip, khi trng thi Si+1(l trng thi phasau,trng thi u ra ca trng thi Si) hot ng, n s kh b hot ng ca trngthi Si. Do ta c hm ct Si- = Si+1.

    Nh vy ta c th thnh lp c hm ng v hm ct ca trng thi Si tcc trng thi ngay trc v ngay sau, v cc tip nhn lin quan. T hm ng vhm ct ny ta c th xc nh c hm logic ca trng thi Si da vo kt qu

    phn trc nh sau:

    S= (S+ S)S

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    53/145

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    54/145

    54

    CHNG 4

    TNG QUAN V PLC

    4.1 Gii thiu v PLC

    1.3.104.1.1 nh ngha v v tr ca PLC trong h thng iu khin

    PLC l tn gi ca thit b iu khin logic lp trnh c, xut pht t ba chci u ca tn gi ting anh Programmable Logic Controller. Ngoi ra, PLC cnc nh ngha l thit b iu khin c cu trc my tnh. Nh vy, PLC c y cc thnh phn ca my tnh: CPU, ROM, RAM, BUS, I/O

    u tin, PLC c xy dng thay th cho h thng iu khin logic sdng cc r le in t, r le thi gian, b m v cc mch in t vi cc ic s

    c ni dy phc tp. PLC cng c chun ha cc u vo, u ra, ngun cp thun tin cho vic u ni, hot ng n nh v thc hin cc logic iu khin bngchng trnh bn trong PLC. Sau y, v s thun li trong ng dng v s pht trinmnh m ca cng ngh in t, bn dn, PLC pht trin v xut hin trong hu htcc v tr ca h thng iu khin. T thit b cp trng n cc vi tr iu khin,gim st h thng, t cc my sn xut n l ti ton b h thng trong phn xng,nh my.

    Hnh 4.1: V tr ca PLC trong h thng iu khin

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    55/145

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    56/145

    56

    mnh. Cc khi thng c lp vo cc khe cm (Slot) trn cc bn mch (Busmodule, Backplane).

    Hnh 4.2: PLC dng khi c nh

    4.2 Cu trc phn cng

    PLC c rt nhiu hnh dng khc nhau, dng khi c nh hoc khi chc nngring bit. Tuy nhin, cc h PLC lun c thnh phn tng t nhau: khi ngun, khix l trung tm, khi vo/ra v giao tip. S khi c bn ca PLC c th hintrn hnh

    Khi x l trung tm(CPU)

    Khi u ra(OUTPUT)

    Khi u vo(INPUT)

    Khi ngun(POWER SUPPLY)

    Khi giao tip

    (COMMUNICATION)

    Hnh 4.3: S khi c bn ca PLC

    1.3.134.2.1. Khi ngun

    Khi ngun c chc nng cung cp ngun mt chiu n nh cho cc khi khctrong h thng. Thng thng, in p ngun u vo cho khi ngun l in p xoaychiu 120VAC n 220VAC (cho hu ht PLC) hoc in p mt chiu 24VDC (chomt s loi PLC nh). in p u ra ca khi ngun l in p mt chiu. i vikhi ngun c lp th in p u ra thng l 24VDC, trong mt s trng hp s

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    57/145

    57

    c cc u ra in p khc nhau: 5VDC, 15VDC, 24VDC. Cng sut ca loi nyc th la chn t nh n ln ty vo cng sut tiu th ca cc thit b, khi chcnng c cung cp. i vi cc khi ngun nm trong khi MAIN, in p u ra sc nhiu gi tr khc nhau v c cng sut nh.

    Hnh 4.4: Khi ngun c lp

    1.3.14 4.2.2. Khi x l trung tm (CPU)

    Khi x l trung tm l b no ca PLC, c chc nng nhn d liu t ccmodule vo, thc hin chng trnh, a ra kt qu v iu khin cc thit b c nivo module ra. CPU c th c ch to thnh khi c lp hoc nm trong khiMAIN. Cc thnh phn chnh ca CPU gm c: b vi x l, b nh (MEMORY), busa. B vi x l:

    B vi x l l ht nhn ca CPU, quyt nh tc x l, kh nng qun l ngoivi ca PLC. Ty vo hng sn xut v loi PLC, cc b vi x l c s dng c th l8 bit, 16 bit hoc 32 bit Mt s loi PLC c dng Modular c th dng nhiuCPU trong mt h thng. Vic c nhiu CPU gip tng kh nng v tc x l, tnhton, truyn thng trong khi cc CPU c th chia s cc ti nguyn khc v d

    phng khi mt trong cc CPU b li.b. B nh:

    B nh l thit b lu tr cc thng tin: chng trnh, d liu, tham s h thng,cu hnh h thng Vic t chc v qun l b nh do nh sn xut quy nh. B nhc th c chia thnh hai loi: b nh duy tr (non-Volatile) v b nh khng duy tr(Volatile). Ni dung ca b nh dy tr s khng b mt khi mt in. Tuy nhin, tc c/ghi (truy cp) b nh chm. V vy, b nh duy tr thng c dng lm bnh chng trnh, b nh lu d liu. B nh khng duy tr s mt ni dung khi mtin nhng li c tc truy cp cao. B nh khng duy tr thng c dng lm b

    nh m CPU x l, tnh ton, lu cc gi tr bin trung gian. Trong mt s trng

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    58/145

    58

    hp, b nh khng duy tr cng c s dng lm b nh d liu khi c thm ngunnui cho b nh (thng l pin).Mt s loi b nh dng lm b nh cho PLC: ROM, EEPROM, RAM, SRAM,DRAM, FLASH

    Vic c/ghi thng tin vi b nh c gi l truy cp b nh. B nh c thc truy cp theo tng bit (vi d liu nh phn 1, 0); theo tng Byte (d liu 8 bit);theo tng Word (16 bit) v Double Word (DWord) (32 bit).c. BUS:

    BUS l h thng ng dn thng tin gia cc phn trong CPU. BUS trongPLC c chia thnh ba loi: BUS a ch (Address BUS), BUS iu khin (ControlBUS), BUS d liu (Data BUS). BUS a ch cha thng tin a ch ca nh, bytenh BUS d liu c s dng truyn ni dung b nh. BUS iu khin dng truyn cc tn hiu quy nh c/ghi b nh.

    1.3.15

    4.2.3. Khi vo/ra

    Cc module vo/ra l cc thit b m t CPU trao i thng tin, d liu vith gii bn ngoi. Cc module vo nhn tn hiu t cc thit b vo, bin i thnh dliu gi n CPU. Cc module ra nhn d liu t CPU, bin i thnh tn hiu iukhin cc thit b ra. Do ngun tn hiu vo v ra rt a dng v chng loi, nn ccmodule vo/ra cng c rt nhiu loi. Cc loi vo/ra c bn c chia thnh: ccmodule vo/ra ri rc, module vo/ra tng t, module vo/ra c bit, Cc module

    vo ra cng ging CPU, ngun, c ch to theo chun v tng thch vi CPU vtng thch vi nhau qua cc khe cm.Vic trao i d liu gia CPU v cc module vo/ra nh thao tc c/ghi qua BUS.Mi ln trao i d liu 8 bit hoc 16 bit ty vo loi PLC. H thng qun l uvo/ra theo a ch. Cc a ch ph thuc vo v tr lp cc module vo/ra trong hthng, loi ca module vo/ra.

    4.3 Hot ng ca PLC

    PLC hot ng theo nguyn tc qut vng (SCAN). Mi vng qut (chu kqut Scan Cycle) c thc hin qua 3 giai on c bn.

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    59/145

    59

    Hnh 4.5. Chu k hot ng ca PLC

    Giai on u tin, PLC thc hin c cc trng thi tn hiu cc module vo,lu gi vo vng nh u vo lm d liu thc hin chng trnh. Giai on tiptheo, chng trnh lu trong b nh c thc hin. Kt qu s c lu tr trong bnh s dng trong cc chu k qut sau hoc gi ra cc u ra. giai on th 3,PLC gi d liu n vng nh u ra v bin i thnh tn hiu iu khin cc c cuchp hnh, cc thit b thc c ni vi module ra. PLC s tip tc vng qut sau vqu trnh ny c lp i lp li lin tc. Ngoi ra cn mt s chng trnh con, ngts to ra cc chu k qut ph ca PLC.

    Thi gian thc hin 1 vng qut c nh hng ln n tc x l v kh nngx l thi gian thc ca PLC. V vy, PLC hu ht ch c s dng trong cc bi toniu khin c chu k lm vic v thi gian iu khin ln. Chu k qut ca PLC phthuc vo cc nhn t sau: tc x l ca vi x l ca CPU, di chng trnh, slng cc u vo, ra. Ngoi ra, chu k qut cn ph thuc vo s lng v ni dungcc chu k qut ph. i vi mt h PLC, gim chu k qut, cn thc hin ti uchng trnh v la chn cc loi PLC c kh nng ph hp vi tng ng dng c th.

    Nguyn tc hot ng qut vng ca PLC hn ch kh nng x l tc thi ca PLC.Tuy nhin, cc PLC hin i ngy cng c trang b v tng cng kh nng x l

    ngt. V vy, cc PLC dn khc phc c nhc im v chu k qut.

    4.4 Cc lnh trong PLC (Cc ngn ng lp trnh PLC)

    4.4.1 Ladder

    Ngn ng lp trnh gin thang LD (Ladder Diagram) c ngi M pht

    minh t mt vi th k trc thay th iu khin rle v l ngn ng lp trnh PLC

    ph bin nht y hin nay, vi khong 95% ng dng. Ngn ng ny c chp

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    60/145

    60

    nhn rng ri khp ni trn th gii, hu ht ngi lp trnh iu khin u c th hiu

    v s dng. Mt cch trc quan, ngn ng ny ging ht mt mch iu khin gm

    cc u vo cn thit iu khin mt hay mt vi u ra. Chnh v n ging nh

    mch in tht nn ch cn c kin thc v mch in l c th c hiu v lp trnh

    bng ngn ng ny.

    Thnh phn u tin phi c trong ngn ng LD l cc thanh ngun (Power rail)

    thng ng bn tri v bn phi, ni m ngun (tng tng) chy vo v ra. Ring

    thanh bn phi c th hin hoc n. Trng thi ca thanh tri c coi l ON ti mi

    thi im.

    Cc ng ni nm ngang v thng ng ni cc phn t tip im v cun dy

    cng cc khi hm truyn trng thi t tri sang phi, trng thi l ON hoc OFFtng ng mc logic 1 hoc 0. Theo ng ngang l ni theo quan h logic V, cn

    ng ni theo phng ng th hin quan h logic HOC.

    Cc tip im truyn trng thi t tri qua phi ca n v ng vai tr nh tip

    im V v logic. Cc tip im chun v k hiu gm:

    - Tip im thng m (--|***|--), khi trng thi ca bin ng vi tip im ON (

    tn bin t ti k hiu ***, pha di y khng ghi li k hiu ny na) th s cho

    trng thi ca ng ni sau l ON v ngc li.

    - Tip im thng ng (--|/|--), khi trng thi ca bin ng vi tip im OFF

    th mi cho trng thi ng ni sau n l ON v ngc li.

    - Tip im pht xung sn ln (--|P|--), khi pht hin c trng thi t OFF ln

    ON bin tng ng v pha trc ON th s cho ra ng sau mt tn hiu ON tc th,

    cn ti mi thi im khc pha sau lun OFF.

    - Tip im pht xung sn xung (--|N|--), khi pht hin c trng thi chuyn t

    ON xung OFF bin tng ng v pha trc ON th cho ng sau ON tc th, cnli mi thi im khc ng sau u OFF.

    Cun dy sao li trng thi ng ni bn tri sang bn phi ng thi lu li

    trng thi vo bin tng ng. Cc cun dy v k hiu chun:

    - Cun dy thng (--(***)--), trng thi bn tri c sao lu vo bin tng

    ng ( k hiu ***) v sang phi.

    - Cun dy o (--(/)--), trng thi bn tri c sao li sang bn phi, nghch o

    ca trng thi bn tri c lu vo bin tng ng.

    - Cun dy SET (--(S)--), trng thi ca bin tng ng c set ln ON khi trng

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    61/145

    61

    thi trc l ON v duy tr trng thi ny cho n khi c reset bng cun RESET.

    - Cun dy RESET (--(R)--), trng thi ca bin tng ng c reset xung OFF

    khi c trng thi ON pha trc, v duy tr trng thi ny cho n khi c set bng

    cun SET.

    - Cun dy pht hin xung ln (--(P)--), trng thi ca bin logic tng ng l ON

    khi c chuyn trng thi OFF ln ON pha trc, trng thi bn tri lun c sao li

    sang bn phi.

    - Cun dy pht hin xung xung (--(N)--), trng thi ca bin logic tng ng l

    ON khi c chuyn trng thi ON xung OFF pha trc, trng thi ca bn tri lun

    c sao li bn phi.

    - Ngoi ra c cc cun dy nh (---(M)---), cun dy SET nh (---(SM)---), cun

    dy RESET nh (---(RM)---), tc ng ging ht nh cun dy thng, cun SET vcun RESET tng ng trn.

    V d s dng ngn ng LD lp trnh cho PLC thc hin hm logic:

    Y0 = (X0 + Y0) . X1

    Y1+= X2

    Y1-= X3

    4.4.2 IL

    Ngn ng lp trnh Instruction List (IL) ging nh ngn ng Assembler lp trnh

    cho vi x l, vi nhiu hng cu lnh m mi cu lnh th hin cho mt hot ng.

    Nu vit theo chun IEC hon ton th vic chuyn phn mm dng cho cc phn

    cng khc nhau tht d dng. Do l ngn ng bc thp gn ngn ng my nn chng

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    62/145

    62

    trnh vit bng IL s gip b iu khin thc thi nhanh hn, hn na chng trnh gn

    nh chim t b nh. Tuy nhin vi dung lng b nh hin nay cng nh tc x l

    ca thit b kh trnh l ln nn u im trn cng khng b li nhc im ca n l

    gy kh khn cho ngi lp trnh v xt v mt trc quan n rt kh hiu so vi cc

    ngn ng ha. Bn cnh , lp trnh vi IL l mt cng vic nhm chn vi mt

    s ngi. Khi cn chng ta c th dng kt hp ngn ng ny vi cc ngn ng khc.

    IL l mt chui cc cu lnh ch dn, mi ch dn c vit trn mt dng mi, c th

    c mt hoc nhiu ton hng cch nhau bng du phy. Bt u ch dn c th dng

    nhn theo sau l du hai chm. Ch thch t cui dng, sau cu lnh v t trong (*

    v *). Cc ton t dng trong ngn ng IL v chc nng ca chng th hin trong

    bng:

    Bng 4.1. Cc ton t s dng trong ngn ng IL

    Th t Ton t ngha

    1 LD t gi tr hin ti cho ton hng, nghch o l LDN

    2 ST a gi tr hin ti ti a ch ton hng

    3 S t ton hng loi logic ln 1

    4 R t li logic 0 cho ton hng

    5 AND Logic AND, nghch o l ANDN

    6 & Logic AND7 OR Logic OR, nghch o l ORN

    8 XOR Hoc loi tr

    9 NOT Logic nghch o

    10 ADD Cng

    11 SUB Tr

    12 MUL Nhn

    13 DIV Chia

    14 MOD Php chia ly d

    15 GT So snh ln hn

    16 GE So snh ln hn hoc bng

    17 EQ So snh bng

    18 NE So snh khc nhau

    19 LE So snh nh hn hoc bng

    20 LT So snh nh hn

    21 JMP Nhy ti nhn

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    63/145

    63

    22 CAL Gi khi chc nng

    23 RET Tr v t gi hm, khi chc nng hay chng trnh

    V d:

    Start: LD %IX1.0 (* Start push button*)

    AND %MX5 (* CONDITION*)

    ST %QX2 (*Turn on Motor*)

    Gia cc cu lnh ch dn cng c th chn vo cc hng trng. Khi s dng hm

    v khi chc nng trong IL cng phi dng cc t kha tng ng ca chng. Cc t

    kha ny c trnh by phn ni v hm v khi chc nng.

    4.4.3 STL

    Vi cng vng lp IFTHEN, cu lnh la chn v kt thc mi cu lnh l duchm phy, ngn ng lp trnh ST ging cc ngn ng lp trnh bc cao nh Pascal, C

    do i vi sinh vin v nhng ngi lm quen vi lp trnh vi cc ngn ng ny

    s hiu v dng ST nhanh chng. Vi ST c th xy dng chng trnh gn nht, c

    th a ch thch vo d dng, tin li cho vic cu trc ha chng trnh, Chng

    trnh vit bng ST s gip thit b chy nhanh hn cc ngn ng ha, khi copy v

    chnh sa chng trnh cho mt gi d n mi tng t cng khng my vt v. Vic

    dng kt hp ngn ng ST vi cc ngn ng khc tht tin li, nht l ta dng kt hp

    vi SFC. Tuy khng d nhn thy nh ngn ng ha nhng ST cng khng kh

    hiu lm. Ni chung nu dng ring ngn ng ST th c v khng th v cho ngi lp

    trnh, nhng khi kt hp th s nhanh hn v tn din tch quan st cho nhng ch

    khng cn thit th hin bng ha.

    a. Biu thc

    Biu thc (expression) bao gm ton t v ton hng, khi tnh ton s cho ra kt

    qu thuc mt kiu d liu no . Ton hng l bin, hm hoc cc biu thc khc.

    Ton t c m ng ngoc (), hm tnh ton nhu Ln(x), Max(x,y), s m ** hay

    EXPT, nghch o hay NOT, nhn *, chia /, modulo MOD, cng +, tr -, so snh , =, bng nhau = hay khc nhau , v logic & hay AND, XOR, OR.

    di ti a cho php ca mt biu thc ty thuc vo thit b ca cc hng cung

    cp.

    b. Cu lnh

  • 8/11/2019 Bai Giang Dklg & Plc (2012!08!22)

    64/145

    64

    Cu lnh (statement) vit trong ngn ng ST c kt thc bng du chm phy

    (;), cc loi cu lnh:

    - Lnh gn: dng := gn, v d A:=B;, C:= Sin(x);, i:=i+1;.

    - Lnh iu khin hm v khi chc nng gm cc c ch cho vic kch hot cc

    khi chc nng v cho vic tr v iu khin cc thc th ang c kich hot trc

    khi kt thc mt hm hay khi chc nng. Khi chc nng c kch hot bng cu

    lnh bng tn khi chc nng v theo l cc tham s vo c gn gi tr trong du

    ngoc, v d: CMD_TMR(IN:=%IX5, PT:=T#300ms) ; th t tham s vo trong

    khng quan trng, cng khng bt buc phi gn gi tr khi th gi tr gn trc

    hoc gi tr gn khi u (nu t