7 シリーズ FPGA SelectIO リソース - Xilinx · UG471 (v1.4) 2014 年 5 月 13 日...

180
7 シリーズ FPGA SelectIO リソース ユーザー ガイド UG471 (v1.4) 2014 5 13 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま す。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の 上、最新情報につきましては、必ず最新英語版をご参照ください。

Transcript of 7 シリーズ FPGA SelectIO リソース - Xilinx · UG471 (v1.4) 2014 年 5 月 13 日...

7 シリーズ FPGA SelectIO リソース

ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com UG471 (v1.4) 2014 年 5 月 13 日

The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products.Tothe maximum extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx herebyDISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOTLIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULARPURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory ofliability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (includingyour use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including lossof data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if suchdamage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes noobligation to correct any errors contained in the Materials, or to advise you of any corrections or update.You may not reproduce,modify, distribute, or publicly display the Materials without prior written consent.Certain products are subject to the terms andconditions of the Limited Warranties which can be viewed at http://www.xilinx.com/warranty.htm; IP cores may be subject towarranty and support terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to befail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability for use of Xilinxproducts in Critical Applications:http://www.xilinx.com/warranty.htm#critapps.

AUTOMOTIVE APPLICATIONS DISCLAIMERXILINX PRODUCTS ARE NOT DESIGNED OR INTENDED TO BE FAIL-SAFE, OR FOR USE IN ANY APPLICATIONREQUIRING FAIL-SAFE PERFORMANCE, SUCH AS APPLICATIONS RELATED TO:(I) THE DEPLOYMENT OFAIRBAGS, (II) CONTROL OF A VEHICLE, UNLESS THERE IS A FAIL-SAFE OR REDUNDANCY FEATURE (WHICHDOES NOT INCLUDE USE OF SOFTWARE IN THE XILINX DEVICE TO IMPLEMENT THE REDUNDANCY) AND AWARNING SIGNAL UPON FAILURE TO THE OPERATOR, OR (III) USES THAT COULD LEAD TO DEATH ORPERSONAL INJURY.CUSTOMER ASSUMES THE SOLE RISK AND LIABILITY OF ANY USE OF XILINX PRODUCTSIN SUCH APPLICATIONS.

© Copyright 2011-2014 Xilinx, Inc. XILINX, the Xilinx logo, Virtex, Zynq, Artix, Kintex, Spartan, ISE and other designatedbrands included herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property oftheir respective owners.

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。フ ィードバックは日本語で入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめご了承ください。

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 内容

2011 年 3 月 1 日 1.0 初版

2011 年 4 月 6 日 1.0.1 2 ページ の Disclaimer および Copyright を更新。

2011 年 5 月 31 日 1.1 「新機能」 を追加。 図 1-15 を含むデバイス例および 20 ページの partgen の例を更新。

「VRN/VRP 外部抵抗のデザイン移行ガイ ド ラ イン」 を追加。

図 3-12 を含む 「Bitslip サブモジュール」 を更新。 図 3-13 削除 : 図 3-12 のデータ入

力ス ト リーム (D) のビッ ト を削除。

UG471 (v1.4) 2014 年 5 月 13 日 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

2012 年 7 月 20 日 1.2 表 1-1 の前の段落を更新。 表 1-1 に LVDS シグナ リ ングを追加。 「VCCO」 および

「VCCAUX_IO」を更新。「ザイ リ ンクスの DCI」を更新。VCCINT を削除。「Match_cycleコンフ ィギュレーシ ョ ン オプシ ョ ン」、「DCIUpdateMode コンフ ィギュレーシ ョ ン オプシ ョ ン」、 「DCIRESET プリ ミ ティブ」、および 「多目的コンフ ィギュレーシ ョ ン ピンで DCI を使用」 を追加。 「DCI カスケード接続」 を更新。 図 1-7 の後の DCI カス

ケード接続のガイ ド ラインを更新。 表 1-3 の注記を更新。 「7 シ リーズ FPGA の DCI(HP I/O バンク内にのみ使用可能)」 を追加。 「7 シ リーズ FPGA の SelectIO プ リ ミ

テ ィ ブ」 を更新。 「DCI カスケード 接続」 および 「VCCAUX_IO 制約」 を追加。

「IBUF_LOW_PWR 属性」 、 「出力スルー レートの属性」 、 「出力駆動能力の属性」 、

「IBUF、 OBUFT、 IOBUF の PULLUP/PULLDOWN/KEEPER 属性」、 および 「7 シリーズ FPGA I/O リ ソースの VHDL/Verilog の例」 を更新。 45 ページの 「差動終端

属性」 に 「内部 VREF」 を追加。表 1-10 の DRIVE 属性を更新。図 1-41 ~図 1-44 のタイ トルを更新。図 1-72 の追加など、「LVDS、LVDS_25 (低電圧差動信号)」 を更新。

「SSTL (スタブ直列終端ロジッ ク )」 に IN_TERM 属性を追加。表 1-55 に注記を追加。

「同時スイ ッチ出力」 を追加。

「ILOGIC リ ソース」 を更新。 表 2-3 に、 TICOCKD/TIOCKDD を追加し、 TICE1Q を削

除。 「入力遅延リ ソース (IDELAY)」 を更新。 表 2-4 の LD ポートの機能説明を更新。

「IDELAY ポート 」 の 「モジュール ロード - LD」 および 「インク リ メン ト /デク リ メ

ン ト信号 - CE、 INC」 を更新し、 「パイプライン レジスタのロード - LDPIPEEN」 お

よび 「パイプライン レジスタのリセッ ト - REGRST」 を追加。 表 2-5 : 制御ピンの説

明を削除。 表 2-5 の IDELAY_TYPE および IDELAY_VALUE に関する説明を更新。

「IDELAY_TYPE 属性」 、 「IDELAY_VALUE 属性」 、 および

「HIGH_PERFORMANCE_MODE 属性」 を更新。 「IDELAY タ イ ミ ング」 を更新。

図 2-12 の前にあるテキス ト を更新。「インク リ メン ト /デク リ メン ト動作後の安定」 を

更新。図 2-16 を含む 「IDELAYCTRL」 を更新。「OLOGIC リ ソース」 に OLOGICE2および OLOGICE3 に関する段落を追加。「出力遅延リ ソース (ODELAY) ― HR バン

クでは使用不可」 の 初の段落を更新。 表 2-13 の REGRST、 LD、 CNTVALUEIN、

LDPIPEEN、 および CNTVALUEOUT の機能を更新。 「モジュール ロード - LD」 に

VAR_LOAD_PIPE モード の説明を追加。 「パイプ ラ イ ン レジス タのロー ド -LDPIPEEN」 および 「パイプライン レジスタのリセッ ト - REGRST」 を追加。 「カウ

ン ト値の入力 - CNTVALUEIN」 、 「カウン ト値の出力 - CNTVALUEOUT」 、 および

「インク リ メン ト /デク リ メン ト信号 - CE、 INC」 を更新。 表 2-14 : 制御ピンの説明を

削除。 表 2-14 の ODELAY_TYPE および ODELAY_VALUE に関する説明を更新。

「ODELAY 属性」 を更新。 「ODELAY モード」 を追加。 図 2-26 の前にあるテキス ト

を更新。

143 ページの 「リセッ ト入力 - RST」 を更新。 表 3-2 に INIT_Q および SRVAL_Q 属性を追加。 「MEMORY インターフェイス タイプ」 の図 3-6 後のにある箇条書き リ ス

ト を更新。図 3-7 を更新。「ISERDESE2 のビッ ト幅拡張」、「Bitslip サブモジュール」、

および 「データ パラレル-シ リアル コンバーター」 を更新。図 3-14 の OCBEXTENDピンを削除。 表 3-6 の OFB および TFB に関する説明を更新。 「OSERDESE2 からの

出力フ ィードバッ ク - OFB」、 「 ト ラ イステート制御出力 - TFB」、 および 158 ページ

の 「リ セ ッ ト 入力 - RST」 を更新。 「OSERDESE2 の ク ロ ッ キング手法」 および

「OSERDESE2 のビッ ト幅拡張」 を更新。 表 3-11 のレイテンシを更新。 「IO_FIFO の概要」 を追加。 「IO_FIFO のリセッ ト 」 を更新。

付録 A 「SSO ノ イズ解析の終端オプシ ョ ン」 を追加。

2012 年 10 月 31 日 1.3 図 1-7 の後にある 3 つ目の箇条書きから XC7V1500T を削除。

日付 バージョ ン 内容

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com UG471 (v1.4) 2014 年 5 月 13 日

2014 年 5 月 13 日 1.4 「VCCO」 を更新。 図 1-7 に続く箇条書きに項目を追加。 図 1-10 の後の段落を更新。

「VRN/VRP 外部抵抗のデザイン移行ガイ ド ラ イン」 の 初の 2 つの段落を変更し、電

力レートの説明を追加。 図 1-11 および図 1-12 の見出しを更新。 「7 シ リーズ FPGAの I/O 規格における DCI」 の手順 4 を更新。 図 1-14 を更新。 「HR I/O バンクのキャ

リブレーシ ョ ンされていない分割終端 (IN_TERM)」 の 初の段落を更新。 「7 シ リー

ズ FPGA の SelectIO プリ ミ ティブ」に IOBUF_DCIEN、IOBUF_INTERMDISABLE、IOBUFDS_DIFF_OUT_DCIEN、 IOBUFDS_DIFF_OUT_INTERMDISABLE、 およ

び IOBUFDS_INTERMDISABLE を追加。 図 1-22 から O 出力およびその説明を削

除。 「HSTL_ II_DCI および HSTL_ II_DCI_18」 を更新。

「IBUFDS_DIFF_OUT_INTERMDISABLE」 、 「IOBUF_DCIEN」 、 お よ び

「IOBUFDS_INTERMDISABLE」 を追加。図 1-28、図 1-30、図 1-31、および図 1-32の接続を更新。図 1-46、図 1-48、図 1-49、図 1-50、図 1-52、図 1-54、図 1-55、図 1-56、図 1-57、 図 1-58、 図 1-60、 図 1-62、 および図 1-63 の RVRN と RVRP を置き換え。

「SSTL18_II、SSTL15、SSTL135、DIFF_SSTL18_II、DIFF_SSTL15、DIFF_SSTL135」に注記を追加。 「SSTL (ス タブ直列終端ロ ジ ッ ク )」 の 5 段落目を更新。

「SSTL18_I_DCI、 DIFF_SSTL18_I_DCI」 、 「SSTL18_II_DCI、 SSTL_15_DCI、SSTL135_DCI、 DIFF_SSTL18_II_DCI、 DIFF_SSTL_15_DCI、 DIFF_SSTL135_DCI」 、 「SSTL18_I I_DCI、 SSTL_15_DCI、 SSTL135_DCI、DIFF_SSTL18_II_DCI、 DIFF_SSTL_15_DCI、 DIFF_ SSTL135_DCI」 、

「SSTL18_II_T_DCI、SSTL15_T_DCI、SSTL135_T_DCI、DIFF_SSTL18_II_T_DCI、DIFF_SSTL15_T_DCI、DIFF_ SSTL135_T_DCI」、および 「SSTL12、SSTL12_DCI、SSTL12_T_DCI、 DIFF_SSTL12、 DIFF_SSTL12_DCI、 DIFF_SSTL12_T_DCI」 か

ら R/2 のテブナン等価抵抗を削除し、 ソース終端直列抵抗の説明を削除。 図 1-57 および図 1-59 を更新。

表 1-44 の後に基準リ ス ト を追加。表 1-48 に注記を追加。表 1-51 の後の説明を更新。

表 1-55 の VCCO 入力欄を更新。 表 1-56 に注記 3 を追加。

図 2-4 の DLYIN 接続を更新。 112 ページの 「ク ロ ッ ク入力 - C」 を更新。表 2-5 およ

び表 2-14 の PIPE_SEL に関する説明を更新。 118 ページの 「インク リ メン ト /デク リ

メン ト動作後の安定」 の 初の段落に VAR_LOAD の説明を追加。 図 2-16 から中央

にある I/O を削除。130 ページの 「データ出力 - DATAOUT」 を更新。「ODELAY モー

ド」 の ODELAYCTRL を IDELAYCTRL. に置き換え。

表 3-1 に CLKDIVP を追加し、 OCLK および OCLKB の説明を追加。 「ス ト ローブ

ベースのメモ リ インターフェ イス用の高速ク ロ ッ ク およびオーバーサンプ リ ング

モード - OCLK」 および 「リセッ ト入力 - RST」 を更新。 表 3-2 に IOBDELAY を追

加。 「MEMORY インターフェイス タイプ」 の箇条書きを更新。 「OVERSAMPLE インターフェイス タイプ」 の箇条書きを更新。 図 3-7 を更新。 「Bitslip サブモジュール

を使用する際のガイ ド ラ イン」 で、 ISERDESE2 の リ セッ ト に関する説明を追加。

表 3-6 の CLKDIV の説明文から Bitslip サブモジュールに関する文章を削除。 表 3-7に TBYTE_CTL および TBYTE_SRC を追加。図 3-18 の OQ、TQ、および OBUFT.Oを 1 CLK エッジ分シフ ト。

日付 バージョ ン 内容

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 5UG471 (v1.4) 2014 年 5 月 13 日

改訂履歴. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

このユーザー ガイドについて内容 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

その他のリ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

第 1 章 : SelectIO リソース

I/O タイルの概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

新機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

SelectIO リ ソースの概要. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

SelectIO リ ソースの一般的なガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

7 シ リーズ FPGA の DCI (HP I/O バンク内にのみ使用可能) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

HR I/O バンクのキャ リブレーシ ョ ンされていない分割終端 (IN_TERM) . . . . . . . . . . . . . . . . . . . 29

7 シ リーズ FPGA の SelectIO プリ ミ ティブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

7 シ リーズ FPGA の SelectIO の属性および制約. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

サポート される I/O 規格および終端 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47

同じバンク内で複数の I/O 規格を併用する場合の規則 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93

同時スイ ッチ出力 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100

第 2 章 : SelectIO ロジック リソース

はじめに. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101

ILOGIC リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102

入力遅延リ ソース (IDELAY) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110

IDELAYCTRL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119

OLOGIC リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121

出力遅延リ ソース (ODELAY) ― HR バンクでは使用不可. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

第 3 章 : アドバンス SelectIO ロジック リソース

はじめに. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137

入力 シ リ アル-パラレル ロジッ ク リ ソース (ISERDESE2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137

出力パラレル-シ リ アル ロジッ ク リ ソース (OSERDESE2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155

IO_FIFO の概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167

付録 A : SSO ノ イズ解析の終端オプシ ョ ン

目次

6 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 7UG471 (v1.4) 2014 年 5 月 13 日

このユーザー ガイドについて

ザイ リ ンクス 7 シ リーズ FPGA には、 3 つの統一された FPGA ファ ミ リがあ り ます。 これらはす

べて も低い消費電力を達成するよ う設計されており、 適な電力、性能、 コス トの実現に向けて、

標準デザインをファ ミ リ間で拡張させるこ とが可能です。 Artix™-7 ファ ミ リは、 量産アプ リ ケー

シ ョ ン向けに開発され、 も低いコス ト と消費電力を実現するよ う 適化されています。Virtex®-7ファ ミ リは、 高のシステム性能と容量を提供するよ うに 適化されています。 Kintex™-7 ファ ミ

リは、対コス ト性能に も優れた新しいク ラスの FPGA です。 このユーザー ガイ ドは、 7 シ リーズ

FPGA SelectIO™ リ ソースについて説明した技術的な リ ファレンスです。

この 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 を含む、 7 シ リーズ FPGA に関する

すべての資料は、 ザイ リ ンクスのウェブサイ ト (japan.xilinx.com/7) から入手できます。

内容

このユーザー ガイ ドは、 次の各章で構成されています。

• 第 1 章 「SelectIO リ ソース」

• 第 2 章 「SelectIO ロジッ ク リ ソース」

• 第 3 章 「アドバンス SelectIO ロジッ ク リ ソース」

その他のリソース

その他の資料は、 ザイ リ ンクスのウェブサイ トから入手できます。

http://japan.xilinx.com/support/documentation/index.htm

シ リ コンやソフ ト ウェア、 IP に関するアンサー データベースを検索したり、 テクニカル サポート

のウェブケースを開く場合は、 次のウェブサイ トにアクセスして ください。

http://japan.xilinx.com/support

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 9UG471 (v1.4) 2014 年 5 月 13 日

第 1 章

SelectIO リソース

I/O タイルの概要

第 1 章、 第 2 章、 第 3 章で入力/出力の特性およびロジッ ク リ ソースについて説明します。

第 1 章 「SelectIO リ ソース」 では、出力ド ライバー /入力レシーバーの電気的振舞について説明し、

一般的なインターフェイスの例を紹介します。第 2 章 「SelectIO ロジッ ク リ ソース」 では、入力お

よび出力データ レジスタ とその DDR (ダブル データ レート ) 動作、 ならびにプログラマブル入力

遅延 (IDELAY) とプログラマブル出力遅延 (ODELAY) について説明します。 第 3 章 「アドバンス

SelectIO ロジッ ク リ ソース」 では、 データ シ リ アラ イザー /デシ リ アラ イザー (SERDES) につい

て説明します。

7 シ リーズ FPGA には HP (High-Performance) および HR (High-Range) I/O バンクがあ り ます。HPI/O バンクは、 大 1.8V までの高速メモ リやその他のチップ間のインターフェイスに対する性能要

件を満たすよ うに設計されています。一方、 HR I/O バンクは、 大 3.3V までの幅広い I/O 規格を

サポートするよ うに設計されています。 表 1-1 に、 HP および HR I/O バンクがサポートする機能

の概要を示します。 特定のデザイン要件に対していずれの I/O バンクを使用すべきかを 初に判断

する際は表 1-1 を参考にしてください。 これらのバンクの性能やその他の電気的要件の詳細は、 各

デバイス ファ ミ リのデータシート を参照してください。

7 シ リーズ FPGA には、 さまざまな HR と HP I/O バンクの組み合わせがあ り ます。 『7 シ リーズ

FPGA 概要』 にすべてのデバイスの利用可能な各バンク数が記載されています。

表 1-1 : HR および HP I/O バンクがサポートする機能

機能 HP I/O バンク HR I/O バンク

3.3V I/O 規格(1) N/A サポート

2.5V I/O 規格(1) N/A サポート

1.8V I/O 規格(1) サポート サポート

1.5V I/O 規格(1) サポート サポート

1.35V I/O 規格(1) サポート サポート

1.2V I/O 規格(1) サポート サポート

LVDS シグナリ ング サポート (2) サポート

LVCMOS18 および LVTTL 出力用 24mA 駆動オプシ ョ ン N/A サポート

VCCAUX_IO 電源レール サポート N/A

デジタル制御インピーダンス (DCI) および DCI カスケード接続 サポート N/A

内部 VREF サポート サポート

内部差動終端 (DIFF_TERM) サポート サポート

10 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

新機能

7 シ リーズ デバイスは、 Virtex®-6 や Spartan®-6 FPGA でサポート されている機能と同じ機能を

多数サポート していますが、 それらの一部は構造や機能性が変更されています。 変更内容を次に示

します。

• 2 つの異なる I/O バンク (HR と HP) があ り、 それぞれ複数の I/O 規格と機能をサポート しま

す。

• SSTL や HSTL などのメモ リ インターフェイス関連の I/O 規格で SLEW 属性をサポート し、

エッジ レートに FAST または SLOW のいずれかを選択できるよ うにな り ました。 すべての I/O 規格の SLEW 属性のデフ ォル ト 値は SLOW であ り、 これは従来の FPGA フ ァ ミ リ で

SLEW 属性をサポート しているすべての I/O 規格 (例 :LVCMOS、 LVTTL) と同じです。 ただ

し、 この属性はメモ リ インターフェイス規格に新し く追加された機能であるため、 このデフォ

ルト値を変更しない (RTL、 UCF ファ イル、 または I/O プランニング ツールで指定しない) 場合には、 これらの規格のデフォルト スルー レートは、 従来ファ ミ リ と比べて非常に遅くな り

ます。 新デザインで従来デバイス と同等のスルー レー トにするには、 SLEW 属性を FASTに設定する必要があ り ます。 表 1-56 に、 SLEW 属性をサポートする I/O 規格を示しています

(その他の機能も記載)。

• 7 シ リーズ FPGA の DCI キャ リブレーシ ョ ン回路によって、 内部終端抵抗の精度が改善され

ました。 これによ り、 分割終端 DCI 規格では、 外部精密抵抗値の選択が異なり ます。 つま り、

外部抵抗がターゲッ ト テブナン等価抵抗の 2 倍に選択されるよ うにな り ます。 これに対して、

Virtex-6 FPGA やそれ以前のファ ミ リ ではターゲッ ト テブナン等価抵抗と同じに選択されま

す。 詳細は、 「ザイ リ ンクスの DCI」 を参照して ください。

• 新機能を備えた I/O ロジッ ク デザイン プリ ミ ティブが追加されました。 これらのプリ ミ ティ

ブの詳細は、 第 2 章 「SelectIO ロジッ ク リ ソース」 を参照してください。

IDELAY サポート サポート

ODELAY サポート N/A

IDELAYCTRL サポート サポート

ISERDES サポート サポート

OSERDES サポート サポート

ZHOLD_DELAY N/A サポート

注記 :

1. すべての I/O 規格および駆動能力が HP および HR I/O バンクの両方でサポート されているわけではあ り ま

せん。 表 1-55 の 「使用可能な I/O バンクのタイプ」 の列に、 各 I/O 規格に対する HP および HR I/O バン

クでの使用可否を示してあ り ます。

2. LVDS は一般的に 2.5V の I/O 規格とみなされていますが、 HR および HP バンクの両方でサポート されま

す。

表 1-1 : HR および HP I/O バンクがサポートする機能 (続き)

機能 HP I/O バンク HR I/O バンク

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 11UG471 (v1.4) 2014 年 5 月 13 日

SelectIO リソースの概要

SelectIO リソースの概要

すべての 7 シ リーズ FPGA は、 コンフ ィギュレーシ ョ ン可能な SelectIO ド ラ イバーとレシーバー

を備え、 さまざまな標準インターフェイスに対応しています。 その充実した機能セッ トには、 出力

駆動力およびスルー レートのプログラマブル制御、DCI (デジタル制御インピーダンス) を用いたオ

ンチップ終端、 内部基準電圧 (INTERNAL_VREF) の生成機能などがあ り ます。

注記 : HR バンクには DCI 機能があ り ません。 したがって、 このユーザー ガイ ドの DCI に関する

記述はいずれも HR バンクには適用されません。

いくつかの例外を除き、 各 I/O バンクには 50 本の SelectIO ピンがあ り ます。 各バンクの一番端に

位置する 2 本のピンはシングルエンドの I/O 規格にのみ対応しています。 残りの 48 本のピンはシ

ングルエンド規格または差動規格のいずれにも使用できます。差動規格では 2 本の SelectIO ピンを

正負 (P/N) のペアと してま とめて使用します。すべての SelectIO リ ソースには入力、出力、および

ト ラ イステートのド ライバーが含まれます。

SelectIO ピンは、 シングルエンドおよび差動のさまざまな I/O 規格に合わせて構成できます。

• シングルエンド I/O 規格 (例 : LVCMOS、 LVTTL、 HSTL、 PCI、 SSTL)

• 差動 I/O 規格 (LVDS、 mini_LVDS、 RSDS、 PPDS、 BLVDS、 差動 HSTL および SSTL)

図 1-1 に、シングルエンド (専用) HP I/O ブロ ッ ク (IOB) と内部ロジッ クおよびデバイス パッ ドへ

の接続を示します。図 1-2 は、標準 HP IOB です。図 1-3 にシングルエンド (専用) HR IOB を示し

ます。 図 1-4 は、 標準 HR IOB です。 HP および HR I/O バンクいずれの場合も、 シングルエンド

(専用) IOB に差動出力信号用の接続がない点を除いて、 シングルエンド (専用) IOB と標準 IOB は本質的に差はあ り ません。 ほとんどのデバイスで、 各 I/O バンク端に位置する 2 本のピンがシング

ルエンド (専用) IOB です。 各バンクの残りの 48 本のピンによって構成される標準 IOB は、 シン

グルエンドおよび差動 I/O 規格のどちらもインプリ メン トできます。

各 IOB は、データ用の入力/出力ロジッ ク リ ソース と IOB 用のト ライステート制御を含む ILOGIC/OLOGIC ペアに直接接続しています。 第 3 章 「アドバンス SelectIO ロジッ ク リ ソース」 で説明す

る とおり、 ILOGIC と OLOGIC は、 それぞれ ISERDES と OSERDES と してコンフ ィギュレー

シ ョ ン可能です。

X-Ref Target - Figure 1-1

図 1-1 : シングルエンド (専用) HP IOB 接続図

UG471_c1_03_010711

PAD

O

T

I

PADOUT

DCITERMDISABLE

DIFFI_IN

IBUFDISABLE

12 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

X-Ref Target - Figure 1-2

図 1-2 : 標準 HP IOB 接続図

X-Ref Target - Figure 1-3

図 1-3 : シングルエンド (専用) HR IOB 接続図

X-Ref Target - Figure 1-4

図 1-4 : 標準 HR IOB 接続図

UG471_c1_04_010711

PAD

O

T

I

DIFFO_OUT

O_OUT

PADOUT

DCITERMDISABLE

DIFFI_IN

IBUFDISABLE

UG471_c1_05 _011010

PAD

O

T

I

PADOUT

DIFFI_IN

IBUFDISABLE

UG471_c1_06_011110

PAD

O

T

I

DIFFO_OUT

O_OUT

PADOUT

DIFFI_IN

IBUFDISABLE

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 13UG471 (v1.4) 2014 年 5 月 13 日

SelectIO リソースの一般的なガイド ライン

SelectIO リソースの一般的なガイド ライン

このセクシ ョ ンでは、 7 シ リーズ FPGA の SelectIO リ ソースを使用して設計する場合の一般的な

ガイ ド ラインについて説明します。

7 シリーズ FPGA の I/O バンク規則

7 シ リーズ デバイスでは、 1 つの I/O バンクが 50 個の IOB で構成されています。 バンクの数はデ

バイス サイズおよびパッケージのピン配置によ り異な り ます。 各デバイス別の総 I/O バンク数は、

『7 シ リーズ FPGA 概要』 に記載されています。 たとえば、 XC7K325T には 10 個の I/O バンクが

あ り ます。 図 1-5 に、 XC7K325T の I/O バンクの配置列を示します。 『7 シ リーズ FPGA パッケー

ジおよびピン配置ガイ ド』 (UG475) には、デバイス/パッケージの各組み合わせに対する I/O バンク

の情報が記載されています。

X-Ref Target - Figure 1-5

図 1-5 : 7 シリーズ FPGA の XC7K325T I/O バンク

UG471_c1_07_032111

Bank 18HR

50 I/0

Bank 17HR

50 I/0

Bank 16HR

50 I/0

Bank 15HR

50 I/0

Bank 14HR

50 I/0

Bank 13HR

50 I/0

Bank 34HP

50 I/0

Bank 33HP

50 I/0

Bank 12HR

50 I/0

Bank 32HP

50 I/0

14 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

SelectIO ピンの電源電圧

VCCO

VCCO は、 7 シ リーズの I/O 回路の主電源です。 表 1-55 の 「VCCO (V)」 の列には、 サポート され

る各 I/O 規格に対する VCCO の要件と、 入出力およびオプシ ョ ンの内部差動終端回路に対する

VCCO 要件を示します。ある I/O バンクの VCCO ピンはすべてをボード上の同じ外部電源に接続し、

1 つの I/O バンク内では、 全 I/O が同一の VCCO レベルを使用する よ う にする必要があ り ます。

VCCO 電圧は、 I/O バンクに割り当てられた I/O 規格の要件を満たす必要があ り ます。 VCCO 電圧

が適切でない場合には、 機能不全やデバイスの損傷を招く可能性があ り ます。 HR バンクには、

VCCAUX を使用せずに 1.89V を超える電圧を供給できません (ク ランプ ダイオードを使用する逆

電圧を含む)。 この値を超える とデバイスの損傷を招く可能性があ り ます。

VREF

差動入力バッファーを伴うシングルエンド I/O 規格には、 入力基準電圧 (VREF) が必要です。 1 つの I/O バンクで VREF が必要な場合、 そのバンク用に 2 つの多目的 VREF ピンを VREF 入力と して

使用する必要があ り ます。 7 シ リーズ FPGA には、 INTERNAL_VREF 制約を有効にして、内部生

成された基準電圧を使用するオプシ ョ ンがあ り ます。 この制約の詳細は、 42 ページの 「7 シ リーズ

FPGA の SelectIO の属性および制約」 を参照してください。

VCCAUX

補助グローバル電源レール (VCCAUX) は、 主に 7 シ リーズ FPGA 内のさまざまなブロ ッ ク機能に

対するインターコネク ト ロジッ クの電源と して使用されます。一部の I/O 規格では I/O バンク内の

入力バッファー回路の電源と しても使用します。1.8V 以下のシングルエンド I/O 規格のすべて、お

よび 2.5V 規格 (HR I/O バンクのみ) の一部がこれに該当します。 さ らに VCCAUX レールは、 差動

VREF I/O 規格に使用するバンクの差動入力バッファー回路にも供給されます。

7 シ リーズのパワーオンおよびパワーオフ シーケンスなどの電源供給要件の詳細は、 『7 シ リーズ

FPGA データシート 』 を参照してください。

VCCAUX_IO

補助 I/O (VCCAUX_IO) 電源レールは HP I/O バンクにのみ含まれており、 I/O 回路に電源を供給し

ます。詳細は、Kintex-7 データシートおよび Virtex-7 FPGA データシートの 「メモ リ インターフェ

イスの 大物理インターフェイス (PHY) レート 」 を示す表で VCCAUX_IO を参照してください。 こ

の表は、1.8V (デフォルト )、または特定タイプのメモ リ インターフェイスでよ り高い周波数性能を

達成するためにオプシ ョ ンの 2.0V を使用して電力供給できるこ とを示しています。 この表は、 メ

モ リ インターフェイス用に作成されたものですが、 ターゲッ ト ビッ ト レートに応じてその他の高

速シングルエンド インターフェイスの VCCAUX_IO に電力を供給する際のガイ ド ラインにもなり ま

す。 シングルエン ド ド ラ イバーではな く、 異なるタ イプのド ラ イバー回路を使用する LVDS は、

VCCAUX_IO レベルによって大き く影響を受けるため、この表は適用できません。したがって、LVDSの場合は、 VCCAUX_IO へ適用する電圧レベルは問題ではあ り ません。デフォルトの 1.8V を使用し

た場合には、低消費電力が実現し、 I/O で同じ性能を提供します。オプシ ョ ンの 2.0V は、 シングル

エンド ド ラ イバーでサポート されている 速ビッ ト レート を実現するために、 性能を少しでも向

上させる必要がある場合に使用できます。

I/O ネッ トおよびプリ ミ ティブ VCCAUX_IO にはデザイン制約があ り ます。バンクの VCCAUX_IOピンを 2.0V に設定する場合には、 この制約をデザインに適用する必要があ り ます。 この制約の詳

細は、 42 ページの 「7 シ リーズ FPGA の SelectIO の属性および制約」 を参照してください。

VCCAUX_IO ピンは、 Kintex-7 および Virtex-7 デバイス パッケージ内で 3 つまたは 4 つの HP I/Oバンク グループに分かれて接続されます。 『7 シ リーズ FPGA パッケージおよびピン配置ガイ ド』

(UG475) の 「パッケージ ファ イル」 の章に ASCII パッケージ ファ イルへのリ ンクがあ り、 「デバ

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 15UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA の DCI (HP I/O バンク内にのみ使用可能)

イスの図」 の章にある図では、 どのデバイス /パッケージの組み合わせに、 VCCAUX_IO ピンを備え

た HP I/O バンクが含まれているのかを示しています。 ASCII パッケージ ファ イルでは、 どのバン

ク の VCCAUX_IO ピンがパッ ケージ内で同じ グループに含まれているかを示し ています。

VCCAUX_IO パッケージ ピン名は VCCAUX_IO_G# (# は、 内部グループ番号を表す) とな り ます。

パッケージ ファ イルには 「VCCAUX Group」 とい う名前の列があ り、 すべての I/O ピンにおいて、

I/O バンクが関連付けられている VCCAUX グループを示しています。 同じ VCCAUX_IO グループ

内にあるすべての I/O ピンには、 ネッ ト またはプリ ミ ティブ上に互換性のある VCCAUX_IO 制約

を適用する必要があ り ます。 同じグループ内にあるすべての VCCAUX_IO ピンは、 ボード上の同じ

電源レールに接続される必要があ り ます。 Kintex-7 デバイスの FBG パッケージには、 VCCAUX_IOピンが含まれていますが内部接続されていません。その代わり、これらのパッケージのすべての HPI/O は、 メ インの VCCAUX レールから電源供給されます。

7 シリーズ FPGA の DCI (HP I/O バンク内にのみ使用可能)

はじめに

FPGA が大規模化し、 システム ク ロ ッ クが高速化するにつれ、 PC ボードのデザインおよび製造は

さ らに困難にな り ます。 エッジ レートが高速になっているため、 シグナル インテグ リ テ ィ を維持

するこ とが重要な課題となり ます。 PC ボード ト レースを適切に終端接続して、 反射およびリ ンギ

ングを防ぐ必要があ り ます。

従来型のト レース終端方法では、出力/入力に抵抗を追加してレシーバー /ド ラ イバー インピーダン

ス と ト レース インピーダンスを整合させます。 しかし、デバイスの I/O 数が増加した場合、デバイ

ス ピン付近に抵抗を追加する とボード面積と コンポーネン ト数が増加し、物理的にこの方法を使用

できない場合があ り ます。 そこでザイ リ ンクスは、デジタル制御インピーダンス (DCI) テク ノ ロジ

を開発し、 これらの問題を克服してシグナル インテグ リティを実現しました。

I/O 規格に応じて DCI は、 ド ラ イバーの出力インピーダンスを調整するか、 ド ラ イバーおよびレ

シーバー (または、そのいずれか) に並列終端を追加し、伝送ラインの特性インピーダンスを正確に

整合させます。DCI はこれらのインピーダンスを I/O 内で能動的に調整し、VRN および VRP ピン

に接続された外部の高精度基準抵抗をキャ リブレーシ ョ ンします。 これによって、 プロセスによる

I/O インピーダンスの変化が調整されます。 さ らに、 温度や電源電圧に対しても連続的にインピー

ダンスを調整します。

制御インピーダンス ド ラ イバーを伴う I/O 規格の場合は、 ド ラ イバー インピーダンスを 2 つの基

準抵抗に一致させるか、 基準抵抗の 1/2 の値に一致させます。

並列終端を用いる I/O 規格の場合、 DCI は ト ランス ミ ッ ターと レシーバーの両方を並列終端しま

す。 その結果、 ボード上に終端抵抗を配置する必要がなくな り、 ボード配線の複雑さやコンポーネ

ン ト数を抑えるこ とができ、スタブ反射をなくすこ とによ りシグナル インテグ リ ティを向上できま

す。スタブでの反射は、終端抵抗が伝送ラインの端部から遠くに配置されている場合に発生します。

DCI を使用する と終端抵抗が出力ド ラ イバーまたは入力バッファーに可能な限り近く配置される

ため、 スタブ反射は生じません。 7 シ リーズ FPGA では HP I/O バンクでのみ DCI が使用できま

す。 HR I/O バンクでは使用できません。

16 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

ザイリンクスの DCIDCI では、 I/O バンクごとに多目的の基準電圧ピンを 2 つ使用し、 ド ライバーのインピーダンスま

たはそのバンクのすべての I/O に対する並列終端の値を制御します。N 基準電圧ピン (VRN) は、基

準抵抗で VCCO にプルアップし、 P 基準電圧ピン (VRP) は、 別の基準抵抗でグランドにプルダウ

ンする必要があ り ます。 各基準抵抗の値は、 PC ボード ト レースの特性インピーダンス と等し くす

るか、 その 2 倍の値にします。

デザインに DCI をインプリ メン トする手順は次のとおりです。

1. HP I/O バンクに DCI I/O 規格を指定します (表 1-2 および表 1-3 参照)。

2. VRN 多目的ピンを同じバンクの VCCO レールに接続された精密抵抗へ接続します。

3. VRP 多目的ピンをグランドに接続された精密抵抗へ接続します。

この後のセクシ ョ ンでは、 異なる I/O 規格に対して VRN と VRP の精密抵抗値を判断する方法に

ついて説明します。 各バンクでは、 VRN/VRP 抵抗を 1 組のみ使用して、 各バンク内のすべての

DCI 規格が同じ外部抵抗値を使用できるよ うにします。同じ I/O バンクのカラムで複数の I/O バン

クが DCI を使用し、 これらの I/O バンクがすべて同じ VRN/VRP 抵抗値を使用する場合は、 内部

の VRN と VRP ノードをカスケード接続できるため、 その I/O カラムにあるすべての I/O バンク

に対して 1 組のピンのみ精密抵抗へ接続するだけとな り ます。 このオプシ ョ ンは DCI カスケード

接続と呼ばれ、 18 ページの 「DCI カスケード接続」 で詳し く説明しています。 また、 このセクシ ョ

ンでは、I/O バンクが同じ I/O バンク カラムを共有する場合の判断方法についても説明しています。

バンクで DCI I/O 規格が使用されていない場合は、 これらのピンを通常の I/O ピンと して使用でき

ます。 ピンの詳細は、 『7 シ リーズ FPGA パッケージおよびピン配置ガイ ド』 (UG475) を参照して

ください。

DCI では I/O のト ランジスタのオン/オフを切り替えるこ とによ り、 I/O のインピーダンスを調整し

ます。インピーダンスは、外部基準抵抗に一致するよ う調整されます。この調整はデバイスのスター

ト アップ シーケンス中に行われます。デフォルトでは、第 1 段階のインピーダンスの調整が終了す

るまで DONE ピンは High に遷移しません。

DCI のキャ リブレーシ ョ ンは、 DCIRESET プリ ミ ティブをインスタンシエートするこ とで リセッ

トできます。デバイスの動作中に DCIRESET プリ ミ ティブへの RST 入力を ト グルする と、DCI ステート マシンがリセッ ト され、 キャ リブレーシ ョ ン プロセスが再開されます。 DCI を使用するす

べての I/O は、 DCIRESET ブロッ クからの LOCKED 出力がアサート されるまで使用できません。

この機能は、デバイスの電源投入から規定の動作状態になるまでの間に温度/供給電源が大幅に変化

するアプリ ケーシ ョ ンで有効です。

制御インピーダンス出力ド ライバーの場合は、 インピーダンスを基準抵抗に一致させるか、 基準抵

抗の 1/2 に一致させるこ とができます。 オンチップ終端では、 終端は常に基準抵抗に一致するよ う

調整されます。

DCI 制御インピーダンス ド ラ イバーをサポートする I/O 規格の場合、出力ド ライバーを次のタイプ

に設定できます。

• 「制御インピーダンス ド ラ イバー (ソース終端)」

• 「インピーダンスが 1/2 の制御インピーダンス ド ラ イバー (ソース終端)」

並列終端をサポートする I/O 規格の場合、 DCI が電圧レベル VCCO/2 へのテブナン等価回路、 また

は分割終端抵抗を構成します。 また、 次のよ うな I/O 規格の命名規則があ り ます。

• I/O 規格が入力、出力、双方向ピンのいずれに使用されるかにかかわらず、 I/O に常に分割終端

抵抗が接続される場合は、 規格名に DCI を付加します。

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 17UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA の DCI (HP I/O バンク内にのみ使用可能)

• 出力バッファーが ト ライステート状態の場合にのみ分割終端抵抗が使用される場合は、 I/O 規格名に T_DCI を付加します。

Match_cycle コンフ ィギュレーシ ョ ン オプシ ョ ン

Match_cycle は、DCI ロジッ クが外部基準抵抗に対して 初の一致 (キャ リブレーシ ョ ン) を達成す

るまで、 FPGA コンフ ィギュレーシ ョ ン シーケンスの 後でスタート アップ シーケンスを任意で

停止させるこ とができるコンフ ィギュレーシ ョ ン オプシ ョ ンです。 このオプシ ョ ンは、DCI の整合

と も言われます。 Match_cycle オプシ ョ ンの詳細は、 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン

ユーザー ガイ ド』 (UG470) の第 1 章 「コンフ ィギュレーシ ョ ンの概要」 を参照してください。デザ

インでこのオプシ ョ ンを呼び出し、 特定のスタート アップ サイ クルを指定する方法は、 『コマンド

ラ イン ツール ユーザー ガイ ド』 (UG628) の Match_cycle オプシ ョ ンを参照してください。

DCIUpdateMode コンフ ィギュレーシ ョ ン オプシ ョ ン

DCIUpdateMode は、 DCI 回路が VRN および VRP 基準抵抗へインピーダンス整合をアップデー

トする頻度を指定するコンフ ィギュレーシ ョ ン オプシ ョ ンです。 このオプシ ョ ンはデフォル ト で

AsRequired に設定されていますが、 ザイ リ ンクスのインプリ メンテーシ ョ ン ツールでは Quiet とい うオプシ ョ ン値も使用できます。DCIUpdateMode コンフ ィギュレーシ ョ ン オプシ ョ ンの設定値

は次のとおりです。

• AsRequired : デバイスの初期化時に 初のインピーダンス調整が行われ、 その後はデバイス動

作中に必要に応じて動的にインピーダンス調整が行われます (デフォルト )。

• Continuous : 7 シ リーズ FPGA では、 この値が無効です (デフォルト値 AsRequired へ戻る)。

• Quiet : デバイスの初期化時に 初のインピーダンス調整が行われ、 DCIRESET プリ ミ ティブ

を含むデザインでは、 このプ リ ミ テ ィブで RST ピンがアサート されるごとに調整が行われま

す。

DCIUpdateMode オプシ ョ ンは、 DCI 回路を正常に動作させるためにデフォルトの AsRequired を使用するこ とを強く推奨します。このオプシ ョ ンを Quiet に設定する必要がある場合には、『コマン

ド ライン ツール ユーザー ガイ ド』 (UG628) を参照してください。

DCIRESET プリ ミテ ィブ

DCIRESET は、デザインが通常動作している間に DCI コン ト ローラーのステート マシンを リセッ

ト する機能を提供するザイ リ ン ク ス デザイ ン プ リ ミ テ ィ ブです。 DCIUpdateMode が Quiet (「DCIUpdateMode コンフ ィギュレーシ ョ ン オプシ ョ ン」参照) に設定されている場合や次に説明す

るよ うな場合 (DCI を使用するよ うに設定された多目的ピンを使用する場合) でない限り、このプ リ

ミ ティブはほとんど使用しません。 DCIRESET プリ ミ ティブの詳細は、 『7 シ リーズ FPGA ライブ

ラ リ ガイ ド (HDL 用)』 (UG768) を参照してください。

多目的コンフ ィギュレーシ ョ ン ピンで DCI を使用

7 シ リーズ FPGA には、 I/O バンク 0 の中にコンフ ィギュレーシ ョ ン機能専用のピンがあ り ます。

その他、 バンク 14 およびバンク 15 の中には多目的 (汎用) ピンと呼ばれる I/O ピンがあ り、 これ

らはコンフ ィギュレーシ ョ ンにも使用できます。 ただし、 多目的ピンはコンフ ィギュレーシ ョ ン完

了後に通常の I/O ピンへ切り替わり ます。 I/O バンク 14 または 15 にある これらの多目的ピンが

ユーザー デザインの DCI I/O 規格に割り当てられている場合には、 DCIRESET プリ ミ ティブもデ

ザインに含めて使用する必要があ り ます。 この場合、デザインは DCIRESET の RST 入力をパルス

した後、LOCKED 信号がアサート されるまで待機してから、DCI 規格を使用する多目的ピンのユー

18 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

ザー入力または出力を使用するよ うにして ください。 多目的コンフ ィギュレーシ ョ ン I/O ピンは、

コンフ ィギュレーシ ョ ン ピンと して機能する必要があるため、通常のデバイス初期化プロセス中に

実行される 初の DCI 調整を無視します。

結果、 DCIRESET プリ ミ ティブが使用されておらず、 DCIUpdateMode が AsRequired に設定され

ている場合には、 これらのピンが通常 I/O ピンへ切り替わった後、 コンフ ィギュレーシ ョ ン完了時

から DCI キャ リブレーシ ョ ン アルゴ リズムがこれらのピンの DCI 設定をアップデートするまでの

間に予測できない遅延が生じます。DCIRESET が使用されておらず、DCIUpdateMode が Quiet に設定されている場合には、 これらのピンに DCI 値が設定されるこ とはあ り ません。 この場合、制御

インピーダンス DCI I/O 規格 (LVDCI_18 など) は、 常にハイインピーダンス状態で動作し、 分割

終端 DCI I/O 規格 (SSTL15_DCI など) は、 常に内部終端がない状態で動作します。 デザインに

DCIRESET プリ ミ ティブを含めて使用する と、 多目的ピンは DCI I/O 規格を使用して問題なく動

作できるよ うにな り ます。

DCI カスケード接続

DCI I/O 規格を使用する 7 シ リーズ FPGA の HP I/O バンクには、 ほかの HP I/O バンクから DCIインピーダンス値を取得する とい うオプシ ョ ンがあ り ます。 図 1-6 に示すよ うに、 各 I/O のイン

ピーダンスを制御するため、 デジタル制御バスがバンク内全体に分散されています。

DCI をカスケード接続する場合、 I/O バンク (マスター バンク ) では VRN/VRP ピンに外部基準抵

抗を付ける必要があ り ます。 HP I/O バンク カラム内にあるほかのバンク (スレーブ バンク ) では、

VRN/VRP ピンに外部抵抗がなくてもマスター バンク と同じインピーダンスの DCI 規格を使用で

きます。カスケード接続されたバンクの DCI インピーダンスは、 I/O マスター バンクによって制御

されます。

X-Ref Target - Figure 1-6

図 1-6 : バンク内での DCI 使用

UG471_c1_08_101810

DCI VRN/VRP

From Bank Above

From Bank Below

ToLocalBank

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 19UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA の DCI (HP I/O バンク内にのみ使用可能)

図 1-7 に、複数 I/O バンクをサポートする DCI カスケード接続を示します。 この図では、バンク Bをマスター I/O バンク、 バンク A と C をスレーブ I/O バンク とみなすこ とができます。

X-Ref Target - Figure 1-7

図 1-7 : 複数 I/O バンクをサポートする DCI カスケード接続

UG471_c1_09_011811

DCI VRN/VRP

Bank A

Bank B

Bank C

ToLocalBank

ToLocalBank

ToLocalBank

To Banks Above (When Cascaded)

To Banks Below(When Cascaded)

20 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

DCI カスケード接続を実行する際のガイ ド ラインは次のとおりです。

• DCI カスケード接続は、 HP I/O バンクのカラムでのみ許可されます。

• マスターおよびスレーブ SelectIO バンクは、デバイス上の同じ HP I/O カラム上に配置される

必要があ り、 インターポーザー境界がある場合を除き、 カラム全体に広がるこ とができます。

• スタ ッ ク ド シ リ コン インターコネク ト (SSI) テク ノ ロジを採用している大規模 Virtex-7 デバ

イス (XC7V2000T、 XC7VX1140T など) では、 インターポーザー境界をまたがって DCI をカ

スケード接続できません。 これらのデバイスのインターポーザー境界で分けられた I/O バンク

は、 『7 シ リーズ FPGA パッケージおよびピン配置ガイ ド』 (UG475) の 「ダイ レベルでのバン

ク番号の概要」 にある図を参照して ください。

• マスターおよびスレーブ I/O バンクの VCCO と VREF (該当する場合) の電圧は同一です。

• 同じ HP I/O カラムに含まれるものの DCI を使用しない I/O バンク (パス スルー バンク ) は、

DCI 設定を組み合わせるための VCCO および VREF の電圧規則に従う必要はあ り ません。

• DCI I/O のバンク互換性規則は、すべてのマスターおよびスレーブ バンクで満たされる必要が

あ り ます (例 : すべてのマスターおよびスレーブ バンクにおいて、 単方向終端タイプを使用す

る DCI I/O 規格を 1 つのみ使用可能)。

• 同じ I/O カラムにある I/O バンクを確認する場合は、 『7 シ リーズ FPGA パッケージおよびピ

ン配置ガイ ド』 (UG475) の「ダイ レベルでのバンク番号の概要」にある図を参照してください。

• DCI カスケード接続に関する詳細は、 42 ページの 「DCI_CASCADE 制約」 を参照してくださ

い。

• 未使用の I/O バンクの VCCO ピンをフローティング状態にしておく と、 これらのピンやバンク

内の I/O ピンに対する ESD 保護のレベルが低下するため、 ザイ リ ンクスでは未使用のバンク

に電源を投入するこ とを推奨しています。 バンクに電源が投入されない場合は、 DCI はこの電

源未投入のバンクでそのままカスケード接続できます。

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 21UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA の DCI (HP I/O バンク内にのみ使用可能)

制御インピーダンス ド ライバー (ソース終端)高速または高性能アプリ ケーシ ョ ン向けにシグナル インテグ リティを 適化するには、ド ライバー

の出力インピーダンスを、 伝送ラインおよびレシーバーのインピーダンスに整合させるこ とが必要

です。 も望ましいのは、 ド ライバーの出力インピーダンスと、 駆動するラインの特性インピーダ

ンスが一致しているこ とです。 これらが一致していないと、 不連続性によって反射が発生する可能

性があ り ます。 この問題を解決するために、 設計者は駆動能力が大き く低インピーダンスのド ライ

バー ピンの近傍に、 外部ソース直列終端抵抗を接続する場合があ り ます。 その抵抗値は、 ド ラ イ

バーの出力インピーダンスとの和が伝送ラインのインピーダンスにほぼ等し くなるよ うに決定され

ます。

DCI には制御インピーダンス出力ド ライバーがあるため、外部にソース終端抵抗を使用しなくても

反射を排除できます。 インピーダンスは、 ト レース インピーダンスと同等の抵抗値である外部基準

抵抗によ り決定します。

制御イ ン ピーダン ス ド ラ イバーをサポー ト する DCI I/O 規格は、 LVDCI_15、 LVDCI_18、HSLVDCI_15、 HSLVDCI_18、 HSUL_12_DCI、 DIFF_HSUL_12_DCI です。図 1-8 に、 7 シ リー

ズ デバイスの制御ド ライバーを示します。

インピーダンスが 1/2 の制御インピーダンス ド ライバー (ソース終端)DCI は、 基準抵抗の 1/2 インピーダンスのド ライバーと して機能させるこ と も可能です。 基準抵抗

が 2 倍になる と、 これらの抵抗を通る静止電流が 1/2 に減少します。 インピーダンスが 1/2 の制御

インピーダンス ド ラ イバーをサポートする DCI I/O 規格は、LVDCI_DV2_15 と LVDCI_DV2_18です。

図 1-9 に、 7 シ リーズ デバイス内のインピーダンスが 1/2 の制御ド ライバーを示します。 インピー

ダンス Z0 と整合させるには、 基準抵抗 R を 2 × Z0 にします。

X-Ref Target - Figure 1-8

図 1-8 : 制御インピーダンス ド ライバー

UG471_c1_10_101810

IOBR

7 Series FPGAHP Bank DCI

Z0

X-Ref Target - Figure 1-9

図 1-9 : インピーダンスが 1/2 の制御インピーダンス ド ライバー

UG471_c1_11_101810

IOBR/2

7 Series FPGAHP Bank DCI

Z0

22 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

分割終端 DCI (VCCO/2 へのテブナン等価終端)HSTL や SSTL などの一部の I/O 規格は、VCCO/2 の電圧の VTT に終端する、入力終端抵抗 (R) が必要です (図 1-10 参照)。

分割終端 DCI は、 2 倍の抵抗値 (2R) によるテブナン等価回路を構成します。 一方を VCCO に終端

し、 も う一方はグランドに終端接続しています。 分割終端 DCI はこの方法によって、 VCCO/2 に終

端する等価回路を提供します。 2R 終端抵抗は、外部の基準抵抗器で設定します。 たとえば、 VCCO/2 への 50Ω テブナン等価並列終端回路を実現するには、VRN と VRP ピンに 100Ω の外部高精度抵

抗が必要になり ます。 表 1-2 に、 分割終端をサポートする DCI 入力規格を示します。

X-Ref Target - Figure 1-10

図 1-10 : DCI を使用しない 1/2 VCCO の入力終端

R

UG471_c1_12_011811

VCCO/2

VREF

IOB

Z0

7 Series FPGA

表 1-2 : 分割終端 DCI をサポートする全 DCI I/O 規格

HSTL_I_DCI DIFF_HSTL_I_DCI SSTL18_I_DCI DIFF_SSTL18_I_DCI

HSTL_I_DCI_18 DIFF_HSTL_I_DCI_18 SSTL18_II_DCI DIFF_SSTL18_II_DCI

HSTL_II_DCI DIFF_HSTL_II_DCI SSTL18_II_T_DCI DIFF_SSTL18_II_T_DCI

HSTL_II_DCI_18 DIFF_HSTL_II_DCI_18 SSTL15_DCI DIFF_SSTL15_DCI

HSTL_II_T_DCI DIFF_HSTL_II_T_DCI SSTL15_T_DCI DIFF_SSTL15_T_DCI

HSTL_II_T_DCI_18 DIFF_HSTL_II_T_DCI_18 SSTL135_DCI DIFF_SSTL135_DCI

SSTL135_T_DCI DIFF_SSTL135_T_DCI

SSTL12_DCI DIFF_SSTL12_DCI

SSTL12_T_DCI DIFF_SSTL12_T_DCI

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 23UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA の DCI (HP I/O バンク内にのみ使用可能)

図 1-11 に、 7 シ リーズ デバイスの分割終端 DCI を示します。

VRN/VRP 外部抵抗のデザイン移行ガイド ライン

DCI 機能を持つ従来のザイ リ ンクス FPGA ファ ミ リの場合、 VRN や VRP ピンに接続された外部

基準抵抗とはわずかに異なる回路が分割終端インピーダンスのキャ リブレーシ ョ ンに使用されてい

ました。 Virtex-6 FPGA の DCI では、 分割終端回路の各側は外部抵抗値の 2 倍となるよ うにキャ

リブレーシ ョ ンされます。 たとえば、 VCCO/2 への並列終端抵抗の値が 50Ω のと き、 Virtex-6 デバ

イスでは、 VRN および VRP ピンに 50Ω の外部抵抗が必要になり ます。

7 シ リーズ FPGA の DCI では、分割終端回路の各側は外部抵抗値の 2 倍となるよ うにキャ リブレー

シ ョ ンされます。 たとえば、 VCCO/2 への並列終端抵抗の値が 50Ω のと き、 7 シ リーズ デバイスで

は、 VRN および VRP ピンに 100Ω の外部抵抗が必要にな り ます。 これは、 制御インピーダンス

DCI および分割終端 DCI 規格の両方において、同じ I/O バンク (またはカスケード接続された複数

の DCI バンク ) で使用される VRN および VRP の値を選択する場合、 この違いを考慮するこ とが

特に重要になり ます。

Virtex-6 FPGA で、 LVDCI_18 出力に 50Ω のターゲッ ト制御インピーダンス ド ラ イバー、 そして

HSTL_I_DCI_18 入力に 50Ω のターゲッ ト分割終端レシーバーを備えるデザインは、 VRN および

VRP ピンに 50Ω の外部抵抗を使用してインプリ メン トできます。 これと同じデザインを 7 シ リー

ズ FPGA へ移行する場合、 HSTL_I_DCI_18 I/O 規格は変更しませんが、 外部抵抗を 100Ω に変更

して、 制御インピーダンス ド ラ イバーを LVDCI_DIV2_18 出力に変更する必要があ り ます。 これ

で同等のデザインが完成しますが、 抵抗値と I/O 規格の変更は必須とな り ます。 VRN および VRP外部抵抗には 0.05W またはそれ以上の定格電力を安全に使用できます。

X-Ref Target - Figure 1-11

図 1-11 : 分割終端 DCI による VCCO/2 への入力終端 (VRN、 VRP の外部抵抗 = 2R)

2R

2R

UG471_c1_13_011811

VCCO

VREF

IOB

Z0

7 Series FPGA HP Bank DCI

24 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

DCI と ト ライステート DCI (T_DCI)SSTL および HSTL ク ラス I の I/O 規格のド ライバーは、 一方向の信号のみをサポート します。 し

たがって、 この規格はデザイン内の入力専用または出力専用ピンだけに割り当て可能であ り、 双方

向ピンには適用できません。 SSTL および HSTL ク ラス I の I/O 規格の I DCI バージ ョ ンでは、 内

部分割終端抵抗は入力にのみ接続されます (出力には接続されない)。 SSTL および HSTL ク ラス IIの I/O 規格のド ライバーは、 双方向と単方向の信号をサポート します。 したがって、 この規格はデ

ザイン内の入力専用ピン、 出力専用ピン、 双方向ピンに適用できます。 SSTL および HSTL ク ラス

II の I/O 規格の DCI バージ ョ ンでは、 入力、 出力、 双方向ピンに必ず内部分割終端抵抗が付きま

す。 図 1-12 に、 7 シ リーズ デバイス内での分割終端ド ライバーを示します。

駆動中も分割終端されている場合、 DCI は終端のインピーダンスのみを制御し、 ド ライバーのイン

ピーダンスは調整しません。 しかし、 多くのアプリ ケーシ ョ ンでは、 ピンを駆動中は常に分割終端

抵抗をオフにした方が有利な場合があ り ます。 ト ラ イ ステー ト DCI (T_DCI) 規格は、 出力バッ

ファーの駆動中は必ず分割終端抵抗をオフにし、 出力が ト ラ イステート状態の場合 (受信時または

アイ ドル状態など) のみオンにするこ とで、 この要件を満たすよ うに設計されています。 T_DCI 規格は双方向ピンにのみ割り当て可能です。単方向の入力ピンには、同規格の DCI バージ ョ ンを使用

できます。単方向の出力ピンには、DCI ではないバージ ョ ンまたは DCI バージ ョ ンが適用できます。

X-Ref Target - Figure 1-12

図 1-12 : DCI 分割終端を使用した 1/2 VCCO の終端ドライバー (VRN、 VRP の外部抵抗 = 2R)

2R

2R

UG471_c1_14_011811

VCCOIOB

Z0

7 Series FPGA HP Bank DCI

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 25UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA の DCI (HP I/O バンク内にのみ使用可能)

表 1-3 に、 分割終端 DCI 抵抗が常時接続される I/O 規格を示します。

表 1-4 に、 分割終端 DCI (T_DCI) がト ライステート状態のみに有効になる I/O 規格を示します。

注記 : T_DCI 規格は双方向ピンにのみ割り当て可能です。

7 シリーズ FPGA の I/O 規格における DCI表 1-5 に、 DCI がサポートする規格を示します。

表 1-3 : 分割終端 DCI が常時接続される I/O 規格

HSTL_I_DCI(1) DIFF_HSTL_I_DCI(1) SSTL18_I_DCI(1) DIFF_SSTL18_I_DCI(1)

HSTL_I_DCI_18(1) DIFF_HSTL_I_DCI_18(1) SSTL18_II_DCI DIFF_SSTL18_II_DCI

HSTL_II_DCI DIFF_HSTL_II_DCI SSTL15_DCI(1) DIFF_SSTL15_DCI(1)

HSTL_II_DCI_18 DIFF_HSTL_II_DCI_18 SSTL135_DCI(1) DIFF_SSTL135_DCI(1)

SSTL12_DCI(1) DIFF_SSTL12_DCI(1)

注記 :

1. ク ラス II 以外の HSTL および SSTL I/O 規格の場合、 分割終端 DCI 抵抗は入力にのみ接続されます (出力には接続されない)。 これらの

規格は、 双方向ピンには適用できません。

表 1-4 : 分割終端 DCI がト ライステート時にのみ有効となる I/O 規格

HSTL_II_T_DCI SSTL18_II_T_DCI DIFF_SSTL18_II_T_DCI

HSTL_II_T_DCI_18 SSTL15_T_DCI DIFF_SSTL15_T_DCI

DIFF_HSTL_II__T_DCI SSTL135_T_DCI DIFF_SSTL135_T_DCI

DIFF_HSTL_II_T_DCI_18 SSTL12_T_DCI DIFF_SSTL12_T_DCI

表 1-5 : 7 シリーズ デバイスの全 DCI I/O 規格

LVDCI_18 HSTL_I_DCI DIFF_HSTL_I_DCI SSTL18_I_DCI DIFF_SSTL18_I_DCI

LVDCI_15 HSTL_I_DCI_18 DIFF_HSTL_I_DCI_18 SSTL18_II_DCI DIFF_SSTL18_II_DCI

LVDCI_DV2_18 HSTL_II_DCI DIFF_HSTL_II_DCI SSTL18_II_T_DCI DIFF_SSTL18_II_T_DCI

LVDCI_DV2_15 HSTL_II_DCI_18 DIFF_HSTL_II_DCI_18 SSTL15_DCI DIFF_SSTL15_DCI

HSLVDCI_18 HSTL_II_T_DCI DIFF_HSTL_II_T_DCI SSTL15_T_DCI DIFF_SSTL15_T_DCI

HSLVDCI_15 HSTL_II_T_DCI_18 DIFF_HSTL_II_T_DCI_18 SSTL135_DCI DIFF_SSTL135_DCI

SSTL135_T_DCI DIFF_SSTL135_T_DCI

SSTL12_DCI DIFF_SSTL12_DCI

SSTL12_T_DCI DIFF_SSTL12_T_DCI

HSUL_12_DCI DIFF_HSUL_12_DCI

26 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

7 シ リーズ デバイスで DCI を適切に使用するには、 次の手順に従ってください。

1. VCCO ピンは、 その I/O バンク内の IOSTANDARD に基づいて、 適切な VCCO 電圧に接続し

ます。

2. IOSTANDARD 属性を使用するか、 または HDL コードでインスタンシエート して、 ソフ ト

ウェアで正しい DCI の I/O バッファーを使用してください。

3. DCI 規格では、 外部の基準抵抗を多目的ピン (VRN および VRP) に接続する必要があ り ます。

この場合、 これら 2 つの多目的ピンは、 DCI を使用する I/O バンク内、 またはカスケード接続

した DCI のマスター I/O バンク内では汎用 I/O と して使用できません。 ピン位置の詳細は、 7シ リーズ のピン配置表を参照して ください。 基準抵抗によって、 VRN ピンは VCCO にプル

アップし、VRP ピンはグランドにプルダウンする必要があ り ます。 この要件の例外は、DCI をカスケード接続した場合のスレーブ I/O バンクです。

この場合、VRN と VRP ピンは汎用 I/O と して使用できます。制御インピーダンス ド ラ イバー

を伴う DCI 規格は入力専用信号に使用できます。

したがって I/O バンク内で、これらのピンのみが DCI 規格を使用する場合は、外部基準抵抗を

VRP/VRN ピンに接続する必要はあ り ません。このよ うな DCI ベースの I/O 規格しかバンクで

使用されていない場合、 そのバンクの VRP および VRN ピンは汎用 I/O と して使用できます。

• VRP/VRN に基準抵抗を必要と しない DCI 入力を表 1-6 に示します。

4. 外部基準抵抗の値は、必要な出力ド ライバー インピーダンスまたは分割終端インピーダンスを

得られるよ うに選択する必要があ り ます。 たとえば、 LVDCI_15 を使用する場合、 出力ド ライ

バーのインピーダンスを 50Ω にするには、 VRN と VRP ピンの外部基準抵抗をそれぞれ 50Ωにします。 SSTL15_T_DCI を使用する場合、 VCCO/2 へのテブナン等価終端 (R) を 50Ω にす

るには、 外部基準抵抗をそれぞれ 100Ω (2R) にして ください。 ザイ リ ンクスでは、 想定した

DCI ビヘイビアーを実現させるために、VRP ピンと VRN ピンに全く同じ抵抗値を使用するこ

とを推奨しています。

5. 次の DCI I/O バンクの規則に従ってください。

a. 同じ I/O バンクまたは I/O バンクのグループ (DCI カスケード接続の場合) のすべての入

力に対しては、 同じ VREF を使用してください。

b. 同じ I/O バンク内のすべての入力および出力に対して同じ VCCO を使用して ください。

c. 1 つのバンク内で、 分割終端、 制御インピーダンス ド ラ イバー、 1/2 インピーダンスの制

御インピーダンス ド ラ イバーを併用できます。

表 1-6 : 基準抵抗不要の DCI 入力を使用する I/O 規格

LVDCI_18 LVDCI_DV2_18 HSLVDCI_18 HSUL_12_DCI

LVDCI_15 LVDCI_DV2_15 HSLVDCI_15 DIFF_HSUL_12_DCI

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 27UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA の DCI (HP I/O バンク内にのみ使用可能)

DCI 使用例

• 図 1-13 に、 HSTL_I_DCI および HSTL_II_DCI の I/O 規格の使用例を示します。

• 図 1-14 に、 SSTL18_I_DCI および SSTL18_II_DCI の I/O 規格の使用例を示します。

X-Ref Target - Figure 1-13

図 1-13 : HSTL DCI の使用例

R R

2R

2R

R

2R

R 2R

2R

2R 2R

2R

UG471_c1_15_011811

Conventional

DCI TransmitConventionalReceive

ConventionalTransmitDCI Receive

DCI TransmitDCI Receive

Bidirectional

ReferenceResistor

Recommended Z0

VRN = VRP = 2R = 2Z0

50Ω

VRN = VRP = 2R = 2Z0

50Ω

HSTL_I HSTL_II

N/A

Z0

R

R

2R

2R

2R

2R

Z0

Z0Z0

Z0 Z0

Z0Z0

7 Series FPGAHP Bank DCI

7 Series FPGAHP Bank DCI

7 Series FPGAHP Bank DCI

7 Series FPGAHP Bank DCI

7 Series FPGAHP Bank DCI

7 Series FPGAHP Bank DCI

7 Series FPGAHP Bank DCI

7 Series FPGAHP Bank DCI 7 Series FPGA

HP Bank DCI

Z0

2R

2R

2R

2R

VCCO/2

VCCO/2

VCCO/2

VCCO/2

VCCO/2 VCCO/2

VCCOVCCO

VCCOVCCO

VCCOVCCOVCCO

VCCO

Notes:1. Z0 is the recommended PCB trace impedance.

28 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

X-Ref Target - Figure 1-14

図 1-14 : SSTL DCI の使用例

ug471_c1_16_042413

SSTL18_I SSTL18_II

R R

2R

2R

R

2R

R 2R

2R

2R 2R

2R

Conventional

DCI TransmitConventionalReceive

ConventionalTransmitDCI Receive

DCI TransmitDCI Receive

Bidirectional

ReferenceResistor

Recommended Z0

VRN = VRP = 2R = 2Z0

50Ω

VRN = VRP = 2R = 2Z0

50Ω

N/A

Z0

R

R

2R

2R

2R

2R

Z0

Z0Z0

Z0 Z0

Z0Z0

7 Series FPGAHP Bank DCI

7 Series FPGAHP Bank DCI

7 Series FPGAHP Bank DCI

7 Series FPGAHP Bank DCI

7 Series FPGAHP Bank DCI

7 Series FPGAHP Bank DCI

7 Series FPGAHP Bank DCI

7 Series FPGAHP Bank DCI 7 Series FPGA

HP Bank DCI

Z0

2R

2R

2R

2R

VCCO/2

VCCO/2

VCCO/2

VCCO/2

VCCO/2 VCCO/2

VCCOVCCO

VCCOVCCO

VCCOVCCOVCCO

VCCO

Notes:1. Z0 is the recommended PCB trace impedance.

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 29UG471 (v1.4) 2014 年 5 月 13 日

HR I/O バンクのキャリブレーシ ョ ンされていない分割終端 (IN_TERM)

HR I/O バンクのキャリブレーシ ョ ンされていない分割終端 (IN_TERM)HR I/O バンクには、HP I/O バンクの ト ラ イステート分割終端 DCI 機能と非常によ く似たオプシ ョ

ンのオンチップ分割終端があ り ます。HP バンクの ト ラ イステート分割終端と同様、HR バンクにあ

るこのオプシ ョ ンは、 ターゲッ ト抵抗値の 2 倍となる 2 つの内部抵抗を使用するテブナン等価回路

を生成します。 一方の抵抗は VCCO へ接続され、 も う一方の抵抗はグランドへ接続されて、 中間レ

ベル VCCO/2 へのテブナン等価終端回路を作成します。 入力には必ず終端抵抗が提供され、 出力

バッファーが ト ライステートの場合には双方向のピンに提供されます。 ただし、 このキャ リブレー

シ ョ ンされていない分割終端オプシ ョ ンと ト ラ イステート分割終端 DCI には、重要な違いがあ り ま

す。DCI を使用する場合は、VRN と VRP ピンの外部基準抵抗へキャ リブレーシ ョ ンしますが、 こ

のキャ リブレーシ ョ ンされていない分割終端オプシ ョ ンの場合は、 温度、 プロセス、 電圧による変

動を補正するキャ リブレーシ ョ ン ルーチンを使用しない内部抵抗を適用します。このオプシ ョ ンに

は、 40Ω、 50Ω、 および 60Ω のターゲッ ト テブナン等価抵抗値があ り ます。

このキャ リブレーシ ョ ンされていない終端が DCI 終端と異なるも う一つの点は、デザインへの適用

方法です。 ト ラ イステート分割終端 DCI オプシ ョ ンは、 HP I/O バンクの I/O ピンに T_DCI I/O 規格を割り当てて適用しますが、 キャ リブレーシ ョ ンされていない分割終端オプシ ョ ンは、 HR バン

クの I/O ピンに T_DCI I/O 規格を割り当てて適用します。 これらの割り当てには、 ソース HDL デザイン、 UCF、 NCF、 XCF ファ イル、 または PlanAhead™ ツールを使用できます。 詳細は、 『制

約ガイ ド』 (UG625) を参照してください。

HR バン ク では、 IN_TERM 制約を NONE (デフ ォル ト )、 UNTUNED_SPLIT_40、UNTUNED_SPLIT_50、 または UNTUNED_SPLIT_60 に設定できます。 UCF 構文の例を次に示

します。

NET "pad_net_name"IN_TERM = "UNTUNED_SPLIT_50";

表 1-7 では、HR I/O バンクで IN_TERM 制約をサポートする I/O 規格をすべて示しています。HPI/O バンクでは、 IN_TERM はサポート されていません。

表 1-7 : IN_TERMをサポートする I/O 規格

HSTL_I DIFF_HSTL_I SSTL15_R DIFF_SSTL15_R

HSTL_II DIFF_HSTL_II SSTL15 DIFF_SSTL15

HSTL_I_18 DIFF_HSTL_I_18 SSTL135_R DIFF_SSTL135_R

HSTL_II_18 DIFF_HSTL_II_18 SSTL135 DIFF_SSTL135

SSTL18_I DIFF_SSTL18_I

SSTL18_II DIFF_SSTL18_II

30 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

7 シリーズ FPGA の SelectIO プリ ミテ ィブ

ザイ リ ンクスのソフ ト ウェア ライブラ リには、7 シ リーズ FPGA I/O プリ ミ ティブで利用できるさ

まざまな I/O 規格をサポートするプリ ミ ティブが多数あ り ます。 次の汎用プリ ミ ティブは、 ほとん

どのシングルエンド I/O 規格をサポート します。

• IBUF (入力バッファー )

• IBUF_IBUFDISABLE (バッファー ディ スエーブルを備えた入力バッファー )

• IBUF_INTERMDISABLE (バッファー ディ スエーブルおよび IN_TERM ディ スエーブル付

き入力バッファー )

• IBUFG (ク ロ ッ ク入力バッファー )

• IOBUF (双方向バッファー )

• IOBUF_DCIEN (DCI ディ スエーブルおよび入力バッファー ディ スエーブル付き双方向バッ

ファー )

• IOBUF_INTERMDISABLE (IN_TERM ディ スエーブルおよび入力バッファー ディ スエーブ

ル付き双方向バッファー )

• OBUF (出力バッファー )

• OBUFT ( ト ラ イステート出力バッファー )

次の 8 つの汎用プリ ミ ティブは、 ほとんどの差動 I/O 規格をサポート します。

• IBUFDS (差動入力バッファー )

• IBUFDS_DIFF_OUT (相補出力を備えた差動入力バッファー )

• IBUFDS_DIFF_OUT_IBUFDISABLE (相補出力およびバッファー ディ スエーブルを備えた

差動入力バッファー )

• IBUFDS_DIFF_OUT_INTERMDISABLE (相補出力、バッファー ディ スエーブル、IN_TERMディ スエーブル付き差動入力バッファー )

• IBUFDS_IBUFDISABLE (バッファー ディ スエーブルを備えた差動入力バッファー )

• IBUFDS_INTERMDISABLE (バッファー ディ スエーブルおよび IN_TERM ディ スエーブル

付き差動入力バッファー )

• IBUFGDS (差動クロ ッ ク入力バッファー )

• IBUFGDS_DIFF_OUT (相補出力付き差動クロ ッ ク入力バッファー )

• IOBUFDS (差動双方向バッファー )

• IOBUFDS_DCIEN (DCI ディ スエーブルおよび入力バッファー ディ スエーブル付き差動双方

向バッファー )

• IOBUFDS_DIFF_OUT (入力バッファーからの相補出力を備えた差動双方向バッファー )

• IOBUFDS_DIFF_OUT_DCIEN (入力バッファーからの相補出力、 DCI ディ スエーブル、 およ

び入力バッファー ディ スエーブルを備えた差動双方向バッファー )

• IOBUFDS_DIFF_OUT_INTERMDISABLE (入力バッファーからの相補出力、IN_TERM ディ

スエーブル、 およびバッファー ディ スエーブルを備えた差動双方向バッファー )

• IOBUFDS_INTERMDISABLE (バッファー ディ スエーブルおよび IN_TERM ディ スエーブ

ル付き差動入力バッファー )

• OBUFDS (差動出力バッファー )

• OBUFTDS (差動ト ラ イステート出力バッファー )

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 31UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA の SelectIO プリ ミテ ィブ

インスタンシエーシ ョ ン方法およびこれらに使用できる属性の詳細、その他すべてのデザイン プリ

ミ ティブは、 『7 シ リーズ FPGA ライブラ リ ガイ ド (HDL 用)』 (UG768) を参照してください。

IBUF および IBUFG7 シ リーズ デバイスの入力と して使用されている信号には、 入力バッファー (IBUF) が必要です。

図 1-15 に、 7 シ リーズ FPGA の一般的な IBUF プリ ミ ティブを示します。

IBUF プ リ ミ テ ィブと IBUFG プリ ミ テ ィブは同一です。 入力バッファーをク ロ ッ ク入力と して使

用する場合、 IBUFG を使用します。ザイ リ ンクス ツールを使用する と、 IBUFG がクロ ッ ク入力に

自動的に配置されます。

IBUF_IBUFDISABLE

図 1-16 に示す IBUF_IBUFDISABLE プ リ ミ テ ィブは、 ディ スエーブル ポー ト 付きの入力バッ

ファーであ り、 入力が使用されない期間に電力消費を節約するために使用できます。

IBUF_IBUFDISABLE プリ ミ ティブは、 USE_IBUFDISABLE 属性が TRUE に設定されている場

合に IBUFDISABLE 信号が High にアサート される と、入力バッファーを無効にしてファブ リ ッ ク

への O 出力を強制的にロジ ッ ク High へ遷移させる こ とが可能です。 USE_IBUFDISABLE がFALSE に設定されている場合、 この入力は無視されるため、 グランドへ接続する必要があ り ます。

この機能を使用するこ とで、 I/O がアイ ドル状態のと きに消費電力を抑えるこ とができます。 VREF電源レール (SSTL および HSTL など) は、それ以外の規格 (LVCMOS および LVTTL など) よ り も

スタティ ッ ク消費電力が大き くなる傾向があるため、 これらの規格を使用する入力バッファーは、

IBUFDISABLE を TRUE に設定するこ とで 大のメ リ ッ ト を享受できます。

IBUF_INTERMDISABLE

図 1-17 に示す IBUF_INTERMDISABLE プリ ミ ティブは、HR I/O バンクで利用でき、バッファー

が使用されていない間に入力バッファーを無効にできる IBUFDISABLE ポート を備えている とい

う点で、 IBUF_IBUFDISABLE プ リ ミ テ ィブと類似しています。 その他、 オプシ ョ ンでキャ リブ

X-Ref Target - Figure 1-15

図 1-15 : 入力バッファー プリ ミテ ィブ (IBUF/IBUFG)

ug471_c1_17_011811

IBUF/IBUFG

O (Output)into FPGA

I (Input)From device pad

X-Ref Target - Figure 1-16

図 1-16 : 入力バッファーを無効化するポートを備えた入力バッファー (IBUF_IBUFDISABLE)

IBUF_IBUFDISABLE

IBUFDISABLE

I O

UG471_c1_63_041412

32 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

レーシ ョ ンされていない分割終端機能を無効にする際に使用する INTERMDISABLE があ り ます。

この機能の詳細は、 「HR I/O バンクのキャ リブレーシ ョ ンされていない分割終端 (IN_TERM)」 を

参照して ください。

IBUF_INTERMDISABLE プ リ ミ テ ィブは、 USE_IBUFDISABLE 属性が TRUE に設定されてい

る場合に IBUFDISABLE 信号が High にアサート される と、 入力バッファーを無効にしてファブ

リ ッ クへの O 出力を強制的にロジッ ク High へ遷移させるこ とが可能です。 USE_IBUFDISABLEが FALSE に設定されている場合、 この入力は無視されるため、 グランドへ接続する必要があ り ま

す。 I/O がオプシ ョ ンのキャ リブレーシ ョ ンされていない分割終端機能 (IN_TERM) を使用してい

る場合、ド ライバーがアクティブ (T が low) のと きには常にこれらの終端の各側が無効になり ます。

さ らに、 IBUF_INTERMDISABLE プリ ミ ティブでは、 INTERMDISABLE 信号がアサート される

と きは常に終端の各側を無効にするこ とが可能です。これらの機能を組み合わせて使用するこ とで、

入力がアイ ドル状態のと きに消費電力を抑えるこ とができます。 VREF 電源レール (SSTL および

HSTL など) は、 それ以外の規格 (LVCMOS および LVTTL など) よ り もスタティ ッ ク消費電力が

大き くなる傾向があるため、これらの規格を使用する入力バッファーは、IBUFDISABLE を TRUEに設定するこ とで 大のメ リ ッ ト を享受できます。

IBUFDS および IBUFGDS差動プリ ミ ティブに対応する使用法および規則は、シングルエンド SelectIO プリ ミ ティブと類似し

ています。 差動 SelectIO プリ ミ ティブにはデバイス パッ ドからのピンとデバイス パッ ドへのピン

が 2 つあ り、 差動ペアとなる P と N チャネル ピンを示しています。 N チャネル ピンには接尾辞

「B」 が付いています。 IBUFDS と IBUFGDS プリ ミ テ ィブは同じもので、 IBUFGDS はク ロ ッ ク

入力と して差動入力バッファーを使用する場合に使用します。

図 1-18 に、 差動入力バッファー プリ ミ ティブを示します。

X-Ref Target - Figure 1-17

図 1-17 : 入力バッファー ディスエーブルおよび IN_TERM ディスエーブルを備えた

入力バッファー (IBUF_INTERMDISABLE)

IBUF_INTERMDISABLE

IBUFDISABLE

INTERMDISABLE

I O

UG471_c1_64_041412

X-Ref Target - Figure 1-18

図 1-18 : 差動入力バッファー プリ ミテ ィブ (IBUFDS/IBUFGDS)

ug471_c1_21_041112

+

I

IB

O

IBUFDS/IBUFGDS

Inputs fromdevice pads

Output toFPGA

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 33UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA の SelectIO プリ ミテ ィブ

IBUFDS_DIFF_OUT および IBUFGDS_DIFF_OUT図 1-19 に、 相補出力 (O と OB) を備えた差動入力バッ フ ァー プ リ ミ テ ィ ブを示し ます。

IBUFDS_DIFF_OUT と IBUFGDS_DIFF_OUT プリ ミティブは同じもので、 IBUFGDS_DIFF_OUTは、 クロッ ク入力に使用します。 これらのプリ ミティブは、ザイ リ ンクス デバイスの十分な使用経験

を持つ設計者によってのみ使用されるこ とを推奨します。

IBUFDS_DIFF_OUT_IBUFDISABLE

図 1-20 に示す IBUFDS_DIFF_OUT_IBUFDISABLE プリ ミ ティブは、相補差動出力とディ スエー

ブル ポート を備えた差動入力バッファーです。 このポートは、入力が使用されていない場合に電力

を節約する機能と して使用できます。

IBUFDS_DIFF_OUT_IBUFDISABLE プリ ミ ティブは、 USE_IBUFDISABLE 属性が TRUE に設

定され、 IBUFDISABLE が High にアサート される と、 入力バッファーを無効にしてファブ リ ッ ク

への O および OB 出力を両方と も High 駆動できます。 USE_IBUFDISABLE が FALSE に設定さ

れている場合、 この入力は無視されるため、 グランドへ接続する必要があ り ます。 この機能を使用

するこ とで、 I/O がアイ ドル状態のと きに消費電力を抑えるこ とができます。

IBUFDS_IBUFDISABLE

図 1-21 に示す IBUFDS_IBUFDISABLE プリ ミ ティブは、 ディ スエーブル ポート を備えた差動入

力バッファーです。 このポートは、 入力が使用されていない場合に電力を節約する機能と して使用

できます。

X-Ref Target - Figure 1-19

図 1-19 : 相補出力を備えた差動入力バッファー プリ ミテ ィブ

(IBUFDS_DIFF_OUT/IBUFGDS_DIFF_OUT)

ug471_c1_25_041112

+

– OB

OI

IB

IBUFDS_DIFF_OUT/IBUFGDS_DIFF_OUT

Input from Device Pad

Output toFPGA

X-Ref Target - Figure 1-20

図 1-20 : 相補出力と入力バッファー ディスエーブルを備えた差動入力バッファー (IBUFDS_DIFF_OUT_IBUFDISABLE)

IBUFDS_DIFF_OUT_IBUFDISABLE

I

IB OB

O

UG471_c1_67_041412

IBUFDISABLE

34 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

IBUFDS_IBUFDISABLE プ リ ミ テ ィ ブは、 USE_IBUFDISABLE 属性が TRUE に設定され、

IBUFDISABLE が High にアサート される と、入力バッファーを無効にしてファブリ ッ クへの O 出力を High 駆動できます。 USE_IBUFDISABLE が FALSE に設定されている場合、 この入力は無

視されるため、 グランドへ接続する必要があ り ます。 この機能を使用するこ とで、 I/O がアイ ドル

状態のと きに消費電力を抑えるこ とができます。

IBUFDS_INTERMDISABLE

図 1-22 に示す IBUFDS_INTERMDISABLE プ リ ミ テ ィブは、 HR I/O バンクで使用でき、 バッ

ファーが使用されていない間に入力バッファーを無効にできる IBUFDISABLE ポート を備えてい

る という点で、 IBUFDS_IBUFDISABLE プリ ミ ティブと類似しています。 その他、 オプシ ョ ンで

キャ リブレーシ ョ ンされていない分割終端機能を無効にする際に使用する INTERMDISABLE があ り ます。 この機能の詳細は、 「HR I/O バン クのキャ リ ブレーシ ョ ン されていない分割終端

(IN_TERM)」 を参照してください。

IBUFDS_INTERMDISABLE プリ ミ ティブは、 USE_IBUFDISABLE 属性が TRUE に設定されて

いる場合に IBUFDISABLE 信号が High にアサート される と、入力バッファーを無効にしてファブ

リ ッ クへの O 出力を強制的に High へ遷移させるこ とが可能です。USE_IBUFDISABLE が FALSEに設定されている場合、 IBUFDISABLE 入力は無視されるため、グランドへ接続する必要があ り ま

す。 I/O がオプシ ョ ンのキャ リブレーシ ョ ンされていない分割終端機能 (IN_TERM) を使用してい

る場合は、 INTERMDISABLE が High にアサート される と常に、 このプ リ ミ ティブが終端の各側

を無効にします。 これらの機能を組み合わせて使用するこ とで、 入力がアイ ドル状態のと きに消費

電力を抑えるこ とができます。

X-Ref Target - Figure 1-21

図 1-21 : 入力バッファー ディスエーブルを備えた差動入力バッファー (IBUFDS_IBUFDISABLE)

IBUFDS_IBUFDISABLE

IBUFDISABLE

I

IBO

UG471_c1_65_041412

X-Ref Target - Figure 1-22

図 1-22 : 入力バッファー ディスエーブルと IN_TERM ディスエーブルを備えた

差動入力バッファー (IBUFDS_INTERMDISABLE)

IBUFDS_INTERMDISABLE

I

IBO

UG471_c1_66_021214

IBUFDISABLE

INTERMDISABLE

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 35UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA の SelectIO プリ ミテ ィブ

IBUFDS_DIFF_OUT_INTERMDISABLE

図 1-23 に示す IBUFDS_DIFF_OUT_ INTERMDISABLE プリ ミ ティブは、 HR I/O バンクで使用

でき、 バッファーが使用されていない間に入力バッファーを無効にできる IBUFDISABLE ポート

を備えている という点で、 IBUFDS_IBUFDISABLE プリ ミ テ ィブと類似しています。 その他、 オ

プシ ョ ンでキャ リ ブレーシ ョ ン されていない DCI 分割終端機能を無効にする際に使用可能な

INTERMDISABLE ポートがあ り ます。 この機能の詳細は、 「HR I/O バンクのキャ リブレーシ ョ ン

されていない分割終端 (IN_TERM)」 を参照して ください。

IBUFDS_DIFF_OUT_INTERMDISABLE プ リ ミ テ ィブは、 USE_IBUFDISABLE 属性が TRUEに設定され、 IBUFDISABLE が High にアサート される と、 入力バッファーを無効にしてファブ

リ ッ クへの O および OB 出力を両方と も High 駆動できます。 USE_IBUFDISABLE が FALSE に設定されている場合、 IBUFDISABLE 入力は無視されるため、 グランドへ接続する必要があ り ま

す。 I/O がオプシ ョ ンのキャ リブレーシ ョ ンされていない分割終端機能 (IN_TERM) を使用してい

る場合は、 INTERMDISABLE が High にアサート される と常に、 このプ リ ミ ティブが終端の各側

を無効にします。 これらの機能を組み合わせて使用するこ とで、 入力がアイ ドル状態のと きに消費

電力を抑えるこ とができます。

IOBUF

入力バッファーおよびアクティブ High ト ラ イステート ピンがある ト ライステート出力バッファー

の両方を必要とする双方向信号には、 IOBUF プ リ ミ テ ィブが必要です。 図 1-24 に、 7 シ リーズ

FPGA の一般的な IOBUF を示します。 T ピンをロジッ ク High にする と出力バッファーが無効に

なり ます。

X-Ref Target - Figure 1-23

図 1-23 : 入力バッファー ディスエーブルと IN_TERM ディスエーブルを備えた

差動入力バッファー (IBUFDS_DIFF_OUT_ INTERMDISABLE)

IBUFDS_DIFF_OUT_INTERMDISABLE

I

IB OB

O

UG471_c1_73_021214

IBUFDISABLE

INTERMDISABLE

X-Ref Target - Figure 1-24

図 1-24 : 入力/出力バッファー プリ ミテ ィブ (IOBUF)

ug471_c1_20_041112

IOBUF

IO to/from device pad

I (Input)from FPGA

O (Output)to FPGA

T3-state input

36 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

IOBUF_DCIEN

図 1-25 に示す IOBUF_DCIEN プリ ミ テ ィブは、 HP I/O バンクで使用可能です。 このプ リ ミ ティ

ブには IBUFDISABLE ポートがあ り、 入力バッファーが使用されていない間そのバッファーを無

効にするために使用します。その他、オプシ ョ ンで DCI 分割終端機能を手動で無効にする際に使用

する DCITERMDISABLE ポートがあ り ます。 詳細は、 「分割終端 DCI (VCCO/2 へのテブナン等

価終端)」 および 「DCI と ト ラ イステート DCI (T_DCI)」 を参照してください。

IOBUF_DCIEN プリ ミ ティブは、USE_IBUFDISABLE 属性が TRUE に設定され、IBUFDISABLEが High にアサート される と、 入力バッファーを無効にしてファブ リ ッ クへの O 出力を High 駆動

できます。 USE_IBUFDISABLE が FALSE に設定されている場合、 この入力は無視されるため、

グランドへ接続する必要があ り ます。 I/O が分割終端 DCI 機能を使用している場合、 このプ リ ミ

ティブは DCITERMDISABLE 信号が High にアサート されている限り、 終端の各側を無効にしま

す。双方向信号には、 ト ラ イステート DCI I/O 規格のみ使用できます。 ト ラ イステート DCI I/O 規格を使用する場合、 ド ラ イバーがアクティブの場合は常に DCI 終端の各側がオフになり ます。 さ ら

に、 IOBUF_DCIEN プリ ミ ティブは、 DCITERMDISABLE 信号が High にアサート されている場

合にも終端の各側を無効にできます。 これらの機能を組み合わせて使用するこ とで、 入力がアイ ド

ル状態のと きに消費電力を抑えるこ とができます。

IOBUF_INTERMDISABLE

図 1-26 に示す IOBUF_INTERMDISABLE プリ ミ ティブは、 HR I/O バンクでのみ使用可能です。

このプ リ ミ テ ィブには IBUFDISABLE ポートがあ り、 入力バッファーが使用されていない間その

バッファーを無効にするために使用します。 その他、 オプシ ョ ンでキャ リブレーシ ョ ンされていな

い分割終端機能を無効にする際に使用する INTERMDISABLE があ り ます。 この機能の詳細は、

「HR I/O バンクのキャ リブレーシ ョ ンされていない分割終端 (IN_TERM)」 を参照してください。

X-Ref Target - Figure 1-25

図 1-25 : 入力パスのディスエーブルと DCI ディスエーブルを備えた双方向バッファー (IOBUF_DCIEN)

IOBUF_DCIEN

I/OI

UG471_c1_74_021414

O

DCITERMDISABLE

IBUFDISABLE

T

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 37UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA の SelectIO プリ ミテ ィブ

IOBUF_INTERMDISABLE プ リ ミ テ ィ ブは、 USE_IBUFDISABLE 属性が TRUE に設定され、

IBUFDISABLE が High にアサート される と、入力バッファーを無効にしてファブリ ッ クへの O 出力を High 駆動できます。 USE_IBUFDISABLE が FALSE に設定されている場合、 この入力は無

視されるため、 グランドへ接続する必要があ り ます。 I/O がオプシ ョ ンのキャ リブレーシ ョ ンされ

ていない分割終端機能 (IN_TERM) を使用している場合、 ド ライバーがアクティブ (T が low) のと

き に は 常 に こ れ ら の 終端 の 各側 が 無効 に な り ま す。 さ ら に、 こ の プ リ ミ テ ィ ブ は、

INTERMDISABLE 信号が High にアサート されている場合にも終端の各側を無効にできます。 こ

れらの機能を組み合わせて使用するこ とで、 入力がアイ ドル状態のと きに消費電力を抑えるこ とが

できます。

IOBUFDS

図 1-27 に、 差動入力/出力バッファー プリ ミ ティブを示します。 T ピンをロジッ ク High にする と

出力バッファーが無効になり ます。

IOBUFDS_DCIEN

図 1-28 に示す IOBUFDS_DCIEN プリ ミ ティブは、 HP I/O バンクでのみ使用可能です。 このプ リ

ミ テ ィブには IBUFDISABLE ポートがあ り、 バッファーが使用されていない間に入力バッファー

を無効にするために使用します。その他、オプシ ョ ンで DCI 分割終端機能を手動で無効にする際に

使用する DCITERMDISABLE ポートがあ り ます。 詳細は、 「分割終端 DCI (VCCO/2 へのテブナ

ン等価終端)」 および 「DCI と ト ラ イステート DCI (T_DCI)」 を参照してください。

X-Ref Target - Figure 1-26

図 1-26 : 入力パス ディスエーブルと IN_TERM ディスエーブルを備えた双方向バッファー (IOBUF_INTERMDISABLE)

UG471_c1_75_021414

IOBUF_INTERMDISABLE

I/OI

O

INTERMDISABLE

IBUFDISABLE

T

X-Ref Target - Figure 1-27

図 1-27 : 差動入力/出力バッファー プリ ミテ ィブ (IOBUFDS)

ug471_c1_24_041112

IOBUFDS

I/O to/fromdevice pad

I (Input)from FPGA

O (Output)to FPGA

T3-state Input

+

+

IO

IOB

38 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

IOBUFDS_DCIEN プ リ ミ テ ィ ブは、 USE_IBUFDISABLE 属性が TRUE に設定され、

IBUFDISABLE が High にアサート される と、入力バッファーを無効にしてファブリ ッ クへの O 出力を High 駆動できます。 USE_IBUFDISABLE が FALSE に設定されている場合、 この入力は無

視されるため、グランドへ接続する必要があ り ます。I/O が分割終端 DCI 機能を使用している場合、

このプリ ミ ティブは DCITERMDISABLE 信号が High にアサート されている限り、 終端の各側を

無効にします。 双方向信号には、 ト ラ イステート DCI I/O 規格のみ使用できます。 ト ラ イステート

DCI I/O 規格を使用する場合、ド ラ イバーがアクティブの場合は常に DCI 終端の各側がオフになり

ます。 さ らに、 IOBUFDS_DCIEN プリ ミ ティブは、DCITERMDISABLE 信号が High にアサート

されている場合にも終端の各側を無効にできます。 これらの機能を組み合わせて使用するこ とで、

入力がアイ ドル状態のと きに消費電力を抑えるこ とができます。

IOBUFDS_DIFF_OUT

図 1-29 に、相補出力 (O と OB) を備えた差動入力/出力バッファー プリ ミ ティブを示します。 この

プ リ ミ ティブは、 メモ リ インターフェイス アプリ ケーシ ョ ンに関して経験豊富なザイ リ ンクス エンジニアによってのみ使用される こ と を推奨します。 T ピンをロジッ ク High にする と出力バッ

ファーが無効になり ます。

X-Ref Target - Figure 1-28

図 1-28 : 入力パス ディスエーブルと DCI ディスエーブルを備えた差動双方向バッファー (IOBUFDS_DCIEN)

IOBUFDS_DCIEN

IBUFDISABLE

DCITERMDISABLE

T

I

O

IO

IOB

UG471_c1_69_021214

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 39UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA の SelectIO プリ ミテ ィブ

IOBUFDS_DIFF_OUT_DCIEN

図 1-30 に示す IOBUFDS_DIFF_OUT_DCIEN プリ ミ ティブは、 HP I/O バンクでのみ使用可能で

す。 このプリ ミ ティブには、 相補差動出力、 バッファーを使用していない間に入力バッファーを無

効にするこ とが可能な IBUFDISABLE ポート、そしてオプシ ョ ンの DCI 分割終端機能を手動で無

効にするこ とが可能な DCITERMDISABLE ポートがあ り ます。 詳細は、 「分割終端 DCI (VCCO/2 へのテブナン等価終端)」 および 「DCI と ト ラ イステート DCI (T_DCI)」 を参照してください。

IBUFDS_INTERMDISABLE プ リ ミ テ ィブは、 USE_IBUFDISABLE 属性が TRUE に設定され、

IBUFDISABLE が High にアサート される と、入力バッファーを無効にしてファブリ ッ クへの O および OB 出力を両方と も High 駆動できます。 USE_IBUFDISABLE が FALSE に設定されている

場合、 この入力は無視されるため、 グランドへ接続する必要があ り ます。 I/O が分割終端 DCI 機能

X-Ref Target - Figure 1-29

図 1-29 : 入力バッファーに相補出力を備えた差動入力/出力バッファー プリ ミテ ィブ (IOBUFDS_DIFF_OUT)

ug471_c1_26_041112

IOBUFDS_DIFF_OUT

To/From Device Pad

IO

IOB

Input from FPGA

Output to FPGA

3-state input frommaster OLOGIC

O

OB

TM

I

3-state input fromslave OLOGIC

TS

X-Ref Target - Figure 1-30

図 1-30 : 相補出力、 入力パス ディスエーブル、 DCI ディスエーブルを備えた

差動双方向バッファー (IOBUFDS_DCIEN)

IOBUFDS_DIFF_OUT_DCIEN

IBUFDISABLE

DCITERMDISABLE

TSI

TM

O

OB

IO

IOB

UG471_c1_70_021214

40 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

を使用している場合、 このプリ ミ ティブは DCITERMDISABLE 信号が High にアサート されてい

る限り、 終端の各側を無効にします。 双方向信号には、 ト ラ イステート DCI I/O 規格のみ使用でき

ます。 ト ラ イステート DCI I/O 規格を使用する場合、 ド ラ イバーがアクテ ィブ (IO 出力は TS がLow、 IOB 出力は TM が Low) の場合は常に DCI 終端の各側がオフにな り ます。 さ らに、

IOBUFDS_DIFF_OUT_DCIEN プリ ミ ティブは、DCITERMDISABLE 信号が High にアサート さ

れている場合にも終端の各側を無効にできます。 これらの機能を組み合わせて使用するこ とで、 入

力がアイ ドル状態のと きに消費電力を抑えるこ とができます。

IOBUFDS_DIFF_OUT_INTERMDISABLE

図 1-31 に示す IOBUFDS_DIFF_OUT_INTERMDISABLE プリ ミ ティブは、HR I/O バンクでのみ

使用可能です。 このプ リ ミ ティブには IBUFDISABLE ポートがあ り、 入力バッファーが使用され

ていない間そのバッファーを無効にするために使用します。その他、オプシ ョ ンでキャ リブレーシ ョ

ンされていない DCI 分割終端機能を無効にする際に使用可能な INTERMDISABLE ポートがあ り

ます。 こ の機能の詳細は、 「HR I/O バン ク のキ ャ リ ブレーシ ョ ン されていない分割終端

(IN_TERM)」 を参照してください。

IOBUFDS_DIFF_OUT_INTERMDISABLE プリ ミ ティブは、USE_IBUFDISABLE 属性が TRUEに設定され、 IBUFDISABLE が High にアサート される と、 入力バッファーを無効にしてファブ

リ ッ クへの O および OB 出力を両方と も High 駆動できます。 USE_IBUFDISABLE が FALSE に設定されている場合、 この入力は無視されるため、 グランドへ接続する必要があ り ます。 I/O がオ

プシ ョ ンのキャ リブレーシ ョ ンされていない分割終端機能 (IN_TERM) を使用している場合、 ド ラ

イバーがアクティブ (IO 出力は TS が Low、IOB 出力は TM が Low) のと きには常にこれらの終端

の各側も無効にな り ます。 さ らに、 IOBUFDS_DIFF_OUT_INTERMDISABLE プ リ ミ テ ィブは、

INTERMDISABLE 信号が High にアサート されている場合にも終端の各側を無効にできます。 こ

れらの機能を組み合わせて使用するこ とで、 入力がアイ ドル状態のと きに消費電力を抑えるこ とが

できます。

IOBUFDS_INTERMDISABLE

図 1-32 に示す IOBUFDS_INTERMDISABLE プリ ミ ティブは、 HR I/O バンクでのみ使用可能で

す。 このプ リ ミ ティブには IBUFDISABLE ポートがあ り、 入力バッファーが使用されていない間

そのバッファーを無効にするために使用します。 その他、 オプシ ョ ンでキャ リブレーシ ョ ンされて

いない DCI 分割終端機能を無効にする際に使用可能な INTERMDISABLE ポートがあ り ます。 こ

X-Ref Target - Figure 1-31

図 1-31 : 相補出力、 入力バッファー ディスエーブル、 IN_TERM ディスエーブルを備えた

差動双方向バッファー (IOBUFDS_DIFF_OUT_INTERMDISABLE)

IOBUFDS_DIFF_OUT_INTERMDISABLE

IBUFDISABLE

INTERMDISABLE

TSI

TM

O

OB

IO

IOB

UG471_c1_71_021412

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 41UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA の SelectIO プリ ミテ ィブ

の機能の詳細は、 「HR I/O バンクのキャ リブレーシ ョ ンされていない分割終端 (IN_TERM)」 を参

照して ください。

IOBUFDS_INTERMDISABLE プリ ミ ティブは、USE_IBUFDISABLE 属性が TRUE に設定され、

IBUFDISABLE が High にアサート される と、入力バッファーを無効にしてファブリ ッ クへの O 出力を High 駆動できます。 USE_IBUFDISABLE が FALSE に設定されている場合、 この入力は無

視されるため、 グランドへ接続する必要があ り ます。 I/O がオプシ ョ ンのキャ リブレーシ ョ ンされ

ていない分割終端機能 (IN_TERM) を使用している場合、 ド ライバーがアクティブ (T が low) のと

き に は 常 に こ れ ら の 終端 の 各側 が 無効 に な り ま す。 さ ら に、 こ の プ リ ミ テ ィ ブ は、

INTERMDISABLE 信号が High にアサート されている場合にも終端の各側を無効にできます。 こ

れらの機能を組み合わせて使用するこ とで、 入力がアイ ドル状態のと きに消費電力を抑えるこ とが

できます。

OBUF

7 シ リーズ デバイスから外部出力パッ ドへ信号を送信するには、出力バッファー (OBUF) が必要で

す。 図 1-33 に、 7 シ リーズ FPGA の一般的な OBUF プリ ミ ティブを示します。

OBUFDS

図 1-34 に、 差動出力バッファー プリ ミ ティブを示します。

X-Ref Target - Figure 1-32

図 1-32 : 入力バッファー ディスエーブルと IN_TERM ディスエーブルを備えた

差動入力バッファー (IOBUFDS_INTERMDISABLE)

IOBUFDS_INTERMDISABLE

IBUFDISABLE

INTERMDISABLE

T

I

O

IO

IOB

UG471_c1_68_021214

X-Ref Target - Figure 1-33

図 1-33 : 出力バッファー プリ ミテ ィブ (OBUF)

ug471_c1_18_011811

OBUF

O (Output)to device pad

I (Input)From FPGA

42 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

OBUFT

一般的な ト ラ イステート出力バッファー OBUFT (図 1-35 参照) で、 通常、 ト ラ イステート出力ま

たは双方向 I/O をインプリ メン ト します。

OBUFTDS

図 1-36 に、 差動ト ラ イステート出力バッファー プリ ミ ティブを示します。

7 シリーズ FPGA の SelectIO の属性および制約

7 シ リーズ FPGA の I/O リ ソースの各機能 (ロケーシ ョ ン制約、 入力遅延、 出力駆動能力、 スルー

レート など) は、属性/制約で設定可能です。これらの制約/属性の設定方法などその他の詳細は、『制

約ガイ ド』 (UG625) を参照してください。

DCI_CASCADE 制約

DCI_CASCADE 制約は、DCI マスター バンク とそれに対応するスレーブ バンクを特定します。詳

細は、 18 ページの 「DCI カスケード接続」 を参照して ください。

DCI_CASCADE 属性は、 UCF ファ イルで次のよ うな構文を使用します。

X-Ref Target - Figure 1-34

図 1-34 : 差動出力バッファー プリ ミテ ィブ (OBUFDS)

ug471_c1_22_041112

+

– OB

OI

OBUFDS

Input from FPGA

Output toDevice Pads

X-Ref Target - Figure 1-35

図 1-35 : ト ライステート出力バッファー プリ ミテ ィブ (OBUFT)

ug471_c1_19_011811

OBUFT

O (Output)to device pad

I (Input)From FPGA

T3-state input

X-Ref Target - Figure 1-36

図 1-36 : 差動ト ライステート出力バッファー プリ ミテ ィブ (OBUFTDS)

ug471_c1_23_041112

+

– OB

OI

T

OBUFTDS

Input from FPGA

3-state Input

Output toDevice Pads

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 43UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA の SelectIO の属性および制約

CONFIG DCI_CASCADE = "<master> <slave1> <slave2> ...";

例 :

CONFIG DCI_CASCADE = "11 13 15 17";

ロケーシ ョ ン制約

ロケーシ ョ ン (LOC) 制約は、 インスタンシエート した I/O プ リ ミ テ ィブの I/O の位置を指定する

場合に使用します。 ロケーシ ョ ン制約の値には、 外部ポート識別子 (例 : A8、 M5、 AM6) があ り ま

す。 これらの値は、 デバイス サイズおよびパッケージ サイズによって異なり ます。

LOC 属性は、 UCF ファ イルで次のよ うな構文を使用します。

INST <I/O_BUFFER_INSTANTIATION_NAME> LOC = "<EXTERNAL_PORT_IDENTIFIER>";

例 :

INST MY_IO LOC=R7;

IOSTANDARD 属性

I/O バッファーに I/O 規格の値を選択する場合、 IOSTANDARD 属性を使用します。 使用可能な I/O 規格は、 各 7 シ リーズ FPGA のデータシートに記載されていますが、 表 1-56 に、 サポート され

るバンク タイプごと (HR、 HP、 または両方) にまとめました。 IOSTANDARD 属性は、 UCF ファ

イルで次のよ うな構文を使用します。

INST <I/O_BUFFER_INSTANTIATION_NAME> IOSTANDARD=”<IOSTANDARD VALUE>”;

IOSTANDARD 属性のデフ ォル ト 値ではシングルエン ド I/O LVCMOS18、 差動 I/O では

DDIFF_HSTL18_II です。

IBUF_LOW_PWR 属性

次の入力には、 IBUF_LOW_PWR 属性を使用できます。

• 差動入力を備えているすべての I/O 規格 (以下参照)

• LVDS

• LVDS_25

• PPDS_25

• RSDS_25

• MINI_LVDS_25

• BLVDS_25

• DIFF_HSTL (すべてのタイプ)

• DIFF_SSTL (すべてのタイプ)

• DIFF_MOBILE_DDR

• DIFF_HSUL (すべてのタイプ)

44 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

• VREF をベース とするすべての入力 (HSLVDCI、 SSTL、 HSTL、 HSUL など)

• すべての入力と双方向プリ ミ ティブ

IBUF_LOW_PWR 属性を使用するこ とによって、 性能と消費電力の ト レードオフが可能になり ま

す。性能の変化は、入力バッファーを通過する遅延に反映され、デザインのスタティ ッ ク タイ ミ ン

グ レポートで測定可能です。消費電力の変化は、Xilinx Power Estimator (XPE) または Xilinx PowerAnalyzer (XPA) を使用して概算できます。

この属性はデフォルトで TRUE に設定されており、高性能モードではなく低消費電力モードで入力

バッファーをインプ リ メン ト します。 IBUF_LOW_PWR 属性は、 I/O バッファー インスタンスへ

適用され、 UCF ファ イルで次の構文を使用します。

INST <I/O_BUFFER_INSTANTIATION_NAME> IBUF_LOW_PWR=[TRUE|FALSE];

出力スルー レートの属性

I/O 出力バッファーに必要なスルー レー ト を設定するため、 さまざまな属性値があ り ます。 差動

バージ ョ ンを含む LVCMOS、 LVTTL、 SSTL、 HSTL、 MOBILE_DDR、 HSUL 出力バッファー

に必要なスルー レート を指定するには SLEW 属性を使用します。

高周波数メモ リ インターフェイスなどの高性能アプリ ケーシ ョ ンには、FAST スルー レート を指定

するこ とが重要とな り ます。 しかし、 適切に設計されていない場合 (終端、 伝送ラインのインピー

ダンスの連続性、 クロスカップ リ ングなど) には、 高速スルー レートが反射を招いたり、 ノ イズ問

題を増加させる可能性があ り ます。

SLEW 属性で指定できる値は次のとおりです。

• SLEW = SLOW (デフォルト )

• SLEW = FAST

SLEW 属性を設定するには、 UCF ファ イルで次のよ うな構文を使用します。

INST <I/O_BUFFER_INSTANTIATION_NAME> SLEW = "<SLEW_VALUE>";

各出力バッファーのスルー レートは、 デフォルトで SLOW に設定されます。 スイ ッチングする信

号があま り重要でない場合のバスの消費電力を 小限に抑えるために、 デフォルト値は SLOW になっています。

出力駆動能力の属性

LVCMOS および LVTTL 出力バッファー (OBUF、 OBUFT、 IOBUF) の場合、任意の駆動能力 (単位 : mA) を DRIVE 属性で指定できます。

表 1-8 に、 DRIVE 属性で指定できる値を示します。 デフォルトの DRIVE 値は 12 です。

表 1-8 : DRIVE 属性で指定可能な値

規格 HR バンク駆動電流 (mA) HP バンク駆動電流 (mA)

LVCMOS12 4、 8、 または 12 2、 4、 6、 または 8

LVCMOS15 4、 8、 12、 または 16 2、 4、 6、 8、 12、 または 16

LVCMOS18 4、 8、 12、 16、 または 24 2、 4、 6、 8、 12、 または 16

LVCMOS25 4、 8、 12、 または 16 N/A

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 45UG471 (v1.4) 2014 年 5 月 13 日

7 シリーズ FPGA の SelectIO の属性および制約

DRIVE 属性は、 UCF ファ イルで次のよ うな構文を使用します。

INST <I/O_BUFFER_INSTANTIATION_NAME> DRIVE = "<DRIVE_VALUE>";

IBUF、 OBUFT、 IOBUF の PULLUP/PULLDOWN/KEEPER 属性

入力バッファー (例 : IBUF)、 ト ラ イステート出力バッファー (例 : OBUFT)、双方向バッファー (例: IOBUF) を使用する場合、 出力には弱いプルアップ抵抗、 弱いプルダウン抵抗、 または弱いキー

パー回路のいずれかを使用できます。 バッファーの出力ネッ トに次の制約値を追加してこの機能を

使用します。

• PULLUP

• PULLDOWN

• KEEPER

各 I/O またはすべての I/O のいずれかにこれらの属性を適用する場合の詳細は、 『制約ガイ ド』

(UG625) の 「プルアップ」、 「プルダウン」、 および 「キーパー」 に関する説明を参照してください。

差動終端属性

差動終端属性 (DIFF_TERM) は、入力と して使用する差動 I/O 規格をサポート します。 この属性を

使用してビルト イン 100Ω 終端抵抗の切り替え (オン/オフ) を行います。 7 シ リーズ デバイスのオ

ンチップ入力差動終端を使用する と、 レシーバーのスタブを完全になくすこ とできるため、 外付け

の単体抵抗を使用するよ り も有利であ り、 シグナル インテグ リ テ ィ を大幅に向上できます。 さ ら

に、 次のよ うな利点があ り ます。

• DCI 終端よ り消費電力が低い

• VRP/VRN ピン (DCI) を使用しない

この属性は、 次の I/O 規格の入力ピンに適用できます。

• LVDS

• LVDS_25

• MINI_LVDS_25

• PPDS_25

• RSDS_25

100Ω の有効な差動終端を行うために、 I/O バンクの VCCO は、 LVDS の場合は 1.8V、 その他の差

動 I/O 規格の場合は 2.5V に接続する必要があ り ます。 DIFF_TERM は、 入力専用の属性であ り、

適切な VCCO 電圧に対してのみ使用できます。

DIFF_TERM 属性は、 UCF 制約フ ァ イルで指定するか、 イ ン ス タ ンシエー ト し た IBUFDS、IBUFGDS、 IBUFDS_DIFF_OUT、 IOBUFDS_DIFF_OUT プ リ ミ テ ィブのジェネ リ ッ ク マップ

(VHDL) またはインライン パラ メーター (Verilog) で適切な値を設定します。 これらのプリ ミ ティ

ブのインスタンシエーシ ョ ンおよび DIFF_TERM 属性の設定の構文の詳細は、ISE® の言語テンプ

レート または 『7 シ リーズ FPGA ライブラ リ ガイ ド (HDL 用)』 を参照してください。

LVCMOS33 4、 8、 12、 または 16 N/A

LVTTL 4、 8、 12、 16、 または 24 N/A

表 1-8 : DRIVE 属性で指定可能な値 (続き)

規格 HR バンク駆動電流 (mA) HP バンク駆動電流 (mA)

46 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

DIFF_TERM 属性で設定可能な値は次のとおりです。

• DIFF_TERM = TRUE

• DIFF_TERM = FALSE (デフォルト )

DIFF_TERM 属性は、 UCF ファ イルで次のよ うな構文を使用します。

INST <I/O_BUFFER_INSTANTIATION_NAME> DIFF_TERM = "[TRUE|FALSE]";

内部 VREF

I/O バンクに供給する VREF は 7 シ リーズ FPGA 内部で生成できます (オプシ ョ ン)。内部生成させ

るこ とで PCB 上に特定の VREF 電源レールが必要なくな り、I/O バンク内の多目的 VREF ピンを通

常の I/O ピンと して使用できるよ うになり ます。 ボード /システム上で 7 シ リーズ FPGA のみが特

定の VREF 電源レベルを必要と している場合、 または特定の I/O バンクで I/O ピンが不足している

場合はこの代替手法を検討して ください。 内部生成の VREF (INTERNAL_VREF) は VCCAUX をソース と し ています。 各バン ク の VREF プレーンは 1 つであ るため、 オプシ ョ ンの

INTERNAL_VREF は I/O バンク全体で 1 つの電圧レベルにしか設定できません。

INTERNAL_VREF 制約は、 一度に 1 つのバンクに割り当てられます。

例 1 : HSTL_II (1.5V) を使用するバンク 14 に 0.75V の基準電圧が必要な場合、INTERNAL_VREF制約は次のよ うに設定します。

INTERNAL_VREF_BANK14 = 0.75;

例 2 : HSTL_II_18 (1.8V) を使用するバン ク 15 に 0.9V の基準電圧が必要な場合、

INTERNAL_VREF 制約は、 次のよ うに設定します。

INTERNAL_VREF_BANK15 = 0.90;

INTERNAL_VREF の使用に関する規則は次のとおりです。

• バンクに設定できる VREF の値は 1 つです。

• INTERNAL_VREF に設定できる値は、 特定の I/O 規格の標準基準電圧のみです。

• INTERNAL_VREF に有効な設定値は次のとおりです。

• 0.60

• 0.675

• 0.75

• 0.90

• バンク内で INTERNAL_VREF を使用する場合、 そのバンクの多目的 VREF ピンは通常の I/Oと して使用できます。

I/O 規格を組み合わせて使用する際の規則は INTERNAL_VREF にも適用されます。

VCCAUX_IO 制約

VCCAUX_IO は、 HP バンクの VCCAUX_IO ピンが 2.0V に設定される場合に、 デザインで指定さ

れる必要がある I/O ネッ トおよびプリ ミ ティブに対して利用できる制約です。VCCAUX_IO は、デ

フォルトで DONTCARE に設定されていますが、NORMAL (1.8V) または HIGH (2.0V) へ変更で

きます。 あるバンクの VCCAUX_IO が 2.0V で電源供給される場合には、 そのバンク内で少な く と

も 1 つの I/O ネッ ト またはプリ ミ ティブに対して VCCAUX_IO 制約を High に設定する必要があ

り、 その他すべての I/O ネッ トおよびプリ ミ ティブは、 High または DONTCARE のいずれかに設

定する必要があ り ます。 あるバンクの VCCAUX_IO ピンが 1.8V で電源供給される場合には、 その

バン ク内で少な く て も 1 つの I/O ネ ッ ト またはプ リ ミ テ ィ ブに対して VCCAUX_IO 制約を

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 47UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

NORMAL に設定する必要があ り、 その他すべての I/O ネッ トおよびプリ ミ ティブは、 NORMALまたは DONTCARE のいずれかに設定する必要があ り ます。

VHDL の場合、 IOB プリ ミ ティブのインスタンシエーシ ョ ンに適用される VHDL 制約は、 次のよ

うに宣言されます。

attribute VCCAUX_IO of {component_name |label_name}:{component|label} is “{NORMAL|HIGH|DONTCARE}”;

Verilog の場合、Verilog 制約は IOB プリ ミ ティブのモジュール宣言またはインスタンシエーシ ョ ン

の直前に入力します。 Verilog 制約は、 次のよ うに指定されます。

(* VCCAUX_IO = {NORMAL|HIGH|DONTCARE}*)UCF and NCF SyntaxNET “net_name” VCCAUX_IO=(0|NORMAL|HIGH|DONTCARE);INST “instance_name ” VCCAUX_IO=(NORMAL|HIGH|DONTCARE);

7 シリーズ FPGA I/O リソースの VHDL/Verilog の例

7 シ リーズ FPGA I/O リ ソースをインスタンシエートする VHDL および Verilog の例は、『7 シ リー

ズ FPGA ライブラ リ ガイ ド (HDL 用)』 (UG768) を参照して ください。

サポート される I/O 規格および終端

このセクシ ョ ンでは、すべての 7 シ リーズ デバイスで使用できる I/O 規格およびオプシ ョ ンについ

て説明します。

7 シ リーズ FPGA で使用できる I/O 規格のほぼすべてに許容電圧範囲が指定されていますが、 この

セクシ ョ ンでは一般的な電圧値のみを扱います。各仕様の詳細は、EIA (米国電子工業会) の JEDECの ウェブサイ ト http://www.jedec.org を参照してください。

LVTTL (低電圧 TTL)

LVTTL はシングルエンドの CMOS 入力バッファーとプッシュプル出力バッファーを用いた、3.3Vアプ リ ケーシ ョ ン向けの汎用 EIA/JESD 規格です。 この規格には 3.3V の出力ソース電圧 (VCCO)が必要ですが、 基準電圧 (VREF) および終端電圧 (VTT) は不要です。 この規格は JEDEC (JESD8C.01) で規定されています。

図 1-37 および図 1-38 に、単方向および双方向の LVTTL 終端テクニッ クを用いた回路の例をそれ

ぞれ示します。 これらの 2 つの回路図は、 ソースの直列終端および並列終端トポロジの例を示して

います。

表 1-9 : 使用可能な I/O バンクのタイプ

HR HP

可 N/A

48 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

図 1-37 に、 単方向の終端トポロジを示します。

X-Ref Target - Figure 1-37

図 1-37 : LVTTL 単方向終端

Z0

IOB IOB

LVTTL LVTTL

Z0

IOB IOB

LVTTL LVTTL

Z0

IOB IOB

LVTTL LVTTL

ug471_c1_27_011811

VTT

Note: VTT is any voltage from 0V to VCCO

RP = Z0

RS = Z0 – RD

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 49UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

図 1-38 に、 双方向の並列終端トポロジを示します。

表 1-10 に、 LVTTL I/O 規格に適用できる属性を示します。 この規格は HR I/O バンクでのみ使用

可能です。

X-Ref Target - Figure 1-38

図 1-38 : LVTTL 双方向終端

表 1-10 : LVTTL I/O 規格で使用可能な属性

属性プリ ミテ ィブ

IBUF/IBUFG OBUF/OBUFT/IOBUF

IOSTANDARD LVTTL LVTTL

DRIVE N/A 4、 8、 12 (デフォルト )、 16、 24

SLEW N/A FAST、 SLOW

Z0

IOB IOB

LVTTL

Z0

IOB IOB

LVTTL LVTTL

VTT

Note: VTT is any voltage from 0V to VCCO

RP = Z0

VTT

RP = Z0

ug471_c1_28_011811

LVTTL

50 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

LVCMOS (低電圧 CMOS)

LVCMOS は幅広く使用されており、 CMOS ト ランジスタにインプリ メン ト されているスイ ッチ規

格です。この規格は JEDEC (JESD 8C.01) で規定されています。7 シ リーズ FPGA でサポート され

ている LVCMOS 規格は、 LVCMOS12、 LVCMOS15、 LVCMOS18、 LVCMOS25、 および

LVCMOS33 です。

図 1-39 および図 1-40 に、 LVCMOS 単方向終端テクニッ ク と LVCMOS 双方向終端テクニッ クを

使用した回路図の例をそれぞれ示します。 これらの 2 つの回路図は、 ソースの直列終端および並列

終端トポロジの例を示しています。

図 1-39 に、 単方向の終端トポロジを示します。

表 1-11 : 使用可能な I/O バンクのタイプ

HR HP

可 可

X-Ref Target - Figure 1-39

図 1-39 : LVCMOS 単方向終端

Z0

IOB IOB

LVCMOS LVCMOS

Z0

IOB IOB

LVCMOS LVCMOS

Z0

IOB IOB

LVCMOS LVCMOS

ug471_c1_29_011811

VTT

Note: VTT is any voltage from 0V to VCCO

RP = Z0

RS = Z0 – RD

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 51UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

図 1-40 に、 双方向の並列終端トポロジを示します。

表 1-12 に、LVCMOS33 および LVCMOS25 I/O 規格に適用できる属性を示します。 これらの規格

は HR I/O バンクでのみ使用可能です。

表 1-13 に、 LVCMOS18 I/O 規格に適用できる属性を示します。 この規格は HR および HP I/O バンクの両方で使用可能です。

X-Ref Target - Figure 1-40

図 1-40 : LVCMOS 双方向終端

表 1-12 : LVCMOS33 および LVCMOS25 I/O 規格で使用可能な属性

属性プリ ミテ ィブ

IBUF/IBUFG OBUF/OBUFT/IOBUF

IOSTANDARD LVCMOS33、 LVCMOS25 LVCMOS33、 LVCMOS25

DRIVE N/A 4、 8、 12、 16

SLEW N/A FAST、 SLOW

表 1-13 : LVCMOS18 I/O 規格で使用可能な属性

属性

プリ ミテ ィブ

IBUF/IBUFGOBUF/OBUFT/IOBUF

HP I/O バンク HR I/O バンク

IOSTANDARD LVCMOS18 LVCMOS18 LVCMOS18

DRIVE N/A 2、 3、 4、 6、 8、 12、または 16

4、 8、 12、 16、 24

SLEW N/A FAST、 SLOW FAST、 SLOW

Z0

IOB IOB

LVCMOS

Z0

IOB IOB

LVCMOS LVCMOS

VTT

Note: VTT is any voltage from 0V to VCCO

RP = Z0

VTT

RP = Z0

ug471_c1_30_011811

LVCMOS

52 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

表 1-14 に、 LVCMOS15 I/O 規格に適用できる属性を示します。 この規格は HR および HP I/O バンクの両方で使用可能です。

表 1-15 に、 LVCMOS12 I/O 規格に適用できる属性を示します。 この規格は HR および HP I/O バンクの両方で使用可能です。

LVDCI (低電圧デジタル制御インピーダンス)

これらの I/O バッファーを使用する と、出力が制御インピーダンス ド ラ イバーと してコンフ ィギュ

レーシ ョ ンされます。 LVDCI レシーバーは、 LVCMOS レシーバーと類似しています。 LVCMOSなどの一部の I/O 規格では、 伝送ラインの特性インピーダンスと駆動インピーダンスを整合させる

必要があ り ます。 7 シ リーズ デバイスの HP I/O バンクには制御インピーダンス出力ド ライバーが

あ り、 外部のソース終端抵抗を使用せずに直列終端を与えるこ とができます。 インピーダンスは共

通の外部基準抵抗で決定され、 抵抗値はト レース特定インピーダンス Z0 と整合します。

図 1-41 および図 1-42 に、制御インピーダンス ド ラ イバーの単方向トポロジおよび双方向トポロジ

を使用した回路図の例をそれぞれ示します。制御インピーダンス ド ラ イバーをサポートする DCI I/O 規格は、 LVDCI_15 と LVDCI_18 です。

表 1-14 : LVCMOS15 I/O 規格で使用可能な属性

属性

プリ ミテ ィブ

IBUF/IBUFGOBUF/OBUFT/IOBUF

HP I/O バンク HR I/O バンク

IOSTANDARD LVCMOS15 LVCMOS15 LVCMOS15

DRIVE N/A 2、 3、 4、 6、 8、 12、または 16

4、 8、 12、 16

SLEW N/A FAST、 SLOW FAST、 SLOW

表 1-15 : LVCMOS12 I/O 規格で使用可能な属性

属性

プリ ミテ ィブ

IBUF/IBUFGOBUF/OBUFT/IOBUF

HP I/O バンク HR I/O バンク

IOSTANDARD LVCMOS12 LVCMOS12 LVCMOS12

DRIVE N/A 2、 4、 6、 8 4、 8、 12

SLEW N/A FAST、 SLOW FAST、 SLOW

表 1-16 : 使用可能な I/O バンクのタイプ

HR HP

N/A 可

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 53UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

LVDCI_DV2

インピーダンスが 1/2 の制御インピーダンス ド ラ イバー (ソース終端) では、基準抵抗の 1/2 のイン

ピーダンスのド ラ イバーを使用できます。 これによ り、 基準抵抗を 2 倍にできるため、 VRN/VRPを介した静止電流を削減できます。 インピーダンスが 1/2 の制御インピーダンス ド ラ イバーをサ

ポートする DCI I/O 規格は、LVDCI_DV2_15 と LVDCI_DV2_18 です。図 1-43 および図 1-44 に、

インピーダンスが 1/2 の単方向終端の制御ド ライバーと双方向終端の制御ド ライバーの回路図の例

をそれぞれ示します。

インピーダンスが 1/2 のド ライバーを使用する場合、駆動インピーダンスを Z0 と整合させるには、

基準抵抗 R を Z0 の 2 倍にする必要があ り ます。

X-Ref Target - Figure 1-41

図 1-41 : 単方向制御インピーダンス ト ライバーのトポロジ

X-Ref Target - Figure 1-42

図 1-42 : 双方向制御インピーダンス ト ライバーのトポロジ

Z0

IOB IOB

LVDCI LVDCI

ug471_c1_31_011811

R0 = RVRN = RVRP = Z0

Z0

IOB IOB

LVDCI LVDCI

ug471_c1_32_011811

R0 = RVRN = RVRP = Z0

R0 = RVRN = RVRP = Z0

表 1-17 : 使用可能な I/O バンクのタイプ

HR HP

N/A 可

54 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

LVDCI ド ラ イバーには、 オプシ ョ ンで電流駆動能力の設定はあ り ません。 駆動インピーダンスが、

VRN/VRP 基準抵抗の半分の場合は、 属性名に DV2 が追加されます。

X-Ref Target - Figure 1-43

図 1-43 : インピーダンスが 1/2 の単方向制御インピーダンス ト ライバーのトポロジ

X-Ref Target - Figure 1-44

図 1-44 : インピーダンスが 1/2 の双方向制御インピーダンス ト ライバーのトポロジ

Z0

IOB IOB

LVDCI_DV2 LVDCI_DV2

ug471_c1_33_011811

R0 = ½RVRN = ½RVRP = Z0

Z0

IOB IOB

LVDCI_DV2 LVDCI_DV2

ug471_c1_34_011811

R0 = ½RVRN = ½RVRP = Z0

R0 = ½RVRN = ½RVRP = Z0

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 55UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

HSLVDCI (高速 LVDCI)

HSLVDCI 規格は、双方向で使用されます。 ド ラ イバーは、 LVDCI と類似しており、入力は HSTLおよび SSTL と類似しています。 VREF 基準電圧の入力を使用する と、 HSLVDCI は、 シングルエ

ンド LVCMOS タイプのレシーバーを使用する場合よ り優れた入力感度をレシーバーで許容できま

す。

図 1-45 に、 HSLVDCI 制御インピーダンス ド ラ イバーの双方向終端テクニッ クを使用した回路図

の例を示し ます。 VREF で制御イ ン ピーダン ス ド ラ イバーをサポー ト する DCI I/O 規格は、

HSLVDCI_15 と HSLVDCI_18 です。

電気的仕様は、 7 シ リーズ データシートの LVDCI VOH および VOL を参照してください。

表 1-18 : 使用可能な I/O バンクのタイプ

HR HP

N/A 可

X-Ref Target - Figure 1-45

図 1-45 : 双方向終端の HSLVDCI 制御インピーダンス ド ライバー

Z0

IOBHSLVDCI_15HSLVDCI_18

HSLVDCI_15HSLVDCI_18

ug471_c1_35_121610

R0 = RVRN = RVRP = Z0

R0 = RVRN = RVRP = Z0

IOB

VREF = VCCO/2

VREF = VCCO/2

+

56 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

HSTL (高速ト ランシーバー ロジック)高速ト ランシーバー ロジッ ク (HSTL) 規格は、 JEDEC が規定する汎用高速バス規格 (JESD8-6) です。HSTL 規格には 4 つのバージ ョ ン (ク ラス) があ り ます。高速メモ リ インターフェイスのクロ ッ

キングをサポートするために、この規格では差動バージ ョ ンも提供されています。7 シ リーズ FPGAI/O では、差動バージ ョ ンを含め、HSTL (HP バンク ) の 1.2V バージ ョ ンについてはクラス I、1.5Vおよび 1.8V バージ ョ ンに対してはク ラス I と II をサポート しています。 差動バージ ョ ンには、 差

動増幅入力バッファーおよびプッシュプル出力バッファーが必要です。 HP I/O バンクは DCI バー

ジ ョ ンにも対応しています。

HSTL_ I、 HSTL_ I_18

HSTL_I および HSTL_ I_18 は並列終端電圧 (VTT) と して VCCO/2 を使用し、単方向のリ ンクで使

用されます。

HSTL_I_12

HSTL_I_12 は並列終端電圧 (VTT) と して VCCO/2 を使用し、 単方向のリ ンクで使用されます。

HSTL_ I_DCI、 HSTL_ I_DCI_18

HSTL_I_DCI および HSTL_I_DCI_18 では、 VCCO から電源供給されるオンチップ分割テブナン

終端を使用し、 VCCO/2 の等価並列終端電圧 (VTT) を生成します。 これらは単方向のリ ンクで使用

されます。

HSTL_ II および HSTL_ II_18

HSTL_II および HSTL_II_18 は並列終端電圧 (VTT) と して VCCO/2 を使用し、 単方向のリ ンクで

使用されます。

表 1-19 : 使用可能な I/O バンクのタイプ

HR HP

可 可

表 1-20 : 使用可能な I/O バンクのタイプ

HR HP

N/A 可

表 1-21 : 使用可能な I/O バンクのタイプ

HR HP

N/A 可

表 1-22 : 使用可能な I/O バンクのタイプ

HR HP

可 可

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 57UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

HSTL_ II_DCI および HSTL_ II_DCI_18

HSTL_II_DCI および HSTL_II_DCI_18 では、VCCO から電源供給されるオンチップ分割テブナン

終端を使用し、 VCCO/2 の等価並列終端電圧を生成します。 これらは双方向のリ ンクで使用されま

す。

HSTL_ II_T_DCI および HSTL_ II_T_DCI_18

HSTL_ II_T_DCI および HSTL_ II_T_DCI_18 では、ド ライバーがト ライステートの場合に VCCOから電源供給されるオンチップ分割テブナン終端を使用し、 レシーバーに VCCO/2 の等価終端電圧

を生成します。 ド ライバーがト ライステートでない場合、 これらの 2 つの規格には終端はあ り ませ

ん。

DIFF_HSTL_I および DIFF_HSTL_I_18

差動 HSTL ク ラス I は、相補シングルエンド HSTL_I タイプのド ライバーと差動レシーバーをペア

で使用し、 単方向のリ ンクで使用されます。

DIFF_HSTL_I_DCI および DIFF_HSTL_I_DCI_18

差動 HSTL ク ラス I は、オンチップ分割テブナン終端を含む、相補シングルエンド HSTL_I タイプ

のド ライバーと差動レシーバーをペアで使用し、 単方向のリ ンクで使用されます。

DIFF_HSTL_ II および DIFF_HSTL_II_18

差動 HSTL ク ラス II は、相補シングルエンド HSTL_II タイプのド ライバーと差動レシーバーをペ

アで使用します。 差動 HSTL ク ラス II は、 双方向信号で使用されます。 また、 差動 HSTL は、 メ

モ リ インターフェイス デザインで差動クロ ッ クおよび DQS 信号にも使用できます。

表 1-23 : 使用可能な I/O バンクのタイプ

HR HP

N/A 可

表 1-24 : 使用可能な I/O バンクのタイプ

HR HP

N/A 可

表 1-25 : 使用可能な I/O バンクのタイプ

HR HP

可 可

表 1-26 : 使用可能な I/O バンクのタイプ

HR HP

N/A 可

表 1-27 : 使用可能な I/O バンクのタイプ

HR HP

可 可

58 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

DIFF_HSTL_II_DCI および DIFF_HSTL_II_DCI_18

差動 HSTL ク ラス II は、 オンチップ分割テブナン終端を含む、 相補シングルエンド HSTL_II タイ

プのド ライバーと差動レシーバーをペアで使用します。 差動 HSTL ク ラス II は、 双方向信号で使

用されます。 また、差動 HSTL は、 メモ リ インターフェイス デザインで差動クロ ッ クおよび DQS信号にも使用できます。

DIFF_HSTL_II_T_DCI および DIFF_HSTL_II_T_DCI_18

これらの規格は、 DIFF_HSTL_II_DCI および DIFF_HSTL_II_DCI_18 規格とほぼ同一ですが、 ト

ラ イステートでない場合、 これらの 2 つの規格には終端はあ り ません。

HSTL クラス I (1.2V、 1.5V、 1.8V)図 1-46 に、 HSTL ク ラス I の 1.2V、 1.5V、 1.8V バージ ョ ンで終端テクニッ クを使用した回路の

例を示します。個々の回路では、すべてのド ライバーとレシーバーが同じ電圧レベル (1.2V、 1.5V、

または 1.8V) でなければならず、 異なる電圧間の互換性はあ り ません。 HP I/O バンクのみが DCI規格に対応しています。

表 1-28 : 使用可能な I/O バンクのタイプ

HR HP

N/A 可

表 1-29 : 使用可能な I/O バンクのタイプ

HR HP

N/A 可

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 59UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

X-Ref Target - Figure 1-46

図 1-46 : HSTL クラス I (1.2V、 1.5V、 1.8V) 終端

Z0

IOB IOB

HSTL_IHSTL_I_12HSTL_I_18

HSTL_IHSTL_I_12HSTL_I_18

ug471_c1_36_021214

VTT = 0.75V for HSTL_I 0.6V for HSTL_I_12 0.9V for HSTL_I_18

RP = Z0 = 50Ω

Z0

IOB IOB

HSTL_I_DCIHSTL_I_DCI_18

HSTL_I_DCIHSTL_I_DCI_18

VCCO = 1.5V for HSTL_I_DCI 1.8V for HSTL_I_DCI_18

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

VREF = 0.75V for HSTL_I 0.6V for HSTL_I_12 0.9V for HSTL_I_18

+

VREF = 0.75V for HSTL_I_DCI 0.9V for HSTL_I_DCI_18

+

External Termination

DCI

60 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

差動 HSTL クラス I図 1-47 に、 差動 HSTL ク ラス I (1.5V または 1.8V) で単方向終端テクニッ クを使用した回路の例

を示します。 個々の回路では、 すべてのド ラ イバーと レシーバーが同じ電圧レベル (1.5V または

1.8V) でなければならず、 異なる電圧間の互換性はあ り ません。

X-Ref Target - Figure 1-47

図 1-47 : 差動 HSTL クラス I (1.5V または 1.8V) の単方向終端

ug471_c1_37_011811

+

External Termination

Z0

IOB IOB

DIFF_HSTL_IDIFF_HSTL_I_18

DIFF_HSTL_IDIFF_HSTL_I_18

DIFF_HSTL_IDIFF_HSTL_I_18

Z0

VTT = 0.75V for HSTL_I 0.9V for HSTL_I_18

VTT = 0.75V for HSTL_I 0.9V for HSTL_I_18

50Ω

50Ω

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 61UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

図 1-48 に、差動 HSTL ク ラス I (1.5V または 1.8V) で DCI 単方向終端テクニッ クを使用した回路

の例を示します。 個々の回路では、 すべてのド ライバーとレシーバーが同じ電圧レベル (1.5V また

は 1.8V) でなければならず、 異なる電圧間の互換性はあ り ません。 HP I/O バンクのみが DCI 規格

に対応しています。

X-Ref Target - Figure 1-48

図 1-48 : 差動 HSTL クラス I (1.5V または 1.8V) の DCI 単方向終端

ug471_c1_38_021214

IOB

DIFF_HSTL_I_DCIDIFF_HSTL_I_DCI_18

DIFF_HSTL_I_DCIDIFF_HSTL_I_DCI_18

DIFF_HSTL_I_DCIDIFF_HSTL_I_DCI_18

VCCO = 1.5V for DIFF_HSTL_I_DCI 1.8V for DIFF_HSTL_I_DCI_18

VCCO = 1.5V for DIFF_HSTL_I_DCI 1.8V for DIFF_HSTL_I_DCI_18

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

+

DCI

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

IOB

Z0

Z0

62 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

HSTL クラス II図 1-49 に、 HSTL ク ラス II (1.5V または 1.8V) で単方向終端テクニッ クを使用した回路の例を示

します。 個々の回路では、 すべてのド ライバーとレシーバーが同じ電圧レベル (1.5V または 1.8V)でなければならず、 異なる電圧間の互換性はあ り ません。 HP I/O バンクのみが DCI 規格に対応し

ています。 内部分割終点抵抗はド ライバーが ト ライステート状態にあるかど うかにかかわらず、 常

に接続されています。

X-Ref Target - Figure 1-49

図 1-49 : HSTL クラス II (1.5V または 1.8V) の単方向終端

Z0

IOB IOB

HSTL_IIHSTL_II_18

HSTL_IIHSTL_II_18

ug471_c1_39_021214

VTT = 0.75V for HSTL_II 0.9V for HSTL_II_18

VTT = 0.75V for HSTL_II 0.9V for HSTL_II_18

RP = Z0 = 50ΩRP = Z0 = 50Ω

Z0

IOB IOB

HSTL_II_DCIHSTL_II_DCI_!8

HSTL_II_DCIHSTL_II_DCI_!8

VCCO = 1.5V for HSTL_II_DCI 1.8V for HSTL_II_DCI_18

VCCO = 1.5V for HSTL_II_DCI 1.8V for HSTL_II_DCI_18

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

VREF = 0.75V for HSTL_II0.9V for HSTL_II_18

VREF = 0.75V for HSTL_II_DCI0.9V for HSTL_II_DCI_18

+

+

External Termination

DCI

RVRP = 2Z0= 100Ω

RVRN = 2Z0= 100Ω

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 63UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

図 1-50 に、 HSTL ク ラス II (1.5V または 1.8V) で双方向終端テクニッ クを使用した回路の例を示

します。 個々の回路では、 すべてのド ライバーとレシーバーが同じ電圧レベル (1.5V または 1.8V)でなければならず、 異なる電圧間の互換性はあ り ません。 HP I/O バンクのみが DCI 規格に対応し

ています。 内部分割終点抵抗はド ライバーが ト ライステート状態にあるかど うかにかかわらず、 常

に接続されています。

X-Ref Target - Figure 1-50

図 1-50 : HSTL クラス II (1.5V または 1.8V) の双方向終端

Z0

IOB IOBHSTL_IIHSTL_II_18

HSTL_IIHSTL_II_18

HSTL_II_DCIHSTL_II_DCI_18 HSTL_II_DCI

HSTL_II_DCI_18

ug471_c1_40_021214

RP = Z0 = 50ΩRP = Z0 = 50Ω

Z0

IOB IOB

VCCO = 1.5V for HSTL_II_DCI 1.8V for HSTL_II_DCI_18

VCCO = 1.5V for HSTL_II_DCI 1.8V for HSTL_II_DCI_18

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

+

+

External Termination

DCI

RVRP = 2Z0= 100Ω

RVRN = 2Z0= 100Ω

VTT = 0.75V for HSTL_II 0.9V for HSTL_II_18

VTT = 0.75V for HSTL_II 0.9V for HSTL_II_18

VREF = 0.75V for HSTL_II0.9V for HSTL_II_18

VREF = 0.75V for HSTL_II_DCI0.9V for HSTL_II_DCI_18

VREF = 0.75V for HSTL_II_DCI0.9V for HSTL_II_DCI_18

VREF = 0.75V for HSTL_II0.9V for HSTL_II_18

64 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

差動 HSTL クラス II図 1-51 に、 差動 HSTL ク ラス II (1.5V または 1.8V) で単方向終端テクニッ クを使用した回路の例

を示します。 個々の回路では、 すべてのド ラ イバーと レシーバーが同じ電圧レベル (1.5V または

1.8V) でなければならず、 異なる電圧間の互換性はあ り ません。

X-Ref Target - Figure 1-51

図 1-51 : 差動 HSTL クラス II (1.5V または 1.8V) の単方向終端

ug471_c1_41_011811

+

External Termination

Z0

IOBIOB

DIFF_HSTL_IIDIFF_HSTL_II_18

DIFF_HSTL_IIDIFF_HSTL_II_18

DIFF_HSTL_IIDIFF_HSTL_II_18

Z0

50Ω

VTT = 0.75V for DIFF_HSTL_II0.9V for DIFF_HSTL_II_18

VTT = 0.75V for DIFF_HSTL_II0.9V for DIFF_HSTL_II_18

VTT = 0.75V for DIFF_HSTL_II0.9V for DIFF_HSTL_II_18

VTT = 0.75V for DIFF_HSTL_II0.9V for DIFF_HSTL_II_18

50Ω

50Ω 50Ω

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 65UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

図 1-52 に、差動 HSTL ク ラス II (1.5V または 1.8V) で DCI 単方向終端テクニッ クを使用した回路

の例を示します。 個々の回路では、 すべてのド ライバーとレシーバーが同じ電圧レベル (1.5V また

は 1.8V) でなければならず、 異なる電圧間の互換性はあ り ません。 HP I/O バンクのみが DCI 規格

に対応しています。 HP I/O バンクのみが DCI 規格に対応しています。 内部分割終点抵抗はド ライ

バーが ト ライステート状態にあるかど うかにかかわらず、 常に接続されています。

X-Ref Target - Figure 1-52

図 1-52 : 差動 HSTL クラス II (1.5V または 1.8V) の DCI 単方向終端

ug471_c1_42_021214

IOB

DIFF_HSTL_II_DCIDIFF_HSTL_II_DCI_18

DIFF_HSTL_II_DCIDIFF_HSTL_II_DCI_18

DIFF_HSTL_II_DCIDIFF_HSTL_II_DCI_18

VCCO = 1.5V for DIFF_HSTL_II_DCI1.8V for DIFF_HSTL_II_DCI_18

VCCO = 1.5V for DIFF_HSTL_II_DCI1.8V for DIFF_HSTL_II_DCI_18

VCCO = 1.5V for DIFF_HSTL_II_DCI1.8V for DIFF_HSTL_II_DCI_18

VCCO = 1.5V for DIFF_HSTL_II_DCI1.8V for DIFF_HSTL_II_DCI_18

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

+

DCI

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

IOB

RVRP = 2Z0= 100Ω

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

RVRN = 2Z0= 100Ω

Z0

Z0

66 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

図 1-53 に、 差動 HSTL ク ラス II (1.5V または 1.8V) で双方向終端テクニッ クを使用した回路の例

を示します。 個々の回路では、 すべてのド ラ イバーと レシーバーが同じ電圧レベル (1.5V または

1.8V) でなければならず、 異なる電圧間の互換性はあ り ません。

X-Ref Target - Figure 1-53

図 1-53 : 差動 HSTL クラス II (1.5V または 1.8V) の双方向終端

Z0

IOB IOB

DIFF_HSTL_IIDIFF_HSTL_II_18

DIFF_HSTL_IIDIFF_HSTL_II_18

DIFF_HSTL_IIDIFF_HSTL_II_18

DIFF_HSTL_IIDIFF_HSTL_II_18

DIFF_HSTL_IIDIFF_HSTL_II_18

DIFF_HSTL_IIDIFF_HSTL_II_18

+

External Termination

50Ω

ug471_c1_43_011811

Z0

+

50ϖ

VTT = 0.75V for DIFF_HSTL_II0.9V for DIFF_HSTL_II_18

VTT = 0.75V for DIFF_HSTL_II0.9V for DIFF_HSTL_II_18

VTT = 0.75V for DIFF_HSTL_II0.9V for DIFF_HSTL_II_18

VTT = 0.75V for DIFF_HSTL_II0.9V for DIFF_HSTL_II_18

50Ω

50Ω

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 67UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

図 1-54 に、差動 HSTL ク ラス II (1.5V または 1.8V) で双方向 DCI 終端テクニッ クを使用した回路

の例を示します。 個々の回路では、 すべてのド ライバーとレシーバーが同じ電圧レベル (1.5V また

は 1.8V) でなければならず、 異なる電圧間の互換性はあ り ません。 HP I/O バンクのみが DCI 規格

に対応しています。 内部分割終点抵抗はド ライバーが ト ライステート状態にあるかど うかにかかわ

らず、 常に接続されています。

X-Ref Target - Figure 1-54

図 1-54 : 差動 HSTL クラス II (1.5V または 1.8V) の DCI 双方向終端

Z0

IOB IOB

DIFF_HSTL_II_DCIDIFF_HSTL_II_DCI_18

DIFF_HSTL_II_DCIDIFF_HSTL_II_DCI_18

DIFF_HSTL_II_DCIDIFF_HSTL_II_DCI_18

DIFF_HSTL_II_DCIDIFF_HSTL_II_DCI_18

DIFF_HSTL_II_DCIDIFF_HSTL_II_DCI_18

DIFF_HSTL_II_DCIDIFF_HSTL_II_DCI_18

VCCO = 1.5V for DIFF_HSTL_II_DCIVCCO = 1.8Vfor DIFF_HSTL_II_DCI_18

VCCO = 1.5V for DIFF_HSTL_II_DCIVCCO = 1.8Vfor DIFF_HSTL_II_DCI_18

VCCO = 1.5V for DIFF_HSTL_II_DCIVCCO = 1.8Vfor DIFF_HSTL_II_DCI_18

VCCO = 1.5V for DIFF_HSTL_II_DCIVCCO = 1.8Vfor DIFF_HSTL_II_DCI_18

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

+

DCI

RVRP = 2Z0= 100Ω

RVRN = 2Z0= 100Ω

ug471_c1_44_021214

Z0

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

+

RVRP = 2Z0= 100Ω

RVRN = 2Z0= 100Ω

+

68 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

HSTL_II_T_DCI (1.5V または 1.8V) 分割テブナン終端 (ト ライステート )図 1-55 に、 HSTL_II_T_DCI (1.5V) および HSTL_II_T_DCI_18 (1.8V) でオンチップ分割テブナ

ン終端テクニッ クを使用した回路の例を示します。このよ うな双方向では、 ト ラ イステートの場合、

終端はド ライバー側ではなく レシーバー側に付きます。 個々の回路では、 すべてのド ライバーとレ

シーバーが同じ電圧レベル (1.5V または 1.8V) でなければならず、異なる電圧間の互換性はあ り ま

せん。 HP I/O バンクのみ T_DCI 規格に対応しています。 内部分割終端抵抗はド ライバーが ト ライ

ステート状態の場合だけ接続されます。

X-Ref Target - Figure 1-55

図 1-55 : HSTL_II_T_DCI (1.5V) および HSTL_II_T_DCI_18 (1.8V) 分割テブナン終端 (ト ライステート )

ug471_c1_45_021214

Z0

IOB IOB

1

0

HSTL_II_T_DCIHSTL_II_T_DCI_18

HSTL_II_T_DCIHSTL_II_T_DCI_18

VCCO = 1.5V for HSTL_II_T_DCI 1.8V for HSTL_II_T_DCI_18

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

VREF = 0.75V for HSTL_II_T_DCI0.9V for HSTL_II_T_DCI_18

VREF = 0.75V for HSTL_II_T_DCI0.9V for HSTL_II_T_DCI_18

+

DCINot 3-stated

(T pin logic Low)3-stated(T pin logic High)

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 69UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

図 1-56 に、 差動 HSTL ク ラス II (1.5V または 1.8V) でオンチップ分割テブナン終端テクニッ クを

使用した回路の例を示します。 個々の回路では、 すべてのド ライバーとレシーバーが同じ電圧レベ

ル (1.5V または 1.8V) でなければならず、 異なる電圧間の互換性はあ り ません。 HP I/O バンクの

み T_DCI 規格に対応しています。 内部分割終端抵抗はド ライバーが ト ラ イステート状態の場合だ

け接続されます。

X-Ref Target - Figure 1-56

図 1-56 : 分割テブナン終端による差動 HSTL クラス II (1.5V または 1.8V) DCI (ト ライステート )

Z0

IOB

0

0 1

1

IOB

DIFF_HSTL_II_DCI_TDIFF_HSTL_II_DCI_T_18

DIFF_HSTL_II_DCI_TDIFF_HSTL_II_DCI_T_18

DIFF_HSTL_II_DCI_TDIFF_HSTL_II_DCI_T_18

DIFF_HSTL_II_DCIDIFF_HSTL_II_DCI_T_18

DIFF_HSTL_II_DCIDIFF_HSTL_II_DCI_T_18

DIFF_HSTL_II_DCI_TDIFF_HSTL_II_DCI_T_18

VCCO = 1.5V for DIFF_HSTL_II_DCI_TVCCO = 1.8V for DIFF_HSTL_II_DCI_T_18

VCCO = 1.5V for DIFF_HSTL_II_DCI_TVCCO = 1.8Vfor DIFF_HSTL_II_DCI_T_18

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

+

DCI 3-stated (T pin logic High)Not 3-stated (T pin logic Low)

ug471_c1_46_021214

Z0

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

+

70 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

SSTL (スタブ直列終端ロジック)SSTL (スタブ直列終端ロジッ ク ) の 1.8V (SSTL18)、 1.5V (SSTL15)、 1.35V (SSTL135) は、 汎用

メモ リ バスに使用される I/O 規格です。

このセクシ ョ ンでは終端テクニッ クの例を紹介していますが、特定のメモ リ インターフェイスに対

する 適の終端方式は、 使用する メモ リ デバイス、 ボード レイアウ ト 、 伝送ラインインピーダン

スを含む実際の PCB ト ポロジにおけるシグナル インテグ リティ解析に基づいて判断します。 ザイ

リ ンクスでは、 すべての I/O 規格に対して、 IBIS モデル ファ イルおよび暗号化された HSPICE モデル ファ イルの両方を提供しています。7 シ リーズ FPGA は、シングルエンドおよび差動信号両方

の SSTL 規格をサポート しています。 差動バージ ョ ンでは、 完全な差動増幅入力バッファーと相補

プッシュプル出力バッファーを使用します。 HP I/O バンクにインプ リ メ ン トする メモ リ インター

フェイスには、 これらの規格の ト ラ イステート DCI (T_DCI) バージ ョ ンを使用するこ とを推奨し

ます。 HR I/O バンクにインプリ メン トするインターフェイスには、 IN_TERM (未調整の内部終端)属性の使用を推奨します。

7 シ リーズ FPGA では、 HSTL、 SSTL、 HSUL、 MOBILE_DDR など メモ リ インターフェイスに

関連する I/O 規格すべてに対して、出力バッファーのスルー レート を指定できるオプシ ョ ンが新た

に導入されました。 これは、 ザイ リ ンクスの LVCMOS や LVTTL I/O 規格と同様であ り、 低速お

よび高速両方のスルー レート オプシ ョ ンが指定可能です。 デフォル ト設定は低速ですが、 ほとん

どの高周波数インターフェイスでは高速のスルー レート オプシ ョ ンを推奨します。 ただし、 どち

らが 適かはシグナル インテグ リティ分析に基づいて判断してください。

SSTL18 は JEDEC 規格の JESD8-15 によって規定され、 DDR2 SDRAM メモリ インターフェイス

で使用されます。 ク ラス I ド ライバーは単方向トポロジのみ使用できます (双方向には対応していな

い)。 ク ラス II ド ラ イバーは双方向および単方向の両方の信号に使用できます。 一部のトポロジ (短距離のポイン ト ツー ポイン ト インターフェイスなど) では、 ク ラス I ド ライバーによってオーバー

シュートの低減やシグナル インテグ リティのさらなる向上が可能です。

SSTL18 ク ラス I およびク ラス II は HP と HR I/O バンク両方で使用可能です。 また、 HP バンク

では内部並列分割終端抵抗を調整する DCI および T_DCI のオプシ ョ ンがあ り ます。 T_DCI オプ

シ ョ ンは双方向信号 (入力または出力専用以外) にのみ使用できます。 HR バンクには、 未調整の内

部並列分割終端抵抗用に IN_TERM オプシ ョ ンがあ り ます。新しいデザインに 適の駆動および終

端方式は、詳細なシグナル インテグ リティ分析によって判断しますが、 まず次のこ とを考慮してく

ださい。

• HP I/O バンク : 7 シ リーズ FPGA の双方向ピン (DQ および DQS) には SSTL18_II_T_DCI、単方向ピン (その他のピンすべて) には SSTL18_II を割り当てます。 メモ リ デバイスでは双方

向信号にオンダイ終端 (ODT) を使用し、 単方向信号には VTT = VCCO/2 への外部並列終端抵

抗を使用します。

• HR I/O バンク : 7 シ リーズ FPGA の双方向 (DQ/DQS) および単方向 (その他のピンすべて) 信号の両方に SSTL18_II を割り当てます。メモ リ デバイスでは双方向信号に ODT を使用し、単

方向信号には VTT = VCCO/2 への外部並列終端抵抗を使用します。

SSTL15 は、JEDEC 規格の JESD79-3E で大まかに定義されている (名称は未定義)、DDR3 SDRAMメモ リ インターフェイス向けの規格です。 この規格では、HP と HR の 両 I/O バンクでフル駆動能

力のド ライバー (SSTL15) を使用できます。 さ らに HR I/O バンク向けには、 それよ り駆動力の小

さいド ライバーもあ り、規格名に R を付けて区別します (SSTL15_R)。 いずれのド ライバーも双方

向と単方向の両方の信号に対応しています。一部のトポロジ (短距離のポイン ト ツー ポイン ト イン

ターフェイスなど) では、 低駆動能力のド ライバーによってオーバーシュートの低減やシグナル インテグ リティのさ らなる向上が可能です。HP I/O バンクでは内部並列分割終端抵抗を調整する DCIおよび T_DCI のオプシ ョ ンがあ り ます。 DCI オプシ ョ ンは双方向信号 (入力または出力専用) には

使用できず、 T_DCI オプシ ョ ンは双方向信号 (入力または出力専用以外) にのみ使用できます。 HR

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 71UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

バンクには、未調整の内部並列分割終端抵抗用に IN_TERM オプシ ョ ンがあ り ます。新しいデザイ

ンに 適の駆動および終端方式は、詳細なシグナル インテグ リティ分析によって判断しますが、 ま

ず次のこ とを考慮して ください。

• HP I/O バンク : 7 シ リーズ FPGA の双方向ピン (DQ および DQS) には SSTL15_T_DCI、 単

方向ピン (その他のピンすべて) には SSTL15 を割り当てます。 メモ リ デバイスでは双方向信

号に ODT を使用し、 単方向信号には VTT = VCCO/2 への外部並列終端抵抗を使用します。

• HR I/O バンク : 7 シ リーズ FPGA の双方向 (DQ/DQS) および単方向 (その他のピンすべて) 信号の両方に SSTL15 を割り当てます。双方向ピンには IN_TERM (内部終端) を併用します。 メ

モ リ デバイスでは双方向信号に ODT を使用し、 単方向信号には VTT = VCCO/2 への外部並列

終端抵抗を使用します。

SSTL135 は、 JEDEC 規格の JESD79-3-1 で大まかに定義されている (名称は未定義)、 DDR3LSDRAM メモ リ インターフェイス向けの規格です。 この規格では、 HP と HR の両 I/O バンクでフ

ル駆動能力のド ライバー (SSTL135) を使用できます。 この規格では、 HP と HR の 両 I/O バンク

でフル駆動能力のド ライバー (SSTL15) を使用できます。 さ らに HR I/O バンク向けには、 それよ

り駆動能力の小さいド ライバーもあ り、 規格名に R を付けて区別します (SSTL135_R)。 いずれの

ド ライバーも双方向と単方向の両方の信号に対応しています。 一部の ト ポロジ (短距離のポイン ト

ツー ポイン ト インターフェイスなど) では、低駆動能力のド ライバーによってオーバーシュートの

低減やシグナル インテグ リティのさ らなる向上が可能です。

HP I/O バンクでは内部並列分割終端抵抗を調整する DCI および T_DCI のオプシ ョ ンがあ り ます。

DCI オプシ ョ ンは双方向信号 (入力または出力専用) には使用できず、 T_DCI オプシ ョ ンは双方向

信号 (入力または出力専用以外) にのみ使用できます。 HR バンクには、 未調整の内部並列分割終端

抵抗用に IN_TERM オプシ ョ ンがあ り ます。新しいデザインに 適の駆動および終端方式は、詳細

なシグナル インテグ リティ分析によって判断しますが、 まず次のこ とを考慮してください。

• HP I/O バンク : 7 シ リーズ FPGA の双方向ピン (DQ および DQS) には SSTL135_T_DCI、単

方向ピン (その他のピンすべて) には SSTL135 を割り当てます。メモ リ デバイスでは双方向信

号に ODT を使用し、 単方向信号には VTT = VCCO/2 への外部並列終端抵抗を使用します。

• HR I/O バンク : 7 シ リーズ FPGA の双方向 (DQ/DQS) および単方向 (その他のピンすべて) 信号の両方に SSTL135 を割り当てます。 メモ リ デバイスでは双方向信号に ODT を使用し、 単

方向信号には VTT = VCCO/2 への外部並列終端抵抗を使用します。

SSTL12 は Micron 社の次世代 RLDRAM3 メモ リ をサポート します。 これは HP I/O バンクでのみ

使用可能な規格です。 内部分割終端抵抗の調整によってシグナル インテグ リ テ ィ を向上する DCIおよび T_DCI の両オプシ ョ ンを選択できます。DCI オプシ ョ ンは双方向信号 (入力または出力専用

) には使用できず、 T_DCI オプシ ョ ンは双方向信号 (入力または出力専用以外) にのみ使用できま

す。新しいデザインに 適の駆動および終端方式は、詳細なシグナル インテグ リ ティ分析によって

判断しますが、 まず次のこ とを考慮して ください。

• 7 シ リーズ FPGA の双方向ピン (DQ と DQS) には SSTL12_T_DCI を割り当てます。

• 単方向ピン (その他のピンすべて) には SSTL12 を割り当てます。

• メモ リ デバイスが ODT を搭載している場合は双方向信号にこれを使用し、 ODT を使用でき

ない場合は VTT = VCCO/2 への外部並列終端抵抗を使用します。

SSTL15_R、 SSTL135_R、 DIFF_SSTL15_R、 DIFF_SSTL135_R

表 1-30 : 使用可能な I/O バンクのタイプ

HR HP

可 N/A

72 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

低駆動力の R 規格は標準ド ライバー向けのバージ ョ ンです。 これらは、短距離のポイン ト ツー ポイ

ン ト ボード ト ポロジには、 フル駆動ド ライバーよ り適している場合があ り ます。 VTT = (VCCO/2)への並列終端抵抗 (通常 50Ω) は、通常すべてのレシーバー近くのボードに配置します。差動バージ ョ

ン (DIFF_) では、 出力に相補シングルエンド ド ライバー、 入力に差動レシーバーを使用します。

SSTL18_I、 DIFF_SSTL18_I

単方向信号 (入力または出力) でのみ使用可能な規格です。 短距離のポイン ト ツー ポイン トのボー

ド ト ポロジにはク ラス I ド ラ イバーが適している場合があ り ます。 VTT = (VCCO/2) への並列終端

抵抗 (通常 50Ω) は、通常すべてのレシーバー近くのボードに配置します。差動バージ ョ ン (DIFF_)では、 出力に相補シングルエンド ド ラ イバー、 入力に差動レシーバーを使用します。

SSTL18_I_DCI、 DIFF_SSTL18_I_DCI

単方向信号 (入力または出力) でのみ使用可能な規格です。 短距離のポイン ト ツー ポイン トのボー

ド ト ポロジにはク ラス I ド ラ イバーが適している場合があ り ます。DCI では、常時接続された内部

並列分割終端抵抗を調整します (レシーバー )。 プルアップおよびプルダウン抵抗の値は、 いずれも

VRN/VRP ピンで計測される抵抗を反映したものと し、 中間レベル VCCO/2 へのテブナン等価抵抗

を作成します。差動バージ ョ ン (DIFF_) では、出力に相補シングルエンド ド ラ イバー、入力に差動

レシーバーを使用します。

SSTL18_II、 SSTL15、 SSTL135、 DIFF_SSTL18_II、 DIFF_SSTL15、DIFF_SSTL135

VTT = (VCCO/2) への並列終端抵抗 (通常 50Ω) は、 通常すべてのレシーバー近くのボードに配置し

ます。 差動バージ ョ ン (DIFF_) では、 出力に相補シングルエンド ド ラ イバー、 入力に差動レシー

バーを使用します。

注記 : 一部の DDR3 アプリ ケーシ ョ ンでは、並列終端抵抗によ り低い抵抗値を使用できます。詳細

は、 『Zynq-7000 All Programmable SoC および 7 シ リーズ デバイス メモ リ インターフェイス ソリ ューシ ョ ン ユーザー ガイ ド』 (UG586) を参照してください。

SSTL18_II_DCI、 SSTL_15_DCI、 SSTL135_DCI、 DIFF_SSTL18_II_DCI、DIFF_SSTL_15_DCI、 DIFF_ SSTL135_DCI

表 1-31 : 使用可能な I/O バンクのタイプ

HR HP

可 可

表 1-32 : 使用可能な I/O バンクのタイプ

HR HP

N/A 可

表 1-33 : 使用可能な I/O バンクのタイプ

HR HP

可 可

表 1-34 : 使用可能な I/O バンクのタイプ

HR HP

N/A 可

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 73UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

DCI 規格では、常時接続された内部並列分割終端抵抗を調整します (レシーバー )。プルアップおよ

びプルダウン抵抗の値は、いずれも VRN/VRP ピンで計測される抵抗を反映したものと し、中間レ

ベル VCCO/2 へのテブナン等価抵抗を作成します。差動バージ ョ ン (DIFF_) では、出力に相補シン

グルエンド ド ラ イバー、 入力に差動レシーバーを使用します。

SSTL18_II_T_DCI、 SSTL15_T_DCI、 SSTL135_T_DCI、DIFF_SSTL18_II_T_DCI、 DIFF_SSTL15_T_DCI、 DIFF_ SSTL135_T_DCI

双方向信号 (入力および出力) でのみ使用可能な規格です。 T_DCI 規格では、 出力バッファーの ト

ラ イステート制御が有効な場合にのみ接続される内部並列分割終端抵抗を調整します。 出力バッ

ファーが駆動している間は終端が無効になり ます。 プルアップおよびプルダウン抵抗の値は、 いず

れも VRN/VRP ピンで計測される抵抗を反映したものと し、中間レベル VCCO/2 へのテブナン等価

抵抗を作成します。差動バージ ョ ン (DIFF_) では、出力に相補シングルエンド ド ラ イバー、入力に

差動レシーバーを使用します。

SSTL12、 SSTL12_DCI、 SSTL12_T_DCI、 DIFF_SSTL12、DIFF_SSTL12_DCI、 DIFF_SSTL12_T_DCI

DCI では、 レシーバーで常時接続された内部並列分割終端抵抗を調整します。DCI バージ ョ ンは単

方向信号 (入力または出力) のみで使用可能な規格です。 T_DCI バージ ョ ンでは出力バッファーの

ト ラ イステート制御が有効な場合にのみ抵抗が接続されます。 出力バッファーが駆動している間は

終端が無効になり ます。 T_DCI バージ ョ ンは 双方向信号 (入力および出力) のみで使用可能な規格

です。プルアップおよびプルダウン抵抗の値は、いずれも VRN/VRP ピンで計測される抵抗を反映

したものと し、 中間レベル VCCO/2 へのテブナン等価抵抗を作成します。 差動バージ ョ ン (DIFF_)では、 出力に相補シングルエンド ド ラ イバー、 入力に差動レシーバーを使用します。

SSTL18、 SSTL15、 SSTL135、 SSTL12図 1-57 に、 SSTL18、 SSTL15、 SSTL135、 SSTL12 で単方向終端テクニッ クを使用した回路の例

を示します。 個々の回路では、 すべてのド ラ イバーとレシーバーが同じ電圧レベル (1.8V、 1.5V、

1.35V、 1.2V) でなければな らず、 異な る電圧間の互換性はあ り ません。 図 1-57 において、

SSTL18_II_DCI のみ出力ピンに内部分割終端抵抗が接続されています。

表 1-35 : 使用可能な I/O バンクのタイプ

HR HP

N/A 可

表 1-36 : 使用可能な I/O バンクのタイプ

HR HP

N/A 可

74 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

X-Ref Target - Figure 1-57

図 1-57 : SSTL18、 SSTL15、 SSTL135、 SSTL12 単方向終端

Z0

IOB IOB

SSTL18_(I/II)SSTL15(_R)SSTL135(_R)SSTL12

SSTL18_(I/II)SSTL15(_R)SSTL135(_R)SSTL12

ug471_c1_47_021214

VTT =0.9V for SSTL18_(I/II)0.75V for SSTL15(_R)0.675V for SSTL135(_R)0.6V for SSTL12

RP = Z0 = 50Ω

Z0

IOB IOB

SSTL18_(I/II)_DCISSTL15_DCISSTL135_DCISSTL12_DCI

SSTL18_(I/II)_DCISSTL15_DCISSTL135_DCISSTL12_DCI

VCCO = 1.8V for SSTL18_(I/II)_DCI1.5V for SSTL15_DCI1.35V for SSTL135_DCI1.2V for SSTL12_DCI

VCCO = 1.8V for SSTL18_II_DCI

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

VREF =0.9V for SSTL18_(I/II)0.75V for SSTL15(_R)0.675V for SSTL135(_R)0.6V for SSTL12

VREF =

0.9V for SSTL18_(I/II)_DCI0.75V for SSTL15_DCI0.675V for SSTL135_DCI0.6V for SSTL12_DCI

+

+

External Termination

DCI

RVRP = 2Z0= 100Ω

RVRN = 2Z0= 100Ω

VTT =0.9V for SSTL18_II

RP = Z0 = 50Ω

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 75UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

図 1-58 に、 SSTL18、 SSTL15、 SSTL135、 SSTL12 で双方向終端テクニッ クを使用した回路の例

を示します。 個々の回路では、 すべてのド ラ イバーとレシーバーが同じ電圧レベル (1.8V、 1.5V、

1.35V、 1.2V) でなければならず、異なる電圧間の互換性はあ り ません。 SSTL18 ク ラス I は双方向

信号には使用できません。 また、 SSTL18_II_DCI は、 双方向信号に使用可能な唯一の DCI 規格で

す。 SSTL18_I、 SSTL15、 SSTL135、 SSTL12 の DCI バージ ョ ンは単方向信号のみに適用可能で

す。 DCI 終端の SSTL15、 SSTL135、 SSTL12 の双方向信号には T_DCI 規格を使用してください。

SSTL18_II_DCI の内部分割終端抵抗はド ラ イバーが ト ラ イステート状態にあるかど うかにかかわ

らず、 常に接続されています。

X-Ref Target - Figure 1-58

図 1-58 : SSTL18、 SSTL15、 SSTL135、 SSTL12 双方向終端

Z0

IOB SSTL18_IISSTL15(_R)SSTL135(_R)SSTL12

SSTL18_IISSTL15(_R)SSTL135(_R)SSTL12

ug471_c1_48_021214

VTT =0.9V for SSTL18_II0.75V for SSTL15(_R)0.675V for SSTL135(_R)0.6V for SSTL12

VTT =0.9V for SSTL18_II0.75V for SSTL15(_R)0.675V for SSTL135(_R)0.6V for SSTL12

RP = Z0 = 50ΩRP = Z0 = 50Ω

Z0

IOB IOB

SSTL18_II_DCISSTL18_II_DCI

VCCO = 1.8V

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

VREF = 0.9V for SSTL18_II0.75V for SSTL15(_R)0.675V for SSTL135(_R)0.6V for SSTL12

VREF = 0.9V for SSTL18_II0.75V for SSTL15(_R)0.675V for SSTL135(_R)0.6V for SSTL12

+

VREF = 0.9V

+

External Termination

DCI

VCCO = 1.8V

RVRP = 2Z0= 100Ω

RVRN = 2Z0= 100Ω

IOB

VREF = 0.9V

76 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

差動 SSTL18、 SSTL15、 SSTL135、 SSTL12図 1-59 に、差動 SSTL18、 SSTL15、 SSTL135、 SSTL12 で単方向終端テクニッ クを使用した回路

の例を示します。個々の回路では、すべてのド ライバーとレシーバーが同じ電圧レベル (1.8V、1.5V、

1.35V、 1.2V) でなければならず、 異なる電圧間の互換性はあ り ません。

X-Ref Target - Figure 1-59

図 1-59 : 差動 SSTL18、 SSTL15、 SSTL135、 SSTL12 単方向終端

UG471_c1_49_042913

+

External Termination

Z0

IOB

IOB

DIFF_SSTL18_(I/II)DIFF_SSTL15(_R)DIFF_SSTL135(_R)DIFF_SSTL12

DIFF_SSTL18_(I/II)DIFF_SSTL15(_R)DIFF_SSTL135(_R)DIFF_SSTL12

DIFF_SSTL18_(I/II)DIFF_SSTL15(_R)DIFF_SSTL135(_R)DIFF_SSTL12

Z0

50Ω

50Ω

VTT = 0.9V for DIFF_SSTL18_(I/II)0.75V for DIFF_SSTL15(_R)0.675V for DIFF_SSTL135(_R)0.6V for DIFF_SSTL12

VTT = 0.9V for DIFF_SSTL18_(I/II)0.75V for DIFF_SSTL15(_R)0.675V for DIFF_SSTL135(_R)0.6V for DIFF_SSTL12

VTT = 0.9V for DIFF_SSTL18_II

VTT = 0.9V for DIFF_SSTL18_II

50Ω

50Ω

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 77UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

図 1-60 に、 差動 SSTL18、 SSTL15、 SSTL135、 SSTL12 で DCI 単方向終端テクニッ クを使用し

た回路の例を示し ます。 個々の回路では、 すべてのド ラ イバーと レシーバーが同じ電圧レベル

(1.8V、 1.5V、 1.35V、 1.2V) でなければならず、 異なる電圧間の互換性はあ り ません。 図 1-60 において、 SSTL18_II_DCI のみ出力ピンに内部分割終端抵抗が接続されています。

X-Ref Target - Figure 1-60

図 1-60 : 差動 SSTL18、 SSTL15、 SSTL135、 SSTL12 DCI 単方向終端

ug471_c1_50_021214

IOB

DIFF_SSTL18_(I/II)_DCIDIFF_SSTL15_DCIDIFF_SSTL135_DCIDIFF_SSTL12_DCI

DIFF_SSTL18_(I/II)_DCIDIFF_SSTL15_DCIDIFF_SSTL135_DCIDIFF_SSTL12_DCI

DIFF_SSTL18_(I/II)_DCIDIFF_SSTL15_DCIDIFF_SSTL135_DCIDIFF_SSTL12_DCI

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

+

DCI

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

IOB

RVRP = 2Z0= 100Ω

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

RVRN = 2Z0= 100Ω

Z0

Z0

VCCO = 1.8V for DIFF_SSTL18_(I/II)_DCI1.5V for DIFF_SSTL15_DCI1.35V for DIFF_SSTL135_DCI1.2V for DIFF_SSTL12_DCI

VCCO = 1.8V for DIFF_SSTL18_II_DCI

VCCO = 1.8V for DIFF_SSTL18_(I/II)_DCI1.5V for DIFF_SSTL15_DCI1.35V for DIFF_SSTL135_DCI1.2V for DIFF_SSTL12_DCIVCCO =

1.8V for DIFF_SSTL18_II_DCI

78 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

図 1-61 に、差動 SSTL18、 SSTL15、 SSTL135、 SSTL12 で双方向終端テクニッ クを使用した回路

の例を示します。個々の回路では、すべてのド ライバーとレシーバーが同じ電圧レベル (1.8V、1.5V、

1.35V、 1.2V) でなければならず、 異なる電圧間の互換性はあ り ません。 差動 SSTL18 ク ラス I は双方向信号には使用できません。

X-Ref Target - Figure 1-61

図 1-61 : 差動 SSTL18、 SSTL15、 SSTL135、 SSTL12 双方向終端

Z0

IOB IOB DIFF_SSTL18_IIDIFF_SSTL15(_R)DIFF_SSTL135(_R)DIFF_SSTL12

DIFF_SSTL18_IIDIFF_SSTL15(_R)DIFF_SSTL135(_R)DIFF_SSTL12

DIFF_SSTL18_IIDIFF_SSTL15(_R)DIFF_SSTL135(_R)DIFF_SSTL12

DIFF_SSTL18_IIDIFF_SSTL15(_R)DIFF_SSTL135(_R)DIFF_SSTL12

DIFF_SSTL18_IIDIFF_SSTL15(_R)DIFF_SSTL135(_R)DIFF_SSTL12

DIFF_SSTL18_IIDIFF_SSTL15(_R)DIFF_SSTL135(_R)DIFF_SSTL12

+

External Termination

50Ω

VTT = 0.9V for DIFF_SSTL18_II0.75V for DIFF_SSTL15(_R)0.675V for DIFF_SSTL135(_R)0.6V for DIFF_SSTL12

VTT = 0.9V for DIFF_SSTL18_II0.75V for DIFF_SSTL15(_R)0.675V for DIFF_SSTL135(_R)0.6V for DIFF_SSTL12

VTT = 0.9V for DIFF_SSTL18_II0.75V for DIFF_SSTL15(_R)0.675V for DIFF_SSTL135(_R)0.6V for DIFF_SSTL12

VTT = 0.9V for DIFF_SSTL18_II0.75V for DIFF_SSTL15(_R)0.675V for DIFF_SSTL135(_R)0.6V for DIFF_SSTL12

50Ω

ug471_c1_51_011811

Z0

+

50Ω50Ω

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 79UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

図 1-62 に、 差動 SSTL18 で双方向 DCI 終端テ ク ニ ッ ク を使用し た回路の例を示し ます。

DIFF_SSTL18_II_DCI は、 双方向信号に使用可能な唯一の DCI 規格です。 DIFF_SSTL18_I、DIFF_SSTL15、 DIFF_SSTL135、 DIFF_SSTL12 の DCI バージ ョ ンは単方向信号にのみ適用でき

ます。 DCI 終端の DIFF_SSTL15、 DIFF_SSTL135、 DIFF_SSTL12 の双方向信号には T_DCI 規格を使用して ください。

X-Ref Target - Figure 1-62

図 1-62 : 差動 SSTL18 クラス II の DCI 双方向終端

Z0

IOB IOB

DIFF_SSTL18_II_DCI DIFF_SSTL18_II_DCI

VCCO = 1.8V

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

+

DCI

VCCO = 1.8V

RVRP = 2Z0= 100Ω

RVRN = 2Z0= 100Ω

DIFF_SSTL18_II_DCI

ug471_c1_52_021214

Z0

DIFF_SSTL18_II_DCI

DIFF_SSTL18_II_DCI DIFF_SSTL18_II_DCIVCCO = 1.8V

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

+

VCCO = 1.8V

RVRP = 2Z0= 100Ω

RVRN = 2Z0= 100Ω

80 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

SSTL18、 SSTL15、 SSTL135、 SSTL12 (T_DCI) 終端

図 1-63 に、 SSTL18、 SSTL15、 SSTL135、 SSTL12 (T_DCI) でオンチップ分割テブナン終端テク

ニッ クを使用した回路の例を示します。 この双方向 I/O 規格では、 ト ラ イステート状態になる と、

内部分割終端がド ライバー側ではなく レシーバー側で有効になり ます。

HSUL_12 (高速非終端ロジック)HSUL_12 は LPDDR2 メモ リ バス向けの規格で、 JEDEC 規格の JESD8-22 で規定されています。

7 シ リーズ FPGA では、シングルエンド信号および差動出力の両方でこの規格をサポート していま

す。 SSTL と同様、 この規格には、 差動増幅入力バッファーおよびプッシュプル出力バッファーが

必要です。

HSUL_12、 DIFF_HSUL_12

差動バージ ョ ン (DIFF_) では、出力に相補シングルエンド ド ラ イバー、入力に差動レシーバーを使

用します。

X-Ref Target - Figure 1-63

図 1-63 : SSTL18、 SSTL15、 SSTL135、 SSTL12 (T_DCI) 終端

ug471_c1_53_021214

Z0

IOB IOB

1

0

SSTL18_II_T_DCISSTL15_T_DCISSTL135_T_DCISSTL12_T_DCI

SSTL18_II_T_DCISSTL15_T_DCISSTL135_T_DCISSTL12_T_DCI

VCCO =1.8V for SSTL18_II_T_DCI1.5V for SSTL15_T_DCI1.35V for SSTL135_T_DCI1.2V for SSTL12_T_DCI

RVRN = 2Z0= 100Ω

RVRP = 2Z0= 100Ω

VREF = 0.9V for SSTL18_II_T_DCI0.75V for SSTL15_T_DCI0.675V for SSTL135_T_DCI0.6V for SSTL12_T_DCIVREF =

0.9V for SSTL18_II_T_DCI0.75V for SSTL15_T_DCI0.675V for SSTL135_T_DCI0.6V for SSTL12_T_DCI

+

DCI Not 3-stated (T pin logic Low) 3-stated (T pin logic High)

表 1-37 : 使用可能な I/O バンクのタイプ

HR HP

可 可

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 81UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

HSUL_DCI_12、 DIFF_HSUL_12_DCI

DCI は、出力インピーダンスが VRP および VRN ピンの基準抵抗に整合するよ うに出力ド ライバー

のインピーダンスを調整します。 ド ライバーまたはレシーバーのいずれにも分割終端抵抗は接続さ

れません。 差動バージ ョ ン (DIFF_) では、 出力に相補シングルエンド ド ラ イバー、 入力に差動レ

シーバーを使用します。

HSUL_12

図 1-64 に、 HSUL_12 で単方向ボード ト ポロジ (終端なし) を使用した回路の例を示します。 DCIバージ ョ ンに対応しているのは HP I/O バンクのみです。

表 1-38 : 使用可能な I/O バンクのタイプ

HR HP

N/A 可

X-Ref Target - Figure 1-64

図 1-64 : 単方向信号の HSUL_12

Z0

IOB IOB

HSUL_12HSUL_12

ug471_c1_54_011811

Z0

IOB IOB

HSUL_12_DCIHSUL_12_DCI

VREF = 0.60V

+

VREF = 0.60V

+

Example Board Topology

DCI

R0 = 50Ω

82 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

図 1-65 に、 HSUL_12 で双方向ボード ト ポロジ (終端なし) を使用した回路の例を示します。 DCIバージ ョ ンに対応しているのは HP I/O バンクのみです。

差動 HSUL_12図 1-66 に、単方向信号の差動 HSUL_12 でボード ト ポロジ (終端なし ) を使用した回路の例を示し

ます。

X-Ref Target - Figure 1-65

図 1-65 : 双方向信号の HSUL_12

Z0

IOB

HSUL_12

ug471_c1_55_011811

Z0

IOB IOB

HSUL_12_DCIHSUL_12_DCI

VREF = 0.60V

+

VREF = 0.60V

+

External Termination

DCI

IOB

HSUL_12

R0 = 50Ω

VREF = 0.60V

VREF = 0.60V

R0 = 50Ω

X-Ref Target - Figure 1-66

図 1-66 : 単方向信号の差動 HSUL_12

ug471_c1_56_011811

+

External Termination

Z0

IOB IOB

DIFF_HSUL_2

DIFF_HSUL_2

Z0

DIFF_HSUL_2

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 83UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

図 1-67 に、 単方向 DCI 信号の差動 HSUL_12 でボード ト ポロジ (終端なし ) を使用した回路の例

を示します。

図 1-68 に、双方向信号の差動 HSUL_12 でボード ト ポロジ (終端なし ) を使用した回路の例を示し

ます。

X-Ref Target - Figure 1-67

図 1-67 : 単方向 DCI 信号の差動 HSUL_12

ug471_c1_57_0111811

IOB

DIFF_HSUL_12_DCI

DIFF_HSUL_12_DCI

+

DCI

DIFF_HSUL_12_DCI

IOB

Z0

Z0

R0 = 50Ω

R0 = 50Ω

X-Ref Target - Figure 1-68

図 1-68 : 双方向信号の差動 HSUL_12

Z0

IOB IOB

DIFF_HSUL_12 DIFF_HSUL_12

+

External Termination

DIFF_HSUL_12

ug471_c1_58_011811

Z0

DIFF_HSUL_12

DIFF_HSUL_12 DIFF_HSUL_12

+

84 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

図 1-69 に、 双方向 DCI 信号の差動 HSUL_12 でボード ト ポロジ (終端なし ) を使用した回路の例

を示します。

MOBILE_DDR (低消費電力 DDR)

LPDDR および モバイル DDR メモ リ バス向けの規格で、 JEDEC I/O 規格の JESD209A で規定さ

れています。 VREF および VTT 電源を不要とする 1.8V のシングルエンド I/O 規格です。 7 シ リー

ズ FPGA では、シングルエンド信号および差動出力の両方でこの規格をサポート しています。差動

出力は CK/CK# ピンを駆動します。

差動バージ ョ ン (DIFF_) では、出力に相補シングルエンド ド ラ イバー、入力に差動レシーバーを使

用します。

メモリ インターフェイスの IOSTANDARD 属性およびその他のサポート される属性

表 1-40 に、7 シ リーズ FPGA で使用可能なシングルエンド HSTL、SSTL、HSUL、MOBILE_DDRI/O 規格とサポート される属性を示します。

表 1-41 に、 7 シ リーズ FPGA で使用可能な差動 HSTL、 SSTL、 HSUL、 MOBILE_DDR I/O 規格

とサポート される属性を示します。

表 1-42 には、 7 シ リ ーズ FPGA のシングルエン ドおよび差動 HSTL、 SSTL、 HSUL、MOBILE_DDR I/O 規格のスルー レート属性 (SLEW) をま とめました。

X-Ref Target - Figure 1-69

図 1-69 : 双方向 DCI 信号の差動 HSUL_12

Z0

IOB IOBDIFF_HSUL_12_DCI DIFF_HSUL_12_DCI

+

DCI

DIFF_HSUL_12_DCI

ug471_c1_59_011811

Z0

DIFF_HSUL_12_DCI

DIFF_HSUL_12_DCI DIFF_HSUL_12_DCI

+

R0 = 50Ω

R0 = 50Ω

R0 = 50Ω

R0 = 50Ω

表 1-39 : 使用可能な I/O バンクのタイプ

HR HP

可 N/A

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 85UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

表 1-40 : シングルエンド HSTL、 SSTL、 HSUL、 MOBILE_DDR I/O 規格の IOSTANDARD 属性

属性

プリ ミテ ィブ

IBUF、 IBUFG、 OBUF、 OBUFT IOBUF

HP I/O バンク HR I/O バンク HP I/O バンク HR I/O バンク

IOSTANDARD

HSTL_I HSTL_I N/A N/A

HSTL_I_12 N/A N/A N/A

HSTL_I_18 HSTL_I_18 N/A N/A

HSTL_I_DCI N/A N/A N/A

HSTL_I_DCI_18 N/A N/A N/A

HSTL_II HSTL_II HSTL_II HSTL_II

HSTL_II_18 HSTL_II_18 HSTL_II_18 HSTL_II_18

HSTL_II_DCI N/A HSTL_II_DCI N/A

HSTL_II_DCI_18 N/A HSTL_II_DCI_18 N/A

N/A N/A HSTL_II_T_DCI N/A

N/A N/A HSTL_II_T_DCI_18 N/A

SSTL12 N/A SSTL12 N/A

SSTL12_DCI N/A N/A N/A

N/A N/A SSTL12_T_DCI N/A

N/A SSTL135_R N/A SSTL135_R

SSTL135 SSTL135 SSTL135 SSTL135

SSTL135_DCI N/A N/A N/A

N/A N/A SSTL135_T_DCI N/A

N/A SSTL15_R N/A SSTL15_R

SSTL15 SSTL15 SSTL15 SSTL15

SSTL15_DCI N/A N/A N/A

N/A N/A SSTL15_T_DCI N/A

SSTL18_I SSTL18_I N/A N/A

SSTL18_I_DCI N/A N/A N/A

SSTL18_II SSTL18_II SSTL18_II SSTL18_II

SSTL18_II_DCI N/A SSTL18_II_DCI N/A

N/A N/A SSTL18_II_T_DCI N/A

HSUL_12 HSUL_12 HSUL_12 HSUL_12

HSUL_12_DCI N/A HSUL_12_DCI N/A

N/A MOBILE_DDR N/A MOBILE_DDR

86 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

表 1-41 : 差動 HSTL、 SSTL、 HSUL、 MOBILE_DDR I/O 規格の IOSTANDARD 属性

属性

プリ ミテ ィブ

IBUFDS、 IBUFGDS、 IBUFDS_DIFF_OUT、IBUFGDS_DIFF_OUT、 OBUFDS、 OBUFTDS

IOBUFDS、 IOBUFDS_DIFF_OUT

HP I/O バンク HR I/O バンク HP I/O バンク HR I/O バンク

IOSTANDARD

DIFF_HSTL_I DIFF_HSTL_I N/A N/A

DIFF_HSTL_I_18 DIFF_HSTL_I_18 N/A N/A

DIFF_HSTL_I_DCI N/A N/A N/A

DIFF_HSTL_I_DCI_18 N/A N/A N/A

DIFF_HSTL_II DIFF_HSTL_II DIFF_HSTL_II DIFF_HSTL_II

DIFF_HSTL_II_18 DIFF_HSTL_II_18 DIFF_HSTL_II_18 DIFF_HSTL_II_18

DIFF_HSTL_II_DCI N/A DIFF_HSTL_II_DCI N/A

DIFF_HSTL_II_DCI_18 N/A DIFF_HSTL_II_DCI_18 N/A

DIFF_HSTL_II_T_DCI N/A DIFF_HSTL_II_T_DCI N/A

DIFF_HSTL_II_T_DCI_18 N/A DIFF_HSTL_II_T_DCI_18 N/A

DIFF_SSTL12 N/A DIFF_SSTL12 N/A

DIFF_SSTL12_DCI N/A N/A N/A

N/A N/A DIFF_SSTL12_T_DCI N/A

N/A DIFF_SSTL135_R N/A DIFF_SSTL135_R

DIFF_SSTL135 DIFF_SSTL135 DIFF_SSTL135 DIFF_SSTL135

DIFF_SSTL135_DCI N/A N/A N/A

N/A N/A DIFF_SSTL135_T_DCI N/A

N/A DIFF_SSTL15_R N/A DIFF_SSTL15_R

DIFF_SSTL15 DIFF_SSTL15 DIFF_SSTL15 DIFF_SSTL15

DIFF_SSTL15_DCI N/A N/A N/A

N/A N/A DIFF_SSTL15_T_DCI N/A

DIFF_SSTL18_I DIFF_SSTL18_I N/A N/A

DIFF_SSTL18_I_DCI N/A N/A N/A

DIFF_SSTL18_II DIFF_SSTL18_II DIFF_SSTL18_II DIFF_SSTL18_II

DIFF_SSTL18_II_DCI N/A DIFF_SSTL18_II_DCI N/A

N/A N/A DIFF_SSTL18_II_T_DCI N/A

DIFF_HSUL_12 DIFF_HSUL_12 DIFF_HSUL_12 DIFF_HSUL_12

DIFF_HSUL_12_DCI N/A DIFF_HSUL_12_DCI N/A

N/A DIFF_MOBILE_DDR N/A DIFF_MOBILE_DDR

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 87UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

LVDS、 LVDS_25 (低電圧差動信号)低電圧差動信号 (LVDS) は、多くのシステム アプリ ケーシ ョ ンで使用されている高性能の高速イン

ターフェイスです。 7 シ リーズ FPGA の I/O は、 LVDS の EIA/TIA 規格に準拠するよ う設計され

ており、 システムおよびボードのデザインをよ り簡単に行う こ とができます。 IOB の LVDS 電流

モード ド ラ イバーとオプシ ョ ンの内部差動終端機能を使用した場合、 ポイン ト ツー ポイン ト アプ

リ ケーシ ョ ンで外部ソース終端を使用する必要がなくな り ます。 7 シ リーズ デバイスでは、 非常に

柔軟に FPGA の LVDS デザインを行う こ とができます。

LVDS I/O 規格は HP I/O バンクでのみ使用可能です。 オプシ ョ ンの内部差動終端 (DIFF_TERM =TRUE) がインプ リ メン ト されている場合は、出力と入力に 1.8V の VCCO を供給する必要があ り ま

す。

LVDS_25 I/O 規格は HR I/O バン ク でのみ使用可能です。 オプシ ョ ンの内部差動終端

(DIFF_TERM = TRUE) がインプリ メン ト されている場合は、 出力と入力に 2.5V の VCCO を供給

する必要があ り ます。

ト ランスミ ッ ターの終端

7 シ リーズ FPGA の LVDS ト ランス ミ ッ ターに外部終端は必要あ り ません。 表 1-44 に、 7 シ リー

ズ FPGA の LVDS 電流モード ド ラ イバーに対応する属性を示します。 7 シ リーズ FPGA の LVDS電流モード ド ラ イバーは、 真の電流ソースであ り、 EIA/TIA に準拠した適切な LVDS 信号を生成

します。

レシーバーの終端

図 1-70 に、ボード上にある 50Ω 伝送ラインの LVDS または LVDS_25 レシーバーの差動終端の例

を示します。

表 1-42 : すべてのシングルエンドおよび差動 HSTL、 SSTL、 HSUL、 Mobile_DDR I/O 規格の SLEW 属性

属性

プリ ミテ ィブ

IBUF、 IBUFG、 IBUFDS、 IBUFGDS、

IBUFDS_DIFF_OUT、 IBUFGDS_DIFF_OUTOBUF、 OBUFT、 OBUFDS、 OBUFTDS、

IOBUF、 IOBUFDS、 IOBUFDS_DIFF_OUT

HP I/O バンク HR I/O バンク HP I/O バンク HR I/O バンク

SLEW N/A N/A FAST、 SLOW FAST、 SLOW

表 1-43 : 使用可能な I/O バンクのタイプ

HR HP

LVDS_25 でのみ可 LVDS でのみ可

88 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

図 1-71 に、ボード上にある 50Ω 伝送ラインの LVDS または LVDS_25 レシーバーの差動終端の例

を示します。

表 1-44 に、 7 シ リーズ FPGA の LVDS I/O 規格および使用できる属性を示します。

これらの規格の出力に必要な公称電圧 (LVDS 出力は 1.8V、 LVDS_25 出力は 2.5V) 以外の電圧レ

ベルで電源供給される I/O バンクは、 LVDS や LVDS_25 などの差動入力を備えるこ とが可能です

が、 次の条件を満たす必要があ り ます。

• オプシ ョ ンの内部差動終端が使用されていない (DIFF_TERM がデフォルト値の FALSE)。

• 入力ピンの差動信号は、各デバイス ファ ミ リのデータシートに記載されている推奨動作条件を

示す表の VIN 要件を満たしている。

• 入力ピンの差動信号が、 特定デバイス ファ ミ リのデータシートに記載されている LVDS また

は LVDS_25 DC 仕様の表にある VIDIFF ( 小) 要件を満たしている。

• 双方向コンフ ィギュレーシ ョ ンの HR バンクでは、 内部差動終端を常に使用します。

X-Ref Target - Figure 1-70

図 1-70 : LVDS または LVDS_25 レシーバーの終端

X-Ref Target - Figure 1-71

図 1-71 : LVDS、 LVDS_25 の DIFF_TERM レシーバー終端

表 1-44 : LVDS I/O 規格で使用可能な属性

属性

プリ ミテ ィブ

IBUFDS、 IBUFGDS、

IBUFDS_DIFF_OUT、IBUFGDS_DIFF_OUT

OBUFDS、 OBUFTDS

IOSTANDARD LVDS (HP I/O バンク )、 LVDS_25 (HR I/O バンク )

DIFF_TERM TRUE、 FALSE N/A

ug471_c1_60_011811

+

External Termination

Z0

IOB IOB

LVDSLVDS_25

LVDSLVDS_25

Z0

RDIFF = 2Z0= 100Ω

ug471_c1_61_011811

Data in

Z0 = 50

Z0 = 50

RDIFF= 100

LVDSLVDS_25

LVDSLVDS_25

+

0

0

IOB IOB

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 89UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

上記の基準を満たす方法と して、入力信号を AC カップリ ングおよび DC バイアスする外部回路を

使用する方法があ り ます。 図 1-72 に、 差動入力に対して AC カップリ ングと DC バイアス回路を

提供する回路の例を示します。内部 DIFF_TERM は FALSE に設定されているため、RDIFF は 100Ωの差動レシーバー終端を提供します。 ノ イズ マージンを 大化するため、 すべての RBIAS 抵抗を

同じ値にして、 原則的に VCCO の半分の VICM レベルを生成するよ うにしてください。 推奨される

抵抗値の範囲は、 10k ~ 100KΩ です。 AC カップ リ ング キャパシタ用の標準値 CAC は 100nF とな り ます。 すべてのコンポーネン トは、 物理的に FPGA 入力に近い場所に配置してください。

X-Ref Target - Figure 1-72

図 1-72 : 差動クロック入力を AC カップリングおよび DC バイアスする回路例

VCCOFPGA

DifferentialTransmission Line

CAC

CAC

RBIASRBIAS

RBIAS

RBIAS

RDIFF

100ΩN

P

LVDS orLVDS_25

InputBuffer

Differential ClockInput to the FPGA

UG471_c1_72_050212

90 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

RSDS (低振幅差動信号)

RSDS は、 差動信号を使用する LVDS 高速インターフェイス と類似しています。 7 シ リーズ FPGAでの RSDS のインプリ メンテーシ ョ ンは LVDS_25 と類似しており、 ポイン ト ツー ポイン ト アプ

リケーシ ョ ンのみに使用します。 RSDS は HR I/O バンクでのみ使用可能で、 2.5V の VCCO 電圧レ

ベルが必要です。 IOSTANDARD 属性名は RSDS_25 です。 表 1-46 に、 サポート される RSDS I/O規格および属性を示します。

mini-LVDS (mini 低電圧差動信号)

mini-LVDS は、 フラ ッ ト パネル内で使用されるシ リ アル差動 I/O 規格で、 タイ ミ ング制御機能と

LCD ソース ド ラ イバー間のインターフェイス と して機能します。mini-LVDS の入力には、PCB 上で外付けの単体抵抗を接続するか、 7 シ リーズ FPGA の DIFF_TERM 属性によって内部終端を有

効にし、並列終端抵抗を使用する必要があ り ます。 mini-LVDS は HR I/O バンクでのみ使用可能で

あ り、 2.5V の VCCO 電圧レベルが必要です。 IOSTANDARD 属性名は MINI_LVDS_25 です。

表 1-48 に、 サポート される Mini-LVDS I/O 規格および属性を示します。

表 1-45 : 使用可能な I/O バンクのタイプ

HR HP

可 N/A

表 1-46 : RSDS I/O 規格で使用できる属性

属性

プリ ミテ ィブ

IBUFDS、 IBUFGDS、

IBUFDS_DIFF_OUT、IBUFGDS_DIFF_OUT

OBUFDS、 OBUFTDS

IOSTANDARD RSDS_25

DIFF_TERM TRUE、 FALSE N/A

表 1-47 : 使用可能な I/O バンクのタイプ

HR HP

可 N/A

表 1-48 : mini-LVDS I/O 規格で使用可能な属性(1)

属性

プリ ミテ ィブ

IBUFDS、 IBUFGDS、

IBUFDS_DIFF_OUT、IBUFGDS_DIFF_OUT

OBUFDS、 OBUFTDS

IOSTANDARD MINI_LVDS_25

DIFF_TERM TRUE、 FALSE N/A

注記 :

1. 双方向コンフ ィギュレーシ ョ ンの場合、 内部差動終端を常に使用します。

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 91UG471 (v1.4) 2014 年 5 月 13 日

サポート される I/O 規格および終端

PPDS (ポイン ト ツー ポイン ト差動信号)

PPDS は次世代の行および列ド ライバーへのインターフェイス用の差動 I/O 規格です。 PPDS の入

力には、 PCB 上で外付けの単体抵抗を接続するか、 7 シ リーズ FPGA の DIFF_TERM 属性によっ

て内部終端を有効にし、 並列終端抵抗を使用する必要があ り ます。 PPDS は HR I/O バンクでのみ

使用可能であ り、 2.5V の VCCO 電圧レベルが必要です。 IOSTANDARD 属性名は PPDS_25 です。

表 1-50 に、 サポート される PPDS I/O 規格および属性を示します。

TMDS (遷移時間 短差動信号)

TMDS は、 DVI および HDMI ビデオ インターフェイスで使用される高速シ リ アル データ送信用

の差動 I/O 規格です。 TMDS 規格では、 50Ω 外部プルアップ抵抗で入力を 3.3V にプルアップする

必要があ り ます。TMDS の入力には差動入力終端抵抗は必要あ り ません。TMDS は HR I/O バンク

でのみ使用可能であ り、3.3V の VCCO 電圧レベルが必要です。IOSTANDARD 属性名は TMDS_33です。 表 1-52 に、 TMDS I/O 規格で使用可能な属性を示します。

表 1-49 : 使用可能な I/O バンクのタイプ

HR HP

可 N/A

表 1-50 : PPDS I/O 規格で使用可能な属性

属性

プリ ミテ ィブ

IBUFDS、 IBUFGDS、

IBUFDS_DIFF_OUT、IBUFGDS_DIFF_OUT

OBUFDS、 OBUFTDS

IOSTANDARD PPDS_25

DIFF_TERM TRUE、 FALSE N/A

表 1-51 : 使用可能な I/O バンクのタイプ

HR HP

可 N/A

表 1-52 : TMDS I/O 規格で使用可能な属性

属性

プリ ミテ ィブ

IBUFDS、 IBUFGDS、

IBUFDS_DIFF_OUT、IBUFGDS_DIFF_OUT

OBUFDS、 OBUFTDS

IOSTANDARD TMDS_33

92 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

BLVDS (バス LVDS)

LVDS は、 ポイン ト ツー ポイン ト アプリ ケーシ ョ ン用であるため、 BLVDS は EIA/TIA 規格に準

拠するインプ リ メ ンテーシ ョ ンではあ り ません。 したがって I/O および PCB レイアウ トのデザイ

ン規則に注意深く従う必要があ り ます。 双方向 LVDS 用のソフ ト ウェア ラ イブラ リにあるプ リ ミ

ティブは、7 シ リーズ FPGA の LVDS 電流モード ド ラ イバーを使用しません。その代わりに、CSE差動ド ラ イバーを使用します。 このため、 ソース終端が必要にな り ます。 BLVDS は HR I/O バン

ク でのみ使用可能であ り、 2.5V の VCCO 電圧レベルが必要です。 IOSTANDARD 属性名は

BLVDS_25 です。 表 1-54 に、 BLVDS I/O 規格で使用可能な属性を示します。

図 1-73 に、 BLVDS ト ランス ミ ッ ター終端を示します。

表 1-53 : 使用可能な I/O バンクのタイプ

HR HP

可 N/A

表 1-54 : BLVDS I/O 規格で使用可能な属性

属性

プリ ミテ ィブ

IBUFDS、 IBUFGDS、

IBUFDS_DIFF_OUT、IBUFGDS_DIFF_OUT

OBUFDS、 OBUFTDS、

IOBUFDS、

IOBUFDS_DIFF_OUT

IOSTANDARD BLVDS_25

X-Ref Target - Figure 1-73

図 1-73 : BLVDS ト ランスミ ッ ターの終端

ug471_c1_62_011811

Z0 = 50Ω

Z0 = 50Ω

RDIV140Ω

RDIFF = 100Ω

RS

165Ω

RS

165Ω

IN

INX

Data in

-

+

BLVDS_25

IOBBLVDS_25

BLVDS_25

IOB

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 93UG471 (v1.4) 2014 年 5 月 13 日

同じバンク内で複数の I/O 規格を併用する場合の規則

同じバンク内で複数の I/O 規格を併用する場合の規則 同じバンク内の異なる入力、出力および双方向規格を使用する場合は、次の規則に従ってください。

1. 出力規格のみ使用する場合。 VCCO 要件が同じ出力規格は、 同一バンク内で使用できます。

互換性がある例 :

SSTL15_I と LVDCI_15 の出力

互換性のない例 :

SSTL15 (出力 VCCO = 1.5V) および LVCMOS18 (出力 VCCO = 1.8V) 出力

2. 入力規格のみ使用する場合。 VCCO および VREF 要件が同じ入力規格は、 同一バンク内で使用

できます。

互換性がある例 :

LVCMOS15 および HSTL_II 入力

互換性のない例 :

LVCMOS15 (入力 VCCO = 1.5V) および LVCMOS18 (入力 VCCO = 1.8V) 入力

互換性のない例 :

HSTL_I_DCI_18 (VREF = 0.9V) および HSTL_I_DCI (VREF = 0.75V) 入力

3. 入力規格と出力規格を使用する場合。 VCCO が同じ要件の入力/出力規格は、同一バンク内で使

用できます。

互換性がある例 :

LVDS_25 の出力および LVCMOS25 の入力

互換性のない例 :

LVDS_25 出力 (出力 VCCO = 2.5V) および HSTL_I_DCI_18 入力 (入力 VCCO = 1.8V)

4. 双方向規格の入力または出力を併用する場合。 双方向 I/O 規格の入力または出力を使用する場

合、 双方向 I/O 規格が規則 1、 2、 3 を満たしているこ とを確認してください。

5. DCI I/O 規格を使用する場合のその他の規則

a. 同一 HP I/O バンク内 (DCI チェーンの場合はバンクのグループ内) で設定できる DCI の目標抵抗値 (ド ラ イバー出力インピーダンスまたは分割終端の制御目標値) は 1 つのみで

す。

互換性のない例 :

出力イ ン ピーダン ス 40Ω の HSUL_12_DCI の出力と、 分割終端 100Ω/100Ω のSSTL12_T_DCI の入力

インプリ メンテーシ ョ ン ツールでは、 上記の規則に従って実行されます。

94 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

表 1-55 に、 7 シ リーズ FPGA がサポートする I/O 規格の VCCO と VREF 要件を示します。 サポー

ト される各 I/O 規格の電源の推奨動作範囲をはじめとする詳細な DC 仕様は、 『7 シ リーズ FPGAデータシート 』 を参照して ください。

表 1-55 : サポート される各 I/O 規格の VCCO および VREF 要件

I/O 規格使用可能な I/O バンクのタイプ

VCCO (V) VREF (V)

出力 入力入力設定

DIFF_TERM = TRUE 入力

BLVDS_25 HR 2.5 2.5(1) N/A N/A

DIFF_HSTL_I 両方 1.5 任意 N/A N/A

DIFF_HSTL_I_18 両方 1.8 任意 N/A N/A

DIFF_HSTL_I_DCI HP 1.5 1.5 N/A N/A

DIFF_HSTL_I_DCI_18 HP 1.8 1.8 N/A N/A

DIFF_HSTL_II 両方 1.5 任意 N/A N/A

DIFF_HSTL_II_18 両方 1.8 任意 N/A N/A

DIFF_HSTL_II_DCI HP 1.5 1.5 N/A N/A

DIFF_HSTL_II_DCI_18 HP 1.8 1.8 N/A N/A

DIFF_HSTL_II_T_DCI HP 1.5 1.5 N/A N/A

DIFF_HSTL_II_T_DCI_18 HP 1.8 1.8 N/A N/A

DIFF_HSUL_12 両方 1.2 任意 N/A N/A

DIFF_HSUL_12_DCI HP 1.2 1.2 N/A N/A

DIFF_MOBILE_DDR HR 1.8 1.8 N/A N/A

DIFF_SSTL12 HP 1.2 任意 N/A N/A

DIFF_SSTL12_DCI HP 1.2 1.2 N/A N/A

DIFF_SSTL12_T_DCI HP 1.2 1.2 N/A N/A

DIFF_SSTL135 両方 1.35 任意 N/A N/A

DIFF_SSTL135_R HR 1.35 任意 N/A N/A

DIFF_SSTL135_DCI HP 1.35 1.35 N/A N/A

DIFF_SSTL135_T_DCI HP 1.35 1.35 N/A N/A

DIFF_SSTL15 両方 1.5 任意 N/A N/A

DIFF_SSTL15_R HR 1.5 任意 N/A N/A

DIFF_SSTL15_DCI HP 1.5 1.5 N/A N/A

DIFF_SSTL15_T_DCI HP 1.5 1.5 N/A N/A

DIFF_SSTL18_I 両方 1.8 任意 N/A N/A

DIFF_SSTL18_I_DCI HP 1.8 1.8 N/A N/A

DIFF_SSTL18_II 両方 1.8 任意 N/A N/A

DIFF_SSTL18_II_DCI HP 1.8 1.8 N/A N/A

DIFF_SSTL18_II_T_DCI HP 1.8 1.8 N/A N/A

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 95UG471 (v1.4) 2014 年 5 月 13 日

同じバンク内で複数の I/O 規格を併用する場合の規則

HSLVDCI_15 HP 1.5 任意 N/A 0.75

HSLVDCI_18 HP 1.8 任意 N/A 0.9

HSTL_I 両方 1.5 任意 N/A 0.75

HSTL_I_12 HP 1.2 任意 N/A 0.6

HSTL_I_18 両方 1.8 任意 N/A 0.9

HSTL_I_DCI HP 1.5 1.5 N/A 0.75

HSTL_I_DCI_18 HP 1.8 1.8 N/A 0.9

HSTL_II 両方 1.5 任意 N/A 0.75

HSTL_II_18 両方 1.8 任意 N/A 0.9

HSTL_II_DCI HP 1.5 1.5 N/A 0.75

HSTL_II_DCI_18 HP 1.8 1.8 N/A 0.9

HSTL_II_T_DCI HP 1.5 1.5 N/A 0.75

HSTL_II_T_DCI_18 HP 1.8 1.8 N/A 0.9

HSUL_12 両方 1.2 任意 N/A 0.6

HSUL_12_DCI HP 1.2 1.2 N/A 0.6

LVCMOS12 両方 1.2 1.2 N/A N/A

LVCMOS15 両方 1.5 1.5 N/A N/A

LVCMOS18 両方 1.8 1.8 N/A N/A

LVCMOS25 HR 2.5 2.5 N/A N/A

LVCMOS33 HR 3.3 3.3 N/A N/A

LVDCI_15 HP 1.5 1.5 N/A N/A

LVDCI_18 HP 1.8 1.8 N/A N/A

LVDCI_DV2_15 HP 1.5 1.5 N/A N/A

LVDCI_DV2_18 HP 1.8 1.8 N/A N/A

LVDS HP 1.8 1.8(1) 1.8 N/A

LVDS_25 HR 2.5 2.5(1) 2.5 N/A

SSTL12 HP 1.2 任意 N/A 0.6

SSTL12_DCI HP 1.2 1.2 N/A 0.6

SSTL12_T_DCI HP 1.2 1.2 N/A 0.6

LVTTL HR 3.3 3.3 N/A N/A

MINI_LVDS_25 HR 2.5 2.5(1) 2.5 N/A

MOBILE_DDR HR 1.8 1.8 N/A N/A

表 1-55 : サポート される各 I/O 規格の VCCO および VREF 要件 (続き)

I/O 規格使用可能な I/O バンクのタイプ

VCCO (V) VREF (V)

出力 入力入力設定

DIFF_TERM = TRUE 入力

96 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

PCI33_3 HR 3.3 3.3 N/A N/A

PPDS_25 HR 2.5 2.5(1) 2.5 N/A

RSDS_25 HR 2.5 2.5(1) 2.5 N/A

SSTL135 両方 1.35 任意 N/A 0.675

SSTL135_R HR 1.35 任意 N/A 0.675

SSTL135_DCI HP 1.35 1.35 N/A 0.675

SSTL135_T_DCI HP 1.35 1.35 N/A 0.675

SSTL15 両方 1.5 任意 N/A 0.75

SSTL15_R HR 1.5 任意 N/A 0.75

SSTL15_DCI HP 1.5 1.5 N/A 0.75

SSTL15_T_DCI HP 1.5 1.5 N/A 0.75

SSTL18_I 両方 1.8 任意 N/A 0.9

SSTL18_I_DCI HP 1.8 1.8 N/A 0.9

SSTL18_II 両方 1.8 任意 N/A 0.9

SSTL18_II_DCI HP 1.8 1.8 N/A 0.9

SSTL18_II_T_DCI HP 1.8 1.8 N/A 0.9

TMDS_33 HR 3.3 任意 N/A N/A

注記 :

1. これらの規格の差動入力は、出力の要求レベルと異なる VCCO レベルのバンクに配置できます。 この場合に考慮すべき注意事項

を次に示します。

a. VCCO 電圧が出力で要求されるレベルでない限り、 オプシ ョ ンの内部差動終端は使用されない (デフォルトで DIFF_TERM= FALSE)。

b. 入力ピンの差動信号は、 各デバイス ファ ミ リのデータシートに記載されている推奨動作条件を示す表の VIN 要件を満たし

ている。

c. 入力ピンの差動信号は、 各デバイス ファ ミ リのデータシートに記載されている、 対応する LVDS または LVDS_25 DC 仕様の表にある VIDIFF および VICM の要件を満たしている。場合によっては、この条件をク リ アするために、ピンを AC カッ

プ リ ングおよび DC バイアスするための外部回路が必要。

表 1-55 : サポート される各 I/O 規格の VCCO および VREF 要件 (続き)

I/O 規格使用可能な I/O バンクのタイプ

VCCO (V) VREF (V)

出力 入力入力設定

DIFF_TERM = TRUE 入力

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 97UG471 (v1.4) 2014 年 5 月 13 日

同じバンク内で複数の I/O 規格を併用する場合の規則

表 1-56 に、 7 シ リーズ FPGA がサポートする各 I/O 規格について、 DRIVE および SLEW 属性の

オプシ ョ ン、 双方向バッファーの使用可否、 DCI 終端のタイプを示します。

表 1-56 : DRIVE および SLEW 属性、 双方向バッファーの使用可否、 DCI 終端のタイプ

I/O 規格

使用可能な I/O バンク

のタイプ

DRIVE (mA) SLEW双方向

バッファー (1)

DCI タイプ(2)

出力 出力 出力 入力

BLVDS_25 HR N/A N/A 可 なし なし

DIFF_HSTL_I 両方 N/A SLOW、 FAST 否 なし なし

DIFF_HSTL_I_18 両方 N/A SLOW、 FAST 否 なし なし

DIFF_HSTL_I_DCI HP N/A SLOW、 FAST 否 なし 分割

DIFF_HSTL_I_DCI_18 HP N/A SLOW、 FAST 否 なし 分割

DIFF_HSTL_II 両方 N/A SLOW、 FAST 可 なし なし

DIFF_HSTL_II_18 両方 N/A SLOW、 FAST 可 なし なし

DIFF_HSTL_II_DCI HP N/A SLOW、 FAST 可 分割 分割

DIFF_HSTL_II_DCI_18 HP N/A SLOW、 FAST 可 分割 分割

DIFF_HSTL_II_T_DCI HP N/A SLOW、 FAST 必須 なし 分割

DIFF_HSTL_II_T_DCI_18 HP N/A SLOW、 FAST 必須 なし 分割

DIFF_HSUL_12 両方 N/A SLOW、 FAST 可 なし なし

DIFF_HSUL_12_DCI HP N/A SLOW、 FAST 可 ド ライバー なし

DIFF_MOBILE_DDR HR N/A SLOW、 FAST 可 なし なし

DIFF_SSTL12 HP N/A SLOW、 FAST 可 なし なし

DIFF_SSTL12_DCI HP N/A SLOW、 FAST 否 なし 分割

DIFF_SSTL12_T_DCI HP N/A SLOW、 FAST 必須 なし 分割

DIFF_SSTL135 両方 N/A SLOW、 FAST 可 なし なし

DIFF_SSTL135_R HR N/A SLOW、 FAST 可 なし なし

DIFF_SSTL135_DCI HP N/A SLOW、 FAST 否 なし 分割

DIFF_SSTL135_T_DCI HP N/A SLOW、 FAST 必須 なし 分割

DIFF_SSTL15 両方 N/A SLOW、 FAST 可 なし なし

DIFF_SSTL15_R HR N/A SLOW、 FAST 可 なし なし

DIFF_SSTL15_DCI HP N/A SLOW、 FAST 否 なし 分割

DIFF_SSTL15_T_DCI HP N/A SLOW、 FAST 必須 なし 分割

DIFF_SSTL18_I 両方 N/A SLOW、 FAST 否 なし なし

DIFF_SSTL18_I_DCI HP N/A SLOW、 FAST 否 なし 分割

DIFF_SSTL18_II 両方 N/A SLOW、 FAST 可 なし なし

DIFF_SSTL18_II_DCI HP N/A SLOW、 FAST 可 分割 分割

DIFF_SSTL18_II_T_DCI HP N/A SLOW、 FAST 必須 なし 分割

HSLVDCI_15 HP N/A N/A 可 ド ライバー なし

98 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

HSLVDCI_18 HP N/A N/A 可 ド ライバー なし

HSTL_I 両方 N/A SLOW、 FAST 否 なし なし

HSTL_I_12 HP N/A SLOW、 FAST 否 なし なし

HSTL_I_18 両方 N/A SLOW、 FAST 否 なし なし

HSTL_I_DCI HP N/A SLOW、 FAST 否 なし 分割

HSTL_I_DCI_18 HP N/A SLOW、 FAST 否 なし 分割

HSTL_II 両方 N/A SLOW、 FAST 可 なし なし

HSTL_II_18 両方 N/A SLOW、 FAST 可 なし なし

HSTL_II_DCI HP N/A SLOW、 FAST 可 分割 分割

HSTL_II_DCI_18 HP N/A SLOW、 FAST 可 分割 分割

HSTL_II_T_DCI HP N/A SLOW、 FAST 必須 なし 分割

HSTL_II_T_DCI_18 HP N/A SLOW、 FAST 必須 なし 分割

HSUL_12 両方 N/A SLOW、 FAST 可 なし なし

HSUL_12_DCI HP N/A SLOW、 FAST 可 ド ライバー なし

LVCMOS12 両方 HP : 2、 4、 6、 8

HR : 4、 8、 12SLOW、 FAST 可 なし なし

LVCMOS15 両方HP : 2、 4、 6、 8、 12、 16

HR : 4、 8、 12、 16SLOW、 FAST 可 なし なし

LVCMOS18 両方HP : 2、 4、 6、 8、 12、 16 HR : 4、 8、 12、 16、 24

SLOW、 FAST 可 なし なし

LVCMOS25 HR 4、 8、 12、 16 SLOW、 FAST 可 なし なし

LVCMOS33 HR 4、 8、 12、 16 SLOW、 FAST 可 なし なし

LVDCI_15 HP N/A N/A 可 ド ライバー なし

LVDCI_18 HP N/A N/A 可 ド ライバー なし

LVDCI_DV2_15 HP N/A N/A 可 ド ライバー なし

LVDCI_DV2_18 HP N/A N/A 可 ド ライバー なし

LVDS HP N/A N/A 可 なし なし

LVDS_25 HR N/A N/A 可(3) なし なし

SSTL12 HP N/A SLOW、 FAST 可 なし なし

SSTL12_DCI HP N/A SLOW、 FAST 否 なし 分割

SSTL12_T_DCI HP N/A SLOW、 FAST 必須 なし 分割

LVTTL HR 4、 8、 12、 16、 24 SLOW、 FAST 可 なし なし

MINI_LVDS_25 HR N/A N/A 可(3) なし なし

表 1-56 : DRIVE および SLEW 属性、 双方向バッファーの使用可否、 DCI 終端のタイプ (続き)

I/O 規格

使用可能な I/O バンク

のタイプ

DRIVE (mA) SLEW双方向

バッファー (1)

DCI タイプ(2)

出力 出力 出力 入力

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 99UG471 (v1.4) 2014 年 5 月 13 日

同じバンク内で複数の I/O 規格を併用する場合の規則

MOBILE_DDR HR N/A SLOW、 FAST 可 なし なし

PCI33_3 HR N/A N/A 可 なし なし

PPDS_25 HR N/A N/A 否 なし なし

RSDS_25 HR N/A N/A 否 なし なし

SSTL135 両方 N/A SLOW、 FAST 可 なし なし

SSTL135_R HR N/A SLOW、 FAST 可 なし なし

SSTL135_DCI HP N/A SLOW、 FAST 否 なし 分割

SSTL135_T_DCI HP N/A SLOW、 FAST 必須 なし 分割

SSTL15 両方 N/A SLOW、 FAST 可 なし なし

SSTL15_R HR N/A SLOW、 FAST 可 なし なし

SSTL15_DCI HP N/A SLOW、 FAST 否 なし 分割

SSTL15_T_DCI HP N/A SLOW、 FAST 必須 なし 分割

SSTL18_I 両方 N/A SLOW、 FAST 否 なし なし

SSTL18_I_DCI HP N/A SLOW、 FAST 否 なし 分割

SSTL18_II 両方 N/A SLOW、 FAST 可 なし なし

SSTL18_II_DCI HP N/A SLOW、 FAST 可 分割 分割

SSTL18_II_T_DCI HP N/A SLOW、 FAST 必須 なし 分割

TMDS_33 HR N/A N/A 否 なし なし

注記 :

1. 「双方向バッファー」 の列は、 I/O 規格が双方向信号を使用しているかど うかを示しています。 「必須」 と書かれている規格は双方向信号の

みが使用可能であ り、 IOBUF や IOBUFDS などのプリ ミ ティブを必要と します。

2. 「DCI 終端タイプ」 の列は、 DCI I/O 規格で使用可能な終端の種類を示しています。 「分割」 は、 分割終端抵抗を意味します。

3. 双方向コンフ ィギュレーシ ョ ンの場合、 内部差動終端を常に使用します。

表 1-56 : DRIVE および SLEW 属性、 双方向バッファーの使用可否、 DCI 終端のタイプ (続き)

I/O 規格

使用可能な I/O バンク

のタイプ

DRIVE (mA) SLEW双方向

バッファー (1)

DCI タイプ(2)

出力 出力 出力 入力

100 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 1 章 : SelectIO リソース

同時スイッチ出力

パッケージのインダク タンスによ り、 各デバイス /パッケージでサポート される同時スイ ッチ出力

(SSO) 数は制限されます。 高速で高駆動の出力を使用する場合は特に制限されます。 高速で高駆動

の出力は、 アプリ ケーシ ョ ンで必要な場合にのみ使用して ください。

高速で高駆動の出力は、 アプリ ケーシ ョ ンで必要な場合にのみ使用して ください。 PlanAhead ツー

ルに含まれる SSN 予測ツールを利用するこ とによって、 ピン (ビクティム) およびデザイン内のそ

の他すべてのピン (アグレッサー ) の情報に基づいて、 デザインの各 I/O ピンにおける ノ イズ マー

ジン値を解析できます。 このツールは、 I/O ピンの位置、 I/O 規格、 スルー レート、 および使用さ

れる終端を考慮し、 これらの特性に基づいた各ピンのノ イズ マージン値を示します。 ノ イズ マー

ジンには、 ボード ト レース ク ロス トークやボード インピーダンスの不連続性による反射などのシ

ステム レベルの特性は含まれません。

多数の出力が同時に同じ方向へスイ ッチする と、 グランドまたは電源バウンスが生じます。 出力駆

動ト ランジスタはすべての電流を同相レールへ誘導します。Low から High への遷移は VCCO レー

ルへ接続し、 High から Low への遷移はグランド レールへ接続します。 その結果、過渡電流が蓄積

し、 内部グランド レベルと外部グランド レベル間、 または内部と外部 VCCO レベル間に存在する

インダク タンスに電圧差をもたら します。 インダク タンスは、 ボンディ ング ワイヤ、 パッケージ

リード フレーム、ダイの配線、パッケージの配線、ボール インダクタンスに関連しています。 SSOによって引き起こ される電圧は、 結果と して内部スイ ッチ ノ イズ マージンに影響を及ぼし、 信号

の質が低下します。

SSN 予測ツールの結果は、 FPGA が PCB にはんだ付けされ、 ボードは健全かつ実践的なデザイン

を使用しているこ とが前提とな り ます。 ソケッ トに実装されている FPGA の場合、 ソケッ トによっ

て余分に BGA ボール インダクタンスが生じるため、 このノ イズ マージン値は適用できません。

SSO の影響を低減するピン配置

デザインのピンを配置する場合、影響力の強い出力や SSO は、影響を受けやすい入力や出力 (特に

非同期入力) から遠ざけるよ うな I/O ピン配置が重要です。HSTL や SSTL のクラス II バージ ョ ン、

PCI 関連、 駆動電流が 8mA 以上の LVCMOS や LVTTL が影響力の強い出力とな り ます。 影響を

受けやすい入力や出力はノ イズに対するマージンが小さ くなる傾向があ り、高速信号やパラレル レシーバー終端によって振幅が削減される信号がそれに該当します。 7 シ リーズ FPGA の場合、局部

的な SSO ノ イズは信号の接近度に依存するため、パッケージ ソルダー ボールに基づいて信号を分

散させるこ とが重要です。SSO による潜在的なノ イズをさ らに削減するには、出力を 1 箇所に集中

させずに、分散させて配置する必要があ り ます。 1 つのバンク内にある SSO は、できるだけそのバ

ンク内で分散させるよ うにして ください。 可能な場合には常に SSO を複数バンクに分散させて く

ださい。

ISE の PlanAhead ツールでは、 SSO の影響を回避する よ う にピン配置を行 う こ とができ ます。

[Package] ウ ィンド ウのパッケージ ピンをク リ ッ クする と、[Device] ウ ィンド ウの該当する IOB がハイライ ト されます。 これらの IOB サイ ト タイプがダイ パッ ドを表し、ダイ エッジ周辺の相対的

な物理位置を示します。 PlanAhead ツールを利用するこ とで、 高度なピン配置機能を使用してピン

のダイ パッ ドを分離できます。 これは、 影響力の強い出力や SSO を含むダイ パッ ドを、 影響を受

けやすい入力や出力から分離する こ とで実現します。 SSO の影響は、 仮想グラン ド ピンや仮想

VCCO ピンを追加するこ とでも 小限に抑えるこ とができます。 仮想グランドの作成には、 大の

駆動電流でロジッ ク 0 に駆動する出力ピンを定義し、 このピンをボードのグランドに接続します。

同様に、 仮想 VCCO ピンの作成には、 大の駆動電流でロジッ ク 1 に駆動する出力ピンを定義し、

このピンをボードの VCCO へ接続します。

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 101UG471 (v1.4) 2014 年 5 月 13 日

第 2 章

SelectIO ロジック リソース

はじめに

この章では、第 1 章 「SelectIO リ ソース」 で説明した I/O ド ラ イバーおよびレシーバーのロジッ ク

について説明します。

7 シ リーズ FPGA は、 これまでのザイ リ ンクス FPGA に含まれる基本的な I/O ロジッ ク リ ソース

を備えています。 これらのリ ソースを次に示します。

• 組み合わせ入力/出力

• ト ラ イステート出力制御

• レジスタ付き入力/出力

• レジスタ付き ト ライステート出力制御

• ダブル データ レート (DDR) 入力/出力

• DDR 出力ト ライステート制御

• IDELAY : ユーザーによる制御が可能な、 精度の細かい遅延タップ

• ODELAY : ユーザーによる制御が可能な、 精度の細かい遅延タップ

• SAME_EDGE 出力 DDR モード

• SAME_EDGE および SAME_EDGE_PIPELINED 入力 DDR モード

図 2-1 に 1.8V HP バンク用の I/O タイルを示します。 図 2-2 には 3.3V HR バンク用の I/O タイル

を示します。SelectIO™ の入力、出力、およびト ライステート ド ラ イバーは、入力/出力バッファー

(IOB) 内に配置されています。 HP バンクには独立した IDELAY および ODELAY ブロ ッ クがあ り

ます。HR バンクには、ODELAY ブロ ッ クを除き、HP バンク と同じロジッ ク エレ メン トが含まれ

ます。

X-Ref Target - Figure 2-1

図 2-1 : 7 シリーズ FPGA の HP バンク I/O タイル

UG471_c1_01_012211

IDELAYE2

IOB

ILOGICE2/ISERDESE2

ODELAYE2OLOGICE2/

OSERDESE2

PAD

102 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

ILOGIC リソース

ILOGIC ブロ ッ クは I/O ブロ ッ ク (IOB) の隣に配置されています。 ILOGIC には、 IOB を介して

FPGA に入力されるデータを取り込むための同期ブロ ッ クがあ り ます。 7 シ リーズ デバイスで

ILOGIC コ ンフ ィ ギ ュ レーシ ョ ンの可能性があ る ものは、 ILOGICE2 (HP I/O バン ク ) と

ILOGICE3 (HR I/O バンク ) です。 このガイ ドでは、 一般的に ILOGIC と して説明していますが、

特記のない限り OLOGICE2 と OLOGICE3 は同じ機能を持ち、 ポート も同様です。 ILOGICE2 とILOGICE3 の唯一の違いは次のとおりです。

• ILOGICE3 は HR バンク内に位置し、 ゼロ ホールド遅延 (ZHOLD) を持つ

• ILOGICE2 は HP バンク内に位置し、 ZHOLD がない

これらの違いは、 図 2-3 および図 2-4 を参照して ください。 IOI (input/output interconnect) 記憶エ

レ メン トの D 入力の ZHOLD によって、パッ ド間のホールド タイム要件がなくな り ます。ZHOLD遅延は内部クロ ッ クの分配遅延に一致するよ うに自動的に調整されるため、 この遅延を使用する場

合には、 パッ ド間のホールド タイムを確実にゼロにできます。

ILOGICE2 と ILOGICE3 は、 インスタンシエートできないという点ではプリ ミ テ ィブではあ り ま

せん。 これらには、 配置配線後に IFD (input flip-flop) や IDDR (input DDR) などのユーザーがイ

ンスタンシエート したエレ メン トが含まれます。

X-Ref Target - Figure 2-2

図 2-2 : 7 シリーズ FPGA の HR バンク I/O タイル

UG471_c1_02_012211

IDELAYE2

IOB

ILOGICE3/ISERDESE2

OLOGICE3/OSERDESE2

PAD

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 103UG471 (v1.4) 2014 年 5 月 13 日

ILOGIC リソース

X-Ref Target - Figure 2-3

図 2-3 : ILOGICE2 のブロック図

UG471_c2_01_090810

O

D

DDLY

D

CE

CK

CKB

SR

Q1

LatchFFDDR

Q2

OFB

TFB

CE1

CLK

CLKB

SR

Q1

Q2

104 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

ILOGIC は次の動作をサポート します。

• エッジ ト リ ガー D 型フ リ ップフロ ップ

• IDDR モード (OPPOSITE_EDGE、 SAME_EDGE、 SAME_EDGE_PIPELINED)。入力 DDRの詳細は、 105 ページの 「入力 DDR (IDDR)」 を参照してください。

• レベル認識ラ ッチ

• 非同期/組み合わせ

ILOGIC ブロ ッ ク レジスタには、 デフォル ト がアクテ ィブ High の共通クロ ッ ク イネーブル信号

(CE1) があ り ます。 記憶エレ メン トのク ロ ッ ク イネーブル ピンが未接続の場合、 デフォルト では

アクティブです。

X-Ref Target - Figure 2-4

図 2-4 : ILOGICE3 のブロック図

UG471_c2_02_021914

O

ZHOLD_DELAY

D

DDLY

D

DLYFABRIC

DLVIFF DLYIN

CE

CK

CKB

SR

Q1

LatchFFDDR

Q2

OFB

TFB

CE1

CLK

CLKB

SR

Q1

Q2

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 105UG471 (v1.4) 2014 年 5 月 13 日

ILOGIC リソース

ILOGIC ブロ ッ ク レジスタには、 共通の同期または非同期セッ ト / リセッ ト (SR 信号) があ り ます。

このセッ ト / リセッ ト入力ピン (SR) を使用して、 記憶エレ メン ト を SRVAL 属性が指定するステー

トへ遷移させます。 リセッ トはセッ ト よ り も優先されます。

ILOGIC SRVAL 属性は、ILOGIC ブロ ッ クの記憶エレ メン ト ごとに設定できますが、セッ ト / リセッ

トの同期または非同期 (SRTYPE) は、 記憶エレ メン ト ごとに選択できません。

次のセクシ ョ ンでは、 ILOGIC ブロ ッ ク内の各種リ ソースについて説明します。

組み合わせ入力パス

組み合わせ入力パスは、入力ド ライバーから FPGA ロジッ クへの直接接続に使用します。次のよ う

な場合、 ソフ ト ウェアは自動的にこのパスを使用します。

1. 入力データから FPGA のロジッ ク リ ソースまでが直接接続である (レジスタなし ) 場合

2. ソフ ト ウェアでマップを指示する [Pack I/O Register/Latches into IOBs] がオフに設定されている

場合

入力 DDR (IDDR)7 シ リーズ デバイスには、ILOGIC ブロ ッ ク内に専用レジスタがあるため、入力ダブル データ レー

ト (DDR) レジスタをインプ リ メ ン トできます。 この機能を使用するには、 IDDR プリ ミ テ ィブを

インスタンシエート して ください。

I/O タイルへ接続するすべてのクロ ッ クはマルチプレクスされています。 ILOGIC ブロ ッ ク間また

は OLOGIC ブロ ッ ク間でクロ ッ クは共有していません。 IDDR プリ ミ ティブでは、 次の動作モー

ドを使用できます。

• OPPOSITE_EDGE モード

• SAME_EDGE モード

• SAME_EDGE_PIPELINED モード

SAME_EDGE モードおよび SAME_EDGE_PIPELINED モードは、 Virtex-6 アーキテクチャ と同

じモードです。 これらのモードを使用する と、 ILOGIC ブロッ ク内で、立ち下がりエッジ データを

立ち上がりエッジ ド メ インへ伝搬できるため、 CLB およびクロ ッ ク リ ソースを節約でき、 高いパ

フォーマンスが得られます。 これらのモードは、 DDR_CLK_EDGE 属性で指定します。 次のセク

シ ョ ンでは、 各モードについて説明します。

OPPOSITE_EDGE モード

従来型の入力 DDR ソ リ ューシ ョ ンである OPPOSITE_EDGE モードは、 ILOGIC 内の入力信号 1つで実現されます。 データはクロ ッ クの立ち上がりエッジで Q1 から、 立ち下がりエッジで Q2 から FPGA ロジッ クに向けて出力されます。 この構造は、Virtex-6 FPGA インプリ メンテーシ ョ ンと

類似しています。 図 2-5 に、 OPPOSITE_EDGE モードを使用する入力 DDR のタイ ミ ング図を示

します。

106 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

SAME_EDGE モード

SAME_EDGE モードの場合、 同じ ク ロ ッ ク エッジで FPGA ファブ リ ッ クにデータが出力されま

す。 この構造は、 Virtex-6 FPGA インプリ メンテーシ ョ ンと類似しています。

図 2-6 に、SAME_EDGE モードを使用する入力 DDR のタイ ミ ング図を示します。出力ペア Q1 とQ2 は、(0) と (1) ではないこ とが確認できます。このモードでは、 初のペアが Q1 (0) と Q2 (Don'tcare) になり、 次のクロ ッ クでは、 (1) と (2) のペアが出力されています。

SAME_EDGE_PIPELINED モード

SAME_EDGE_PIPELINED モードの場合、 同じ ク ロ ッ ク エッジで FPGA ロジッ クにデータが出

力されます。

SAME_EDGE モード と 異な り、 データ ペアに 1 ク ロ ッ ク サイ クル分のずれは生じ ません。

SAME_EDGE モードで生じるずれを調整するには、 追加クロ ッ ク レイテンシが必要です。 図 2-7に、 SAME_EDGE_PIPELINED モードを使用する入力 DDR のタイ ミ ング図を示します。 出力ペ

ア Q1 と Q2 は同時に FPGA に送信されます。

X-Ref Target - Figure 2-5

図 2-5 : OPPOSITE_EDGE モードの入力 DDR タイ ミング

ug471_c2_03_090810

C

CE

D

Q1

Q2

D0A D1A D2A

D0A D2A D4A D6A D8A D10A D12A

D1A D3A D5A D7A D9A D11A

D3A D4A D5A D6A D7A D8A D9A D10A D11A D12A D13A

X-Ref Target - Figure 2-6

図 2-6 : SAME_EDGE モードの入力 DDR タイ ミング

ug471_c2_04_090810

C

CE

D

Q1

Q2

D1A

D0A D2A D4A D6A D8A D10A

D1A D3A D5A D7A D9A D11A

D3A D5A D7A D9A D11AD0A D2A D4A D6A D8A D10A

Don't care

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 107UG471 (v1.4) 2014 年 5 月 13 日

ILOGIC リソース

入力 DDR リソース (IDDR)図 2-8 に、 IDDR プリ ミ ティブのブロッ ク図を示します。セッ ト / リセッ トは同時にサポート されて

いません。表 2-1 に IDDR ポート信号を示し、表 2-2 には IDDR プリ ミ ティブのさまざまな属性と

デフォルト値を示します。

X-Ref Target - Figure 2-7

図 2-7 : SAME_EDGE_PIPELINED モードの入力 DDR のタイ ミング図

ug471_c2_05_090810

C

CE

D

Q1

Q2

D0A D1A D2A

D0A D2A D4A D6A D8A D10A

D1A D3A D5A D7A D9A D11A

D3A D4A D5A D6A D7A D8A D9A D10A D11A D12A D13A

X-Ref Target - Figure 2-8

図 2-8 : IDDR プリ ミテ ィブのブロック図

表 2-1 : IDDR ポート信号

ポート名 機能 説明

Q1、 Q2 データ出力 IDDR レジスタ出力。

C ク ロ ッ ク入力ポート C ピンは、 ク ロ ッ ク入力ピンを示す。

CE ク ロ ッ ク イネーブ

ル ポート

イネーブル ピンは、 DDR フ リ ップフロ ップへのデータの

読み込みを制御する。 Low の場合、 ク ロ ッ ク遷移は無視さ

れ、DDR フ リ ップフロ ップに新しいデータは読み込まれな

い。 CE が High になる と、 DDR フ リ ップフロ ップに新し

いデータが読み込まれる。

D データ入力 (DDR) IOB からの IDDR レジスタ入力。

SR セッ ト / リセッ ト 同期/非同期セッ ト / リセッ ト ピン。 SR は High にアサート

される。

ug471_c2_06_090810

CCE

D

SR

Q1

Q2IDDR

108 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

IDDR の VHDL および Verilog のテンプレート

『7 シ リーズ FPGA ライブラ リ ガイ ド』 に、VHDL および Verilog を使用した IDDR プリ ミ ティブ

のインスタンシエーシ ョ ンのテンプレートがあ り ます。

ILOGIC タイ ミ ング モデル

このセクシ ョ ンでは、 ILOGIC ブロ ッ クに関するさまざまな リ ソースのタイ ミ ングについて説明し

ます。

ILOGIC タイ ミング特性

図 2-9 に、 ILOGIC レジス タのタ イ ミ ングを示し ます。 IDELAY を使用する場合、 TIDOCK はTIDOCKD に変わり ます。

クロック イベン ト 1

• ク ロ ッ ク イベン ト 1 よ り TICE1CK 前に、入力レジスタの CE1 入力で入力クロ ッ ク イネーブル

信号が High (有効) になる と、 入力レジスタでのデータ受信が可能になり ます。

• ク ロ ッ ク イベン ト 1 よ り TIDOCK 時間前に、入力レジスタの D 入力で入力信号が High (有効)になり、 ク ロ ッ ク イベン ト 1 よ り TICKQ 時間後に入力レジスタの Q1 出力に反映されます。

表 2-2 : IDDR 属性

属性名 説明 設定可能な値

DDR_CLK_EDGE ク ロ ッ ク エッジに対する IDDR の動

作モードを設定

OPPOSITE_EDGE (デフォル ト )、SAME_EDGE、SAME_EDGE_PIPELINED

INIT_Q1 Q1 ポートの初期値を設定 0 (デフォルト )、 1

INIT_Q2 Q2 ポートの初期値を設定 0 (デフォルト )、 1

SRTYPE ク ロ ッ ク (C) のセッ ト / リセッ ト タイプ

ASYNC (デフォルト )、 SYNC

X-Ref Target - Figure 2-9

図 2-9 : ILOGIC 入力レジスタのタイ ミング特性

1 2 3 4 5

CLK

D

CE1

SR

Q1

TICKQ TICKQ

TIDOCK

TICE1CK

TISRCK

ug471_c2_07_090810

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 109UG471 (v1.4) 2014 年 5 月 13 日

ILOGIC リソース

クロック イベン ト 4

• ク ロ ッ ク イベン ト 4 よ り TISRCK 前に、SR 信号 (この場合、同期リセッ ト と して設定) が High(有効) になって入力レジスタが リ セッ ト され、 ク ロ ッ ク イベン ト 4 よ り TICKQ 後に IOB のQ1 出力に反映されます。

DDR モード ILOGIC のタイ ミング特性

図 2-10 に、 IDDR モードを使用した ILOGIC のタイ ミ ング特性を示します。 IDELAY を使用する

場合、TIDOCK は TIDOCKD に変わり ます。 この例は、OPPOSITE_EDGE モードの IDDR を使用し

たものです。 その他のモードを使用する場合は、 107 ページの図 2-7 に示す適切なレイテンシを追

加して ください。

クロック イベン ト 1

• ク ロ ッ ク イベン ト 1 よ り TICE1CK 前に、 両方の DDR 入力レジスタの CE1 入力で入力クロ ッ

ク イネーブル信号が High (有効) になる と、 入力レジスタでのデータ受信が可能にな り ます。

2 つの DDR レジスタは、 CE1 および D 信号を共有しているため、 CLK の立ち上がりエッジ

および立ち下がりエッジ間でこれらの信号を ト グルする場合は注意が必要です。

• また、両エッジに対するレジスタのセッ ト アップ タイムも満たす必要があ り ます。ク ロ ッ ク イベン ト 1 (CLK の立ち上がりエッジ) よ り TIDOCK 前に、 両方のレジスタの D 入力で入力信号

が High (有効) になり、ク ロ ッ ク イベン ト 1 よ り TICKQ 後に入力レジスタ 1 の Q1 出力に反映

されます。

クロック イベン ト 4

• ク ロ ッ ク イベン ト 4 (CLK の立ち下がりエッジ) よ り TIDOCK 前に、両方のレジスタの D 入力

で入力信号が Low (有効) になり、 ク ロ ッ ク イベン ト 4 よ り TICKQ 後に入力レジスタ 2 の Q2出力に反映されます (この場合は変化しない)。

クロック イベン ト 9

• ク ロ ッ ク イベン ト 9 よ り TISRCK 時間前に SR 信号 (この場合、 同期リセッ ト と して設定) がHigh (有効) になり、 ク ロ ッ ク イベン ト 9 よ り TICKQ 時間後に Q1 がリセッ ト され、 ク ロ ッ ク

イベン ト 10 よ り TICKQ 時間後に Q2 がリセッ ト されます。

X-Ref Target - Figure 2-10

図 2-10 : IDDR モード ILOGIC のタイ ミング特性 (OPPOSITE_EDGE モード )

1 2 3 4 5 6 7 8 9 10 11

TIDOCK

TICE1CK

TISRCK

TICKQ

TICKQ

TICKQ

TIDOCK

CLK

D

CE1

SR(Reset)

Q1

Q2

TICKQ

UG471_c2_08_090810

110 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

表 2-3 に、 『7 シ リーズ FPGA データシート 』 に記載されている ILOGIC のスイ ッチ特性に関連す

るタイ ミ ング パラ メーターを示します。

注記 : DDLY ピンのタイ ミ ング図とパラ メーターは、D ピンのタイ ミ ング図およびパラ メーターと

同一です。

入力遅延リソース (IDELAY)すべての I/O ブロ ッ クには、 IDELAYE2 と呼ばれるプログラム可能な遅延プリ ミ テ ィブがあ り ま

す。 IDELAY は、 ILOGICE2/ISERDESE2 または ILOGICE3/ISERDESE2 ブロ ッ クに接続できま

す。 IDELAYE2 は、 キャ リブレーシ ョ ンされた 31 段階ラ ップアラウンド式のタ ップ精度を持つ遅

延プリ ミ テ ィブです。 遅延値は、 『7 シ リーズ FPGA データシート 』 を参照して ください。 この遅

延は、組み合わせ入力パスやレジスタ付きの入力パスのいずれかまたは両方に適用できます。また、

ファブ リ ッ クから直接アクセスも可能です。 IDELAY は、入力される信号に対して個別に遅延を与

えるこ とができます。 タ ップ遅延の精度は、 『7 シ リーズ FPGA データシート 』 に記載された範囲

内の IDELAYCTRL 基準クロ ッ クを使用して継続的に調整されます。

表 2-3 : ILOGIC のスイッチ特性

シンボル 説明

セッ トアップ/ホールド

TICE1CK/TICKCE1 CE1 ピンの CLK に対するセッ ト アップ/ホールド

TISRCK/TICKSR SR ピンの CLK に対するセッ ト アップ/ホールド

TIDOCK/TIOCKD D ピンの CLK に対するセッ ト アップ/ホールド

TICOCKD/TIOCKDD DDLY ピンの CLK に対するセッ ト アップ/ホールド

組み合わせ

TIDI D ピンから O ピンまでの伝搬遅延 (遅延なし )

シーケンシャル遅延

TIDLO フ リ ップフロ ップをラ ッチと して使用する場合の D ピンから Q1 ピンま

での遅延 (遅延なし)

TICKQ CLK から Q 出力までの遅延

TRQ SR ピンから OQ/TQ 出力までの遅延

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 111UG471 (v1.4) 2014 年 5 月 13 日

入力遅延リソース (IDELAY)

IDELAYE2 プリ ミテ ィブ

図 2-11 に、 IDELAYE2 プリ ミ ティブを示します。

表 2-4 に、 IDELAYE2 プリ ミ ティブで使用可能なポート を示します。

X-Ref Target - Figure 2-11

図 2-11 : IDELAYE2 プリ ミテ ィブ

C DATAOUT

INC

CE

IDATAIN

REGRST

LD

CINVCTRL

CNTVALUEIN[4:0]

LDPIPEEN

DATAIN

CNTVALUEOUT[4:0]

IDELAYE2

ug471_c2_09_011911

表 2-4 : IDELAYE2 プリ ミテ ィブ ポート

ポート名 方向 幅 機能

C 入力 1 VARIABLE、VAR_LOAD、VAR_LOAD_PIPE モードで使用されるクロ ッ ク入力。

REGRST 入力 1 パイプライン レジスタ用のリセッ ト 。 VAR_LOAD_PIPE モードのみで使用。

LD 入力 1

VARIABLE モードの場合は、 あらかじめプログラムされた値を IDELAYE2 プリ

ミ ティブにロードする。VAR_LOAD モードの場合は、CNTVALUEIN の値をロー

ドする。

VAR_LOAD_PIPE モードの場合は、現在パイプライン レジスタにある値をロード

する。

CE 入力 1 インク リ メン ト /デク リ メン ト機能を有効にする。

INC 入力 1 タ ップ遅延数をインク リ メン ト /デク リ メン トする。

CINVCTRL 入力 1 ク ロ ッ ク (C) 極性を動的に反転する。

CNTVALUEIN 入力 5 動的にロード可能なタ ップ値と して FPGA ロジッ クから送信されるカウンター値。

IDATAIN 入力 1 IBUF から IDELAY へのデータ入力。

DATAIN 入力 1 FPGA ロジッ クから IDELAY へのデータ入力。

LDPIPEEN 入力 1 パイプライン レジスタへのデータのロードを有効にする。

DATAOUT 出力 1 2 つのデータ入力ポート (IDATAIN または DATAIN) のいずれかの遅延データ。

CNTVALUEOUT 出力 5 タ ップ値をモニタ リ ングするために FPGA へ渡す値。

112 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

IDELAY ポート

IOB からデータ入力 - IDATAIN

IDATAIN 入力は関連する IOB によって駆動されます。 IDELAY は、 ILOGICE2/ISERDESE2 または ILOGICE3/ISERDESE2 ブロ ッ クのどちらかへ、 直接 FPGA ロジッ クへ、 あるいはこれらの

両方に、 IDELAY_VALUE で設定された遅延でデータを送信します。

FPGA ロジックからのデータ入力 - DATAIN

DATAIN 入力は遅延ラインにアクセス可能な FPGA ロジッ クで直接駆動されます。 このデータは、

DATAOUT ポー ト を介して IDELAY_VALUE で設定された遅延で FPGA ロジッ クへ戻り ます。

DATAIN はローカル反転可能です。 このデータは IOB へ送信できません。

データ出力 - DATAOUT

2 つのデータ入力ポー ト からの遅延データです。 DATAOUT は ILOGICE2/ISERDESE2 または

ILOGICE3/ISERDESE2 ブロ ッ クのどちらかを、 直接 FPGA ロジッ クを、 またはこれら両方を駆

動できます。

クロック入力 - C

IDELAYE2 プ リ ミ テ ィブのすべての制御入力 (REGRST、 LD、 CE、 INC) は、 ク ロ ッ ク入力 (C)に同期します。 IDELAY を VARIABLE、 VAR_LOAD、 VAR_LOAD_PIPE モードに設定した場

合、 クロ ッ クは必ずこのポートに接続してください。 C はローカル反転可能で、 グローバルまたは

リージ ョナル ク ロ ッ ク バッファーから供給する必要があ り ます。

モジュール ロード - LD

VARIABLE モードの場合、 IDELAY のロード ポート LD は、 IDELAY_VALUE 属性で設定した

値をロード します。 IDELAY_VALUE 属性のデフォル ト値は 0 です。 デフォル ト値を使用する場

合、 LD ポートは ILDELAY 用の非同期リセッ ト と して機能します。 LD は入力ク ロ ッ ク信号 (C)に同期したアクティブ High の信号です。

VAR_LOAD モードの場合、 IDELAY のロード ポート LD は、 CNTVALUEIN 属性で設定した値

をロード します。CNTVALUEIN[4:0] に現れる値が新しいタ ップ値となり ます。VAR_LOAD_PIPEモードの場合、 IDELAY のロード ポート LD は、パイプライン レジスタにある値をロード します。

パイプライン レジスタに現れる値が新しいタ ップ値となり ます。

C ピンの極性切り替え - CINVCTRL

CINVCTRL ピンを使用して C ピンの極性を動的に切り替えます。 この機能は、 グ リ ッチが問題に

ならないアプ リ ケーシ ョ ンで使用し ます。 極性を切 り 替える場合、 2 ク ロ ッ ク サイ クル間は

IDELAY の制御ピンを使用しないでください。

カウン ト値の入力 - CNTVALUEIN

CNTVALUEIN ピンは、 ロード可能なタ ップ値を動的に切り替える場合に使用します。

カウン ト値の出力 - CNTVALUEOUT

CNTVALUEOUT ピンは、 ロード されたなタ ップ値を読み出す場合に使用します。

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 113UG471 (v1.4) 2014 年 5 月 13 日

入力遅延リソース (IDELAY)

パイプライン レジスタのロード - LDPIPEEN

この入力が High になる と CNTVALUEIN ピンの現在の値をパイプライン レジスタにロード しま

す。

パイプライン レジスタのリセッ ト - REGRST

この入力が High になる とパイプライン レジスタの値をすべて 0 にリセッ ト します。

インクリ メン ト /デクリ メン ト信号 - CE、 INC

インク リ メン ト /デク リ メン ト機能は、 イネーブル信号 (CE) で制御されます。 このインターフェイ

スは IDELAY が VARIABLE、VAR_LOAD、VAR_LOAD_PIPE モードの場合のみ使用できます。

CE が High を維持している間、 IDELAY は 1 ク ロ ッ ク (C) サイ クルごとに TIDELAYRESOLUTION分のインク リ メン ト またはデク リ メン ト を実行します。 INC のステートによ り、 IDELAY のインク

リ メン ト またはデク リ メ ン トが決定されます。 INC = 1 のと きインク リ メン ト 、 INC = 0 のと きデ

ク リ メ ン ト します。 いずれの場合も ク ロ ッ ク (C) に同期します。 CE が Low の場合は、 INC のス

テートに関係なく IDELAY を通過する遅延は変化しません。

CE が High になる と、 ク ロ ッ クの次の立ち上がりエッジからインク リ メ ン ト /デク リ メン トが開始

します。 CE が Low になる と、 ク ロ ッ クの次の立ち上がりエッジでインク リ メ ン ト /デク リ メ ン ト

が終了します。

IDELAYE2 プ リ ミ テ ィブのプログラマブル遅延タ ップはラ ップアラウンド します。 つま り、 遅延

タ ップの 後 (タ ップ 31) に到達する と、 次のインク リ メ ン ト機能はタ ップ 0 に戻り ます。 デク リ

メン ト機能についても同様で、 タ ップ 0 に到達する と タ ップ 31 に戻り ます。

VAR_LOAD_PIPE モードのパイプライン レジスタ機能は、バス構造デザインにおいて非常に有効

です。LDPIPEEN を使用して一つずつ個別に遅延をロード (パイプライン) でき、LD ピンを使用し

て同時にすべての遅延を新しい値にアップデートできます。

114 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

IDELAY 属性

表 2-5 に、 IDELAY 属性を示します。

表 2-5 : IDELAY 属性のまとめ

属性 値 デフォルト値 説明

IDELAY_TYPE 文字列 : FIXED、

VARIABLE、VAR_LOAD、

VAR_LOAD_PIPE

FIXED タ ッ プ遅延ラ イ ンのタ イプを設定する。

FIXED : 固定遅延値を設定。

VAR_LOAD : 動的にタップ値をロード。

VARIABLE : 動的に遅延値を調整。

VAR_LOAD_PIPE : VAR_LOAD モード

と同じ機能を備えるほか、 次のアップデー

ト に備えて CNTVALUEIN の値を格納で

きる。

DELAY_SRC 文字列 : IDATAIN、

DATAINIDATAIN IDATAIN : IDELAY チェーン入力は

IDATAIN

DATAIN : IDELAY チェーン入力は

DATAIN

IDELAY_VALUE 整数 : 0 ~ 31 0 固定モードの遅延タップ数を指定する、 ま

たは VARIABLE モードの 初のタ ップ数

を指定する (入力パス)。IDELAY_TYPE がVAR_LOAD または VAR_LOAD_PIPEモードに設定されている場合、 この属性値

は無視される、 または 0 とみなされる。

HIGH_PERFORMANCE_MODE ブール値 : FALSE または

TRUETRUE この属性を TRUE にする と、出力ジッター

が削減される。 消費電力の差は、 ザイ リ ン

ク スの Xilinx Power Estimator ツールに

よって定量化される。

SIGNAL_PATTERN 文字列 : DATA または

CLOCKDATA Timing Analyzer でのタ イ ミ ング解析に、

データ パスやク ロ ッ ク パス上の遅延

チェーンに生じるジッ ターの適切な値が加

味される。

REFCLK_FREQUENCY 実数 : 190 ~ 210 または 290 ~ 310

200 Timing Analyzer がスタティ ッ ク タイ ミ ン

グ解析用に使用するタ ップ値 (MHz) を設

定する。 いずれのスピード グレードでも

290.0 ~ 310.0 の範囲は使用できない。 『7シ リーズ FPGA データシート 』 参照。

CINVCTRL_SEL ブール値 : FALSE または

TRUEFALSE CINVCTRL_SEL ピンを有効にして、C ピ

ンの極性を動的に切り替える。

PIPE_SEL ブール値 : FALSE または

TRUEFALSE パイプライン モードを選択する。

VAR_LOAD_PIPE 動作モードを使用する

場合のみこの属性を TRUE に設定する。

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 115UG471 (v1.4) 2014 年 5 月 13 日

入力遅延リソース (IDELAY)

IDELAY_TYPE 属性

IDELAY_TYPE 属性は、 使用する遅延のタイプを設定する場合に使用します。

IDELAY_TYPE を FIXED に設定する と、 IDELAY_VALUE 属性で設定したタ ップ数が遅延値と

して固定されます。 この値はあらかじめ設定され、 コンフ ィギュレーシ ョ ン後は変更できません。

IDELAY_TYPE 属性を VARIABLE に設定する と、 可変モードの遅延が選択されます。 タ ップ遅

延は、CE = 1 および INC = 1 と設定してインク リ メン ト を実行、または CE = 1 および INC = 0 と設定してデク リ メン ト を実行します。このインク リ メン ト /デク リ メン トの動作は、C に同期します。

IDELAY_TYP を VAR_LOAD または VAR_LOAD_PIPE に設定する と、可変タ ップ遅延を動的に

ロード して変更できます。 タ ップ遅延は、 CE = 1 および INC = 1 と設定してインク リ メ ン ト を実

行、 または CE = 1 および INC = 0 と設定してデク リ メン ト を実行します。 インク リ メン ト /デク リ

メ ン ト の動作は C に同期し ます。 このモード の LD ピンは、 VAR_LOAD モード の場合に

CNTVALUEIN に現れた値をロード、 または VAR_LOAD_PIPE モードの場合にパイプライン レジスタにあらかじめ書き込まれた値をロード します。 この場合、 タ ップ値を動的に設定できます。

IDELAY_VALUE 属性

IDELAY_VALUE 属性を使用してタ ップ遅延の初期値を指定します。 有効な値は、 0 から 31 まで

の整数です。 デフォル ト値は 0 です。 タ ップ遅延が リセッ ト される と (LD ピンをアサートする)、タ ップ遅延の値は IDELAY_VALUE 属性で指定した値に戻り ます。VARIABLE モードの場合、 こ

の属性によって遅延ラインの 初の設定値が決ま り ます。 VAR_LOAD または VAR_LOAD_PIPEモードの場合、 この属性は使用されません。 このため、 遅延ラインの初期値は常に 0 とな り ます。

HIGH_PERFORMANCE_MODE 属性

この属性を TRUE にする と、 出力ジッターが削減される。 これによ り、 IDELAYE2 プリ ミ ティブ

の電力消費がわずかに増加します。

SIGNAL_PATTERN 属性

ク ロ ッ ク とデータ信号では電気的特性が異なるため、IDELAY チェーンに累積するジッターの量も

異なり ます。 この属性を設定する と、 タイ ミ ングを計算する と きに、 タイ ミ ング解析ツールが適切

なジッター量を加味して解析を実行します。 ク ロ ッ ク信号は本来規則的であ り、 1 や 0 が長い間連

続するシーケンスは含まれません。 これに対してデータはランダムであるため、 1 や 0 が長く続く

シーケンスや短く続くシーケンスを含むこ とがあ り ます。

IDELAY モード

IDELAY と して使用する場合、 データは IBUF または FPGA ロジッ クのいずれかから入力され

ILOGICE2/ISERDESE2 または ILOGICE3/ISERDESE2 へと出力されます。 動作モードは 4 つあ

り、 次のとおりです。

• 固定遅延モード (IDELAY_TYPE = FIXED)

固定遅延モードの場合、IDELAY_VALUE 属性で設定したタ ップ数が遅延値と して固定されま

す。 こ の値は一度設定す る と 変更で き ま せん。 こ のモー ド を使用す る 場合は、 必ず

IDELAYCTRL プ リ ミ テ ィ ブを イ ン ス タ ンシエー ト する必要があ り ます。 詳細は、

「IDELAYCTRL の説明およびデザインのガイ ド ライン」 を参照してください。

• 可変遅延モード (IDELAY_TYPE = VARIABLE)

このモードの場合、制御信号 CE および INC を操作するこ とで、 コンフ ィギュレーシ ョ ン後に

遅延値を変更できます。 このモードを使用する場合は、必ず IDELAYCTRL プリ ミ ティブをイ

116 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

ンスタンシエートする必要があ り ます。 詳細は、 「IDELAYCTRL の説明およびデザインのガ

イ ド ラ イン」 を参照して ください。 VARIABLE モードで使用される制御ピンを表 2-6 に示し

ます。

• ロード可能な可変遅延モード (IDELAY_TYPE = VAR_LOAD)

このモードには、 IDELAY_TYPE = VARIABLE と同じ機能に加えて、 IDELAY のタ ップ値と

して FPGA ロジッ クから 5 ビッ トの値、CNTVALUEIN4:0 をロードする機能があ り ます。LD信号がパルスする と、 CNTVALUEIN<4:0> の値が新しいタ ッ プ値にな り ます。

IDELAY_VALUE 属性は無視されます。 このモードを使用する場合は、 必ず IDELAYCTRLプ リ ミ テ ィブをインスタンシエートする必要があ り ます。 詳細は、 「IDELAYCTRL の説明お

よびデザインのガイ ド ライン」 を参照して ください。 VAR_LOAD モードで使用される制御ピ

ンを表 2-7 に示します。

IDELAY タイ ミ ング

表 2-8 に、 IDELAY のスイ ッチ特性を示します。

表 2-6 : 制御ピン (IDELAY_TYPE = VARIABLE の場合)

C LD CE INC TAP の設定

0 x x x 変更なし

1 1 x x IDELAY_VALUE

1 0 0 x 変更なし

1 0 1 1 現在値 +1

1 0 1 0 現在値 -1

1 0 0 0 変更なし

表 2-7 : 制御ピン (IDELAY_TYPE = VAR_LOAD の場合)

C LD CE INC CNTVALUEIN CNTVALUEOUT TAP の設定

0 x x x x 変更なし 変更なし

1 1 x x CNTVALUEIN CNTVALUEIN CNTVALUEIN

1 0 0 x x 変更なし 変更なし

1 0 1 1 x 現在値 +1 現在値 +1

1 0 1 0 x 現在値 -1 現在値 -1

1 0 0 0 0 変更なし 変更なし

表 2-8 : IDELAY のスイッチ特性

シンボル 説明

TIDELAYRESOLUTION IDELAY タ ップの精度

TICECK/TICKCE CE ピンの C に対するセッ ト アップ/ホールド

TIINCCK/TICKINC INC ピンの C に対するセッ ト アップ/ホールド

TIRSTCK/TICKRST LD ピンの C に対するセッ ト アップ/ホールド

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 117UG471 (v1.4) 2014 年 5 月 13 日

入力遅延リソース (IDELAY)

図 2-12 に、 IDELAY (IDELAY_TYPE = VARIABLE、 IDELAY_VALUE = 0、 DELAY_SRC =IDATAIN) のタイ ミ ング図を示します。

クロック イベン ト 1

C の立ち上がりエッジでリセッ トが検出され (LD が High)、 31 タ ップ チェーンからの出力と して

DATAOUT 出力にはタップ 0 が選択されます。

クロック イベン ト 2

C の立ち上がりエッジで CE と INC のパルスがキャプチャされます。 これは、 インク リ メン ト動作

が開始されたこ とを示します。 この出力は、 グ リ ッチなしでタ ップ 0 からタ ップ 1 へ変化します。

「インク リ メン ト /デク リ メン ト動作後の安定」 を参照して ください。

クロック イベン ト 3

CE と INC は既にディアサート されているので、 インク リ メ ン ト動作が完了します。 この出力は、

LD、 CE、 または INC ピンに変化がない限り、 無限にタップ 1 を保持します。

図 2-13 に、 VAR_LOAD モードの IDELAY のタイ ミ ング図を示します。

X-Ref Target - Figure 2-12

図 2-12 : IDELAY のタイ ミング図

X-Ref Target - Figure 2-13

図 2-13 : VAR_LOAD モードの IDELAY のタイ ミング図

UG471_c2_10_011811

LD

C

1 2 3

CE

INC

DATAOUT Tap 0 Tap 1

C

LD

INC

CE

CNTVALUEIN

CNTVALUEOUT

DATAOUT

5’b00010 5’b00011 5’b01010

5’b00010 5’b01010

0 1 2 3

Tap 2 Tap 3 Tap 10

UG471_c2_11_011811

118 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

クロック イベン ト 0

LD 信号がパルスされる前であ り、 タ ップの設定、 つま り CNTVALUEOUT の値は不明です。

クロック イベン ト 1

C の立ち上がりエッジで LD の High が検出される と、 DATAOUT 出力に CNTINVALUE によっ

て指定された遅延が加わり、 タ ップ設定がタ ップ 2 に変更されます。 さ らに、 新しいタ ップ値に

よって CNTVALUEOUT が更新されます。

クロック イベン ト 2

C の立ち上がりエッジで CE と INC のパルスがキャプチャされます。 これは、 インク リ メン ト動作

が開始されたこ とを示します。 この出力は、 グ リ ッチなしでタ ップ 2 からタ ップ 3 へ変化します。

さ らに、 新しいタ ップ値によって CNTVALUEOUT が更新されます。

クロック イベン ト 3

C の立ち上がりエッジで LD の High が検出される と、 DATAOUT 出力が CNTINVALUE 分だけ

遅延します。 CNTVALUEOUT はタ ップ設定の値を示します。 出力は、 LD、 CE、 または INC ピンがアサート されるまで無限にタ ップ 10 を保持します。

インクリ メン ト /デクリ メン ト動作後の安定

図 2-12 では、 INC および CE コマンドに応答して、 タ ップ 0 からタ ップ 1 へ変化する遅延ライン

を示しています。 タ ップ 0 と タ ップ 1 のデータ値が異なる場合、 出力ステートは必ず変化します。

しかし、 タ ップ 0 と タ ップ 1 のデータ値が同じ場合 (例 : 両方と も 0 または 1)、 タ ップ 0 からタ ッ

プ 1 への遷移によ る出力にグ リ ッチやノ イズは生じません。 これは、 レシーバー データ信号が

IDELAY タ ップ チェーンを通過するこ とを想像する と理解できます。 タ ップ 0 と タ ップ 1 の両方

がレシーバー データ アイの中央にある場合、 タ ップ 0 でサンプルされたデータ と タ ップ 1 でサン

プルされたデータは同一になり ます。 このよ うな場合、 タ ップ 0 からタ ップ 1 への遷移による出力

への変化はあ り ません。 これを確実にするため、 IDELAY のインク リ メ ン ト /デク リ メ ン ト動作は

グ リ ッチがないよ うに設計されています。 同様の説明が図 2-13 に示す VAR_LOAD の動作にも適

用されます。 ただし、 VAR_LOAD は、 1 タ ップ以上の遅延を変更する可能性があ り、 現在のアイ

の中央地点から離れたサンプル ポイン ト となる可能性があ り ます。

したがってユーザーは、 実際にユーザー データが IDELAYE2 プリ ミ ティブを通過する と きに、 リ

アル タイムで IDELAY タ ップの設定を動的に変更できます。 現在の遅延ライン値が受信したデー

タ アイの中央付近に位置している限り、 この変更によってユーザー データが破損するこ とはあ り

ません。

ク ロ ッ ク信号パスに IDELAYE2 プリ ミ ティブが使用される場合にも、 グ リ ッチフ リー動作は適用

されます。 遅延ラインが受信したクロ ッ ク信号のエッジ付近に位置していない限り、 タ ップの設定

を変更するこ とによって、 グ リ ッチやデータ破損が生じるこ とはあ り ません。 この場合、 クロ ッ ク

パス上の IDELAYE2 プリ ミ ティブのタ ップ設定を変更した場合でも、 ク ロ ッ ク管理エレ メン トや

ステート マシンに影響を与えるこ とはなく、 そのクロ ッ クで動作できます。

IDELAY の VHDL および Verilog インスタンシエーシ ョ ン テンプレート

すべてのプリ ミ テ ィブとサブモジュールの VHDL および Verilog インスタンシエーシ ョ ン テンプ

レートは、 『7 シ リーズ FPGA ライブラ リ ガイ ド』 に記載されています。

VHDL のテンプレートには、 コンポーネン ト宣言のセクシ ョ ンとアーキテクチャ セクシ ョ ンがあ

り、 両セクシ ョ ンを VHDL デザイン ファ イルに挿入する必要があ り ます。 アーキテクチャ セク

シ ョ ンのポート マップには、 デザインの信号名を含めます。

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 119UG471 (v1.4) 2014 年 5 月 13 日

IDELAYCTRL

IDELAYCTRL

IDELAYCTRL の概要

IDELAYE2 または ODELAYE2 プ リ ミ テ ィブをインスタンシエートする場合は、 IDELAYCTRLモジュールもインスタンシエートする必要があ り ます。 IDELAYCTRL モジュールは、 領域内の各

遅延タップ (IDELAY/ODELAY) を継続的にキャ リブレーシ ョ ンし、 プロセス、 電圧、 温度変動に

よる影響を抑制します (121 ページの図 2-16 参照)。 IDELAYCTRL モジュールは、 ユーザー指定

の REFCLK を使用して IDELAY および ODELAY をキャ リブレーシ ョ ンします。

IDELAYCTRL プリ ミテ ィブ

図 2-14 に、 IDELAYCTRL プリ ミ ティブを示します。

IDELAYCTRL ポート

RST - リセッ ト

リ セッ ト入力ピン (RST) は、 アクティブ High の非同期リセッ トです。 IDELAY および ODELAYを正常に動作させるため、コンフ ィギュレーシ ョ ン後、REFCLK 信号が安定してから IDELAYCTRLを リセッ トする必要があ り ます。 このと き、 リセッ ト パルス幅と して TIDELAYCTRL_RPW が必要で

す。

REFCLK - 基準クロック

基準ク ロ ッ ク (REFCLK) は、 IDELAYCTRL が同じ領域内にあ るすべての IDELAY および

ODELAY モジュールをキャ リブレーシ ョ ンする と きに基準とするクロ ッ クです。 このクロ ッ クは、

必ずグローバルまたは水平クロ ッ ク バッファー (BUFG または BUFH) で駆動してください。 指定

した IDELAY および ODELAY の精度 (TIDELAYRESOLUTION) を確実にするには、 REFCLK の周

波数を FIDELAYCTRL_REF ± 指定の許容誤差 ppm (IDELAYCTRL_REF_PRECISION) の範囲と し

て ください。 REFCLK は、 ユーザー指定のソースまたは MMCM から直接供給され、 グローバル

クロ ッ ク バッファーに配線する必要があ り ます。

RDY - Ready 信号

Ready (RDY) 信号は、 ある特定の領域にある IDELAY および ODELAY モジュールのキャ リ ブ

レーシ ョ ンが完了する とアサート されます。 REFCLK が High または Low を 1 ク ロ ッ ク以上継続

する と、 RDY 信号がデ ィ アサー ト されます。 RDY 信号が Low にデ ィ アサー ト された場合、

X-Ref Target - Figure 2-14

図 2-14 : IDELAYCTRL プリ ミテ ィブ

REFCLK RDY

RST

IDELAYCTRL

ug471_c2_12_011811

120 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

IDELAYCTRL モジュールを リセッ ト しなければなり ません。 インプリ メンテーシ ョ ン ツールで、

RDY 信号を未接続に (無視) するよ うに設定できます。 図 2-15 に、 RDY および RST のタイ ミ ン

グ関係を示します。

IDELAYCTRL のタイ ミング

表 2-9 に、 IDELAYCTRL のスイ ッチ特性を示します。

図 2-15 に示すとおり、 7 シ リーズ FPGA の IDELAYCTRL RST はエッジ ト リ ガー信号です。

IDELAYCTRL の位置

IDELAYCTRL モジュールは、すべてのクロ ッ ク領域の各 I/O カラム内にあ り ます。IDELAYCTRLモジュールは、 そのクロ ッ ク領域内のすべての IDELAYE2 および ODELAYE2 モジュールをキャ

リブレーシ ョ ンします。ク ロ ッ ク領域の定義は、『7 シ リーズ FPGA ク ロ ッキング ユーザー ガイ ド』

を参照して ください。

図 2-16 に、 IDELAYCTRL モジュールの位置関係を示します。

表 2-9 : IDELAYCTRL のスイッチ特性

シンボル 説明

FIDELAYCTRL_REF REFCLK 周波数

IDELAYCTRL_REF_PRECISION REFCLK 精度

TIDELAYCTRLCO_RDY IDELAYCTRL のリセッ ト /スタート アップから Readyまでの遅延

X-Ref Target - Figure 2-15

図 2-15 : RST と RDY のタイ ミング関係

RST

REFCLK

RDYug471_c2_13_011811

TIDELAYCTRLCO_RDY

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 121UG471 (v1.4) 2014 年 5 月 13 日

OLOGIC リソース

IDELAYCTRL の説明およびデザインのガイド ライン

IDELAYCTRL の配置については、 制約ガイ ドを参照してください。

OLOGIC リソース

OLOGIC ブロ ッ クは I/O ブロ ッ ク (IOB) の隣に配置されています。OLOGIC は、 FPGA から IOBを介してデータを送出する専用同期ブロ ッ クです。 OLOGIC リ ソースには、 OLOGICE2 (HP I/Oバンク ) と OLOGICE3 (HR I/O バンク ) があ り ます。 このガイ ドでは OLOGIC と して説明してい

ますが、 特記のない限り OLOGICE2 と OLOGICE3 は同じ機能を持ち、 ポート も同様です。

ILOGICE2 と ILOGICE3 は、 インスタンシエートできないという点でプリ ミ テ ィブではあ り ませ

ん。 これらには、 配置配線後に OFD (output flip-flop) や ODDR (output DDR) などのユーザーが

インスタンシエート したエレ メン トが含まれます。

OLOGIC は、 2 つの主要ブロ ッ クで構成されており、 1 つは出力データ パスのコンフ ィギュレー

シ ョ ン用、 も う 1 つはト ライステート制御パスのコンフ ィギュレーシ ョ ン用です。 これらの 2 つの

ブロ ッ クには、 共通クロ ッ ク (CLK) があ り ますが、 イネーブル信号 (OCE と TCE) は異なり ます。

両ブロ ッ クには個別の SRVAL 属性で制御される非同期および同期セッ ト / リセッ ト (SR 信号) があ

り ます。

出力およびト ライステート パスは、 次のモードで個別にコンフ ィギュレーシ ョ ンできます。

• エッジ ト リ ガー D 型フ リ ップフロ ップ

• DDR モード (SAME_EDGE または OPPOSITE_EDGE)

• レベル認識ラ ッチ

• 非同期/組み合わせ

図 2-17 に、 OLOGIC ブロ ッ ク内のさまざまなロジッ ク リ ソースを示します。

X-Ref Target - Figure 2-16

図 2-16 : IDELAYCTRL モジュールの位置関係

Left Edge I/O

Right Edge I/O

hclkrow

I/O bank(50 I/O)

IDE

LAY

CT

RL

ug471_c2_14_021914

122 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

このセクシ ョ ンでは、 OLOGIC リ ソースを使用した場合のさまざまな機能について説明します。

組み合わせ出力データおよびト ライステート制御パス

組み合わせ出力パスを使用して、FPGA から出力ド ライバー (または出力ド ライバー制御) まで直接

接続します。 次のよ うな場合、 ソフ ト ウェアは自動的にこれらのパスを使用します。

1. FPGA 内のロジッ ク リ ソースから出力データまたはト ライステート制御までレジスタなしで

直接接続されている場合

2. ソフ ト ウェアでマップを指示する [Pack I/O Register/Latches into IOBs] がオフに設定されている

場合

Output DDR (ODDR) の概要

7 シ リーズ デバイスには、OLOGIC ブロ ッ ク内に専用レジスタがあ り、出力 DDR レジスタをイン

プリ メン トできます。 この機能は、ODDR プリ ミ ティブをインスタンシエート した場合に使用でき

ます。 OLOGIC を使用中、 DDR マルチプレクサー処理は自動的に実行されます。 マルチプレク

サーを手動で制御する必要はあ り ません。 この制御はクロ ッ クで行われます。

ODDR プリ ミ ティブには、 ク ロ ッ ク入力が 1 つだけあ り ます。 立ち下がりエッジ データは、 入力

クロ ッ クをローカル反転したクロ ッ クを使用します。 I/O タイルへ供給されるすべてのクロ ッ クは

マルチプレクスされています。 ILOGIC ブロ ッ ク間または OLOGIC ブロ ッ ク間でクロ ッ クは共有

していません。 ODDR プリ ミ ティブでは、 次の動作モードを使用できます。

• OPPOSITE_EDGE モード

• SAME_EDGE モード

SAME_EDGE モードは、 Virtex-6 アーキテクチャ と同一モードです。 このモードを使用する と、

ODDR ク ロ ッ クの立ち上がりエッジで、 ODDR プリ ミ ティブの両方のデータ入力を同時に取得で

きるよ うにな り ます。 これによ り、 CLB およびクロ ッ ク リ ソースを節約でき、 パフォーマンスが

向上します。 このモードをインプ リ メ ン トするには、 DDR_CLK_EDGE 属性を使用します。 次の

セクシ ョ ンでは、 各モードについて説明します。

X-Ref Target - Figure 2-17

図 2-17 : OLOGIC のブロック図

D1

D2

T1

T2

TCE

CLK

SR

QTQ

CE

CK

SR

ug471_c2_15_011811

D1

D2

D1

D2

OCE

QOQ

CE

CK

SR

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 123UG471 (v1.4) 2014 年 5 月 13 日

OLOGIC リソース

OPPOSITE_EDGE モード

OPPOSITE_EDGE モードでは、 ク ロ ッ ク (CLK) の両エッジを使用して、 2 倍のスループッ ト で

FPGA ファブ リ ッ クからデータをキャプチャします。 この構造は、 Virtex-6 FPGA インプ リ メ ン

テーシ ョ ンと類似しています。 両出力は、 IOB のデータ入力またはト ライステート制御入力に転送

されます。 OPPOSITE_EDGE モードにおける出力 DDR のタイ ミ ング図を図 2-18 に示します。

SAME_EDGE モード

この方法を使用した場合、 同一ク ロ ッ ク エッジで、 IOB にデータを送るこ とができます。 同一ク

ロ ッ ク エッジで IOB にデータを送るこ とによ り、 セッ ト アップ タイムの違反を回避できます。 ま

た、CLB レジスタを使用する場合に生じるレジスタ間の遅延を 小限に抑えるこ とができ、 大の

DDR 周波数で実行できます。 図 2-19 に、 SAME_EDGE モードを使用した出力 DDR のタイ ミ ン

グ図を示します。

クロックの転送

出力 DDR は、 ク ロ ッ クの複製を出力へ転送できます。 これは、 ク ロ ッ ク と DDR データを同じ遅

延で伝搬する場合や、 すべてのクロ ッ ク ロードに独自のクロ ッ ク ド ラ イバーがある場合の複数ク

ロ ッ クの生成にきわめて有効です。 この手法を実行する場合は、 ODDR プリ ミ ティブの D1 入力を

High に、 D2 入力を Low に接続します。 ザイ リ ンクスは、 この手法を使用して FPGA ファブ リ ッ

クから出力ピンへクロ ッ クを転送するこ とを推奨しています。

X-Ref Target - Figure 2-18

図 2-18 : OPPOSITE_EDGE モードの出力 DDR タイ ミング

ug471_c2_16_011811

CLK

OCE

OQ

D1

D2

D1A D2A D1B

D1A D1B D1C D1D

D2A D2B D2C D2D

D2B D1C D2C D1D

X-Ref Target - Figure 2-19

図 2-19 : SAME_EDGE モードの出力 DDR タイ ミング

ug471_c2_17_011811

CLK

OCE

OQ

D1

D2

D1A D2A D1B

D1A D1B D1C D1D

D2A D2B D2C D2D

D2B D1C D2C D1D

124 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

Output DDR (ODDR) プリ ミテ ィブ

図 2-20 に、 ODDR プリ ミ テ ィブ ブロ ッ ク図を示します。 セッ ト / リ セッ トは同時にサポート され

ていません。表 2-10 に ODDR ポート信号を示し、表 2-11 には ODDR プリ ミ ティブのさまざまな

属性とデフォルト値を示します。

ODDR の VHDL および Verilog テンプレート

『7 シ リーズ FPGA ライブラ リ ガイ ド』 に、 VHDL および Verilog を使用した ODDR モジュール

のインスタンシエーシ ョ ン テンプレートがあ り ます。

OLOGIC タイ ミ ング モデル

このセクシ ョ ンでは、OLOGIC ブロ ッ クに関するすべてのタイ ミ ング モデルについて説明します。

表 2-12 に、 『7 シ リーズ FPGA データシート 』 に記載されている OLOGIC の機能とスイ ッチ特性

に関連する制御信号を示します。

X-Ref Target - Figure 2-20

図 2-20 : ODDR プリ ミテ ィブのブロック図

表 2-10 : ODDR ポート信号

ポート名 機能 説明

Q データ出力 (DDR) ODDR レジスタ出力。

C ク ロ ッ ク入力ポート CLK ピンは、 ク ロ ッ ク入力ピンを示す。

CE ク ロ ッ ク イネーブ

ル ポート

CE は、 クロ ッ ク イネーブル ピンを示す。Low にアサート

された場合、 このポートはポート Q の出力クロ ッ クを無効

にする。

D1、 D2 データ入力 ODDR レジスタ入力。

SR セッ ト / リセッ ト 同期/非同期セッ ト / リセッ ト ピン。セッ ト / リセッ トは Highにアサート される。

表 2-11 : ODDR 属性

属性名 説明 設定可能な値

DDR_CLK_EDGE ク ロ ッ ク エッジに対する ODDR の動作

モードを設定する。

OPPOSITE_EDGE (デフ ォル

ト )、 SAME_EDGE

INIT Q ポートの初期値を設定する。 0 (デフォルト )、 1

SRTYPE ク ロ ッ ク (C) のセッ ト / リセッ ト タイプ ASYNC、 SYNC (デフォルト )

ug471_c2_18_011811

C

CE

D1

SR

Q

D2 ODDR

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 125UG471 (v1.4) 2014 年 5 月 13 日

OLOGIC リソース

タイ ミング特性

図 2-21 に、 OLOGIC 出力レジスタのタイ ミ ングを示します。

クロック イベン ト 1

• ク ロ ッ ク イベン ト 1 よ り TOOCECK 前に、出力レジスタの OCE 入力で出力クロ ッ ク イネーブ

ル信号が High (有効) になる と、 出力レジスタでのデータ受信が可能になり ます。

• ク ロ ッ ク イベン ト 1 よ り TODCK 前に、 出力レジスタの D1 入力で出力信号が High (有効) になり、 ク ロ ッ ク イベン ト 1 よ り TOCKQ 後に OQ 出力に反映されます。

クロック イベン ト 4

ク ロ ッ ク イベン ト 4 よ り TOSRCK 前に、 SR 信号 (この場合同期リセッ ト と してコンフ ィギュレー

シ ョ ン) が High (有効) になって出力レジスタがリセッ ト され、 ク ロ ッ ク イベン ト 4 よ り TRQ 後に

OQ 出力に反映されます。

表 2-12 : OLOGIC のスイッチ特性

シンボル 説明

セッ トアップ/ホールド

TODCK/TOCKD D1/D2 ピンの CLK に対するセッ ト アップ/ホールド

TOOCECK/TOCKOCE OCE ピンの CLK に対するセッ ト アップ/ホールド

TOSRCK/TOCKSR SR ピンの CLK に対するセッ ト アップ/ホールド

TOTCK/TOCKT T1/T2 ピンの CLK に対するセッ ト アップ/ホールド

TOTCECK/TOCKTCE TCE ピンの CLK に対するセッ ト アップ/ホールド

クロックから出力までの遅延

TOCKQ CLK から OQ/TQ 出力までの遅延

TRQ SR ピンから OQ/TQ 出力までの遅延

X-Ref Target - Figure 2-21

図 2-21 : OLOGIC 出力レジスタのタイ ミング特性

1 2 3 4 5

CLK

D1

OCE

SR

OQ

TOCKQ

TODCK

TOOCECK

TOSRCK

ug471_c2_19_011811

126 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

図 2-22 に、 OLOGIC ODDR レジスタのタイ ミ ングを示します。

クロック イベン ト 1

• ク ロ ッ ク イベン ト 1 よ り TOOCECK 前に、ODDR レジスタの OCE 入力で ODDR ク ロ ッ ク イネーブル信号が High (有効) になる と、データ受信が可能になり ます。ODDR レジスタの OCE信号を CLK の立ち上がりエッジと立ち下がりエッジ間で ト グルする場合は注意が必要です。

• また、両クロ ッ ク エッジに対するレジスタのセッ ト アップ タイムも満たす必要があ り ます。ク

ロ ッ ク イベン ト 1 (CLK の立ち上がりエッジ) よ り TODCK 前に、 ODDR レジスタの D1 入力

でデータ信号 D1 が High (有効) になり、 ク ロ ッ ク イベン ト 1 よ り TOCKQ 後に OQ 出力に反

映されます。

クロック イベン ト 2

• ク ロ ッ ク イベン ト 2 (CLK の立ち下がりエッジ) よ り TODCK 前に、 ODDR レジスタの D2 入力でデータ信号 D2 が High (有効) になり、 ク ロ ッ ク イベン ト 2 よ り TOCKQ 後に OQ 出力に

反映されます (この場合、 OQ 出力は変化しない)。

クロック イベン ト 9

ク ロ ッ ク イベン ト 9 (CLK の立ち上がりエッジ) よ り TOSRCK 時間前に、 SR 信号 (この場合、同期

リセッ ト と してコンフ ィギュレーシ ョ ン) が High (有効) になる と、 ODDR レジスタが リセッ ト さ

れてクロ ッ ク イベン ト 9 よ り TRQ 時間後にOQ 出力に反映されます (この場合、OQ 出力は変化し

ない) 。さ らに、ODDR レジスタがリセッ ト されてクロ ッ ク イベン ト 10 よ り TRQ 時間後に OQ 出力に反映されます (この場合、 OQ 出力は変化しない)。

X-Ref Target - Figure 2-22

図 2-22 : OLOGIC ODDR レジスタのタイ ミング特性 (OPPOSITE_EDGE モード )

1 2 3 4 5 6 7 8 9 10 11

TODCK

TOOCECK

TODCK

TOSRCK

TRQ

CLK

D1

D2

OCE

SR

OQTOCKQ

ug471_c2_20_011811

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 127UG471 (v1.4) 2014 年 5 月 13 日

OLOGIC リソース

図 2-23 に、 OLOGIC ト ラ イステート レジスタのタイ ミ ングを示します。

クロック イベン ト 1

• ク ロ ッ ク イベン ト 1 よ り TOTCECK 前に、 ト ラ イステート レジスタの TCE 入力でト ライス

テート ク ロ ッ ク イネーブル信号が High (有効) になる と、 ト ラ イステート レジスタでのデー

タ受信が可能になり ます。

• ク ロ ッ ク イベン ト 1 よ り TOTCK 前に、ト ラ イステート レジスタの T 入力でト ライステート信

号が High (有効) になり、 ク ロ ッ ク イベン ト 1 よ り TOCKQ 後にパッ ドがハイ インピーダンス

に戻り ます。

クロック イベン ト 2

• ク ロ ッ ク イベン ト 2 よ り TOSRCK 時間前に、 SR 信号 (この場合同期リセッ ト と してコンフ ィ

ギュレーシ ョ ン) が High (有効) になり、 ク ロ ッ ク イベン ト 2 よ り TRQ 時間後にト ライステー

ト レジスタがリセッ ト されます。

X-Ref Target - Figure 2-23

図 2-23 : OLOGIC ト ライステート レジスタのタイ ミング特性

1 2 3 4 5

CLK

T1

TCE

SR

TQ

TOCKQ TRQ

TOTCK

TOTCECK

TOSRCK

UG471_c2_21_011811

128 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

図 2-24 に、IOB DDR ト ラ イステート レジスタ タイ ミ ングを示します。これは、OPPOSITE_EDGEモードの DDR を使用した例です。 その他のモードを使用する場合は、 107 ページの図 2-7 に示す

適切なレイテンシを追加して ください。

クロック イベン ト 1

• ク ロ ッ ク イベン ト 1 よ り TOTCECK 前に、ト ラ イステート ODDR レジスタの TCE 入力でト ラ

イステート ク ロ ッ ク イネーブル信号が High (有効) になる と、 ト ラ イステート レジスタでの

データ受信が可能になり ます。 ト ラ イステート ODDR レジスタの TCE 信号を CLK の立ち上

がりエッジと立ち下がりエッジ間で ト グルする場合は注意が必要です。

• また、両クロ ッ ク エッジに対するレジスタのセッ ト アップ タイムも満たす必要があ り ます。ク

ロ ッ ク イベン ト 1 (CLK の立ち上がりエッジ) よ り TOTCK 前に、 ト ラ イステート レジスタの

T1 入力で ト ラ イ ステー ト 信号 T1 入力が High (有効) にな り、 ク ロ ッ ク イベン ト 1 よ り

TOCKQ 後に TQ 出力に反映されます。

クロック イベン ト 2

• ク ロ ッ ク イベン ト 2 (CLK の立ち下がりエッジ) よ り TOTCK 時間前に、 ト ラ イステート レジ

スタの T2 入力で ト ラ イ ステー ト信号 T2 が High (有効) にな り、 ク ロ ッ ク イベン ト 2 よ り

TOCKQ 時間後に TQ 出力に反映されます (この場合、 TQ 出力は変化しない)。

クロック イベン ト 9

• ク ロ ッ ク イベン ト 9 (CLK の立ち上がりエッジ) よ り TOSRCK 時間前に、 SR 信号 (この場合、

同期リセッ ト と してコンフ ィギュレーシ ョ ン) が High (有効) になる と、 ト ラ イステート レジ

スタが リセッ ト されてク ロ ッ ク イベン ト 9 よ り TRQ 時間後に TQ 出力に反映されます (この

場合、 TQ 出力は変化しない)。 さ らに、 ト ラ イステート レジスタが リセッ ト されてク ロ ッ ク

イベン ト 10 よ り TRQ 時間後に TQ 出力に反映されます (この場合、 TQ 出力は変化しない)。

X-Ref Target - Figure 2-24

図 2-24 : OLOGIC ODDR ト ライステート レジスタのタイ ミング特性

1 2 3 4 5 6 7 8 9 10 11

TOTCK

TOTCECK

TOTCK

TOSRCK

TRQ

CLK

T1

T2

TCE

SR

TQTOCKQ

ug471_c2_22_011811

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 129UG471 (v1.4) 2014 年 5 月 13 日

出力遅延リソース (ODELAY) ― HR バンクでは使用不可

出力遅延リソース (ODELAY) ― HR バンクでは使用不可

すべての HP I/O ブロ ッ クには、 ODELAYE2 と呼ばれるプログラム可能な絶対遅延プリ ミ テ ィブ

が 1 つあ り ます。 ODELAY は、 OLOGICE2/OSERDESE2 ブロ ッ クに接続できます。 ODELAYは、 キャ リブレーシ ョ ンされた 31 段階ラ ップアラウンド式のタ ップ精度を持つ遅延プリ ミ テ ィブ

です。 遅延値は、 『7 シ リーズ FPGA データシート 』 を参照して ください。 この遅延は、 組み合わ

せ出力パスやレジスタ付きの出力パスに適用できます。 また、 ファブ リ ッ クから直接アクセスも可

能です。 ODELAY は、 出力信号に対して個別に遅延を与えるこ とができます。 タ ップ遅延の精度

は、 『7 シ リーズ FPGA データシート 』 に記載された範囲内の IDELAYCTRL 基準クロ ッ クを選択

して変更できます。

ODELAYE2 プリ ミテ ィブ

図 2-25 に、 ODELAYE2 プリ ミ ティブを示します。

表 2-13 に、 ODELAYE2 プリ ミ ティブで使用可能なポート を示します。

X-Ref Target - Figure 2-25

図 2-25 : ODELAYE2 プリ ミテ ィブ

C DATAOUT

INC

CE

CLKIN

ODATAIN

REGRST

LD

CINVCTRL

CNTVALUEIN[4:0]

LDPIPEEN

CNTVALUEOUT[4:0]

ODELAYE2

ug471_c2_23_0118

表 2-13 : ODELAYE2 プリ ミテ ィブ ポート

ポート名 方向 幅 機能

C 入力 1 VARIABLE、 VAR_LOAD、 VAR_LOAD_PIPE モードで使用されるクロ ッ ク入

力。

REGRST 入力 1 パイプライン レジスタの値をすべて 0 にリセッ トする。

LD 入力 1

VARIABLE モードの場合は、 あらかじめプログラムされた値を ODELAY プリ

ミ テ ィブにロードする。 VAR_LOAD モードの場合は、 CNTVALUEIN の値を

ロードする。 VAR_LOAD_PIPE モードの場合は、現在パイプライン レジスタに

ある値をロードする。

CE 入力 1 インク リ メン ト /デク リ メン ト機能を有効にする。

INC 入力 1 タ ップ遅延数をインク リ メン ト /デク リ メン トする。

CINVCTRL 入力 1 ク ロ ッ ク (C) 極性を動的に反転する。

CNTVALUEIN 入力 5 動的にロード可能なタ ップ値と して FPGA ロジッ クから送信される入力値。

130 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

ODELAY ポート

FPGA OLOGICE2/OSERDESE2 からのデータ入力 - ODATAIN

ODATAIN 入力は、 OLOGICE2/OSERDESE2 で駆動されます。 ODATAIN は、 IOB へ接続されて

いる DATAOUT ポート を ODELAY_VALUE で設定された遅延で駆動します。

クロック バッファーからのクロック入力 - CLKIN

CLKIN 入力は、 ク ロ ッ ク バッファー (BUFIO、 BUFG、 または BUFR) から駆動されます。 この

クロ ッ クは、 DATAOUT ポート を介して ODELAY_VALUE で設定された遅延で FPGA ロジッ ク

へ戻り ます。

データ出力 - DATAOUT

2 つのデータ入力ポートのいずれか一方からの遅延データです。 DATAOUT は IOB へ接続します。

クロック入力 - C

ODELAYE2 プリ ミ ティブのすべての制御入力 (LD、 CE、 INC) は、 クロ ッ ク入力 (C) に同期しま

す。 ODELAY を VARIABLE、 VAR_LOAD、 または VAR_LOAD_PIPE モードに設定した場合、

クロ ッ クは必ずこのポートに接続して ください。 C はローカル反転可能で、 グローバルまたはリー

ジ ョナル ク ロ ッ ク バッファーから供給する必要があ り ます。 このク ロ ッ クは、 SelectIO ロジッ ク

リ ソ ース で使用 し てい る も の と 同 じ ク ロ ッ ク に接続 し な ければな り ません。 た と えば、

OSERDESE2 を使用する場合、 C は CLKDIV と同じ クロ ッ クに接続します。

モジュール ロード - LD

VARIABLE モードの場合、ODELAY のロード ポート LD は、ODELAY_VALUE 属性で設定した

値を遅延プリ ミ ティブにロード します。 これらの属性が指定されていない場合は、 0 を想定します。

LD は入力クロ ッ ク信号 (C) に同期したアクティブ High の信号です。

VAR_LOAD モードの場合、 ODELAY のロード ポート LD は、 CNTVALUEIN 属性で設定した値

を遅延プ リ ミ テ ィブにロード します。 CNTVALUEIN[4:0] に現れる値が新しいタ ップ値とな り ま

す。 このため、 ODELAY_VALUE 属性は無視されます。

VAR_LOAD_PIPE モードの場合、 IDELAY のロード ポート LD は、 パイプライン レジスタにあ

る値をロード します。 パイプライン レジスタに現れる値が新しいタ ップ値となり ます。

パイプライン レジスタのロード - LDPIPEEN

この入力が High になる と CNTVALUEIN ピンの現在の値をパイプライン レジスタにロード しま

す。

CLKIN 入力 1 ODELAY のクロ ッ ク入力 (I/O CLKMUX から )。

ODATAIN 入力 1 OLOGICE2/OSERDESE2 から ODELAY へのデータ入力。

LDPIPEEN 入力 1CNTVALUEIN からパイプラ イン レジスタへのデータのロード を有効

にする。

DATAOUT 出力 1 2 つのデータ入力ポート (ODATAIN および CLKIN) のいずれかの遅延データ。

CNTVALUEOUT 出力 5 タ ップ値をモニタ リ ングするために FPGA へ渡す現在の遅延値。

表 2-13 : ODELAYE2 プリ ミテ ィブ ポート (続き)

ポート名 方向 幅 機能

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 131UG471 (v1.4) 2014 年 5 月 13 日

出力遅延リソース (ODELAY) ― HR バンクでは使用不可

パイプライン レジスタのリセッ ト - REGRST

この入力が High になる とパイプライン レジスタの値をすべて 0 にリセッ ト します。

C ピンの極性切り替え - CINVCTRL

CINVCTRL ピンを使用して C ピンの極性を動的に切り替えます。 この機能は、 グ リ ッチが問題に

ならないアプ リ ケーシ ョ ンで使用し ます。 極性を切 り 替える場合、 2 ク ロ ッ ク サイ クル間は

ODELAY 制御ピンを使用しないでください。

カウン ト値の入力 - CNTVALUEIN

CNTVALUEIN ピンは LD ピンと併用して、ロード可能なタ ップ値を動的に切り替える場合に使用

します。

カウン ト値の出力 - CNTVALUEOUT

CNTVALUEOUT ピンは、 ロード されたなタ ップ値を読み出す場合に使用します。

インクリ メン ト /デクリ メン ト信号 - CE、 INC

インク リ メン ト /デク リ メン ト機能は、 イネーブル信号 (CE) で制御されます。 このインターフェイ

スは ODELAY が VARIABLE、 VAR_LOAD、 または VAR_LOAD_PIPE モードの場合のみ使用

できます。

CE が High を維持している間、 ODELAY は 1 ク ロ ッ ク (C) サイクルごとに TIDELAYRESOLUTION分インク リ メ ン ト またはデク リ メン ト します。 INC のステートによ り、 ODELAY のインク リ メン

ト またはデク リ メ ン トが決定されます。 INC = 1 のと きインク リ メン ト 、 INC = 0 のと きデク リ メ

ン ト します。いずれの場合も クロ ッ ク (C) に同期します。 CE が Low の場合は、 INC のステートに

関係なく ODELAY を通過する遅延は変化しません。

CE が High になる と、 ク ロ ッ クの次の立ち上がりエッジからインク リ メ ン ト /デク リ メン トが開始

します。 CE が Low になる と、 ク ロ ッ クの次の立ち上がりエッジでインク リ メ ン ト /デク リ メ ン ト

が終了します。

ODELAYE2 プリ ミ ティブのプログラマブル遅延タ ップはラ ップアラウンド します。 つま り、 遅延

タ ップの 後 (タ ップ 31) に到達する と、 次のインク リ メ ン ト機能はタ ップ 0 に戻り ます。 デク リ

メン ト機能についても同様で、 タ ップ 0 に到達する と タ ップ 31 に戻り ます。

VAR_LOAD_PIPE モードのパイプライン レジスタ機能は、バス構造デザインにおいて非常に有効

です。LDPIPEEN を使用して一つずつ個別に遅延をロード (パイプライン) でき、LD ピンを使用し

て同時にすべての遅延を新しい値にアップデートできます。

132 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

ODELAY 属性

表 2-14 に、 ODELAY 属性を示します。

ODELAY_TYPE 属性

FIXED に設定する と、 ODELAY_VALUE 属性で設定したタ ップ数が遅延値と して固定されます。

この値はあらかじめ設定され、 コンフ ィギュレーシ ョ ン後は変更できません。

VARIABLE に設定する と、可変タップ遅延が選択されます。 タップ遅延は、 CE = 1 および INC = 1と設定してインク リ メン ト を実行、または CE = 1 および INC = 0 と設定してデク リ メン ト を実行し

ます。 このインク リ メン ト /デク リ メン トの動作は、 C に同期します。

表 2-14 : ODELAY属性のまとめ

属性 値 デフォルト値 説明

ODELAY_TYPE 文字列 : FIXED、

VARIABLE、VAR_LOAD、

VAR_LOAD_PIPE

FIXED タ ップ遅延ラインのタイプを設定する。

FIXED : 固定遅延値を設定。

VAR_LOAD : 動的にタップ値をロード。

VARIABLE : 動的に遅延値を調整。

VAR_LOAD_PIPE : VAR_LOAD モード と同じ機

能を備え る ほか、 次のア ッ プデー ト に備えて

CNTVALUEIN の値を格納できる。

ODELAY_VALUE 整数 : 0 ~ 31 0 固定モードの遅延タップ数を指定する、または可変

モードの 初のタ ップ数を指定する (出力パス)。ODELAY_TYPE が VAR_LOAD または

VAR_LOAD_PIPE モード に設定されている場

合、 この属性値は無視される、 または 0 とみなさ

れる。

HIGH_PERFORMANCE_MODE ブール値 : FALSEまたは TRUE

FALSE この属性を TRUE にする と、出力ジッ ターが削減

される。 消費電力の差は、 ザイ リ ンクスの XilinxPower Estimator ツールによって定量化される。

SIGNAL_PATTERN 文字列 : DATA または CLOCK

DATA Timing Analyzer でのタイ ミ ング解析に、 データ

パスやク ロ ッ ク パス上の遅延チェーンに生じ る

ジッ ターの適切な値が加味される。

REFCLK_FREQUENCY 実数 : 190 ~ 210 または 290 ~ 310

200 Timing Analyzer がスタティ ッ ク タイ ミ ング解析

用に使用するタ ップ値 (MHz) を設定する。いずれ

のスピード グレードでも 290.0 ~ 310.0 の範囲は

使用できない。 『7 シ リーズ FPGA データシート 』

を参照してください。

CINVCTRL_SEL ブール値 : FALSE または TRUE

FALSE CINVCTRL_SEL ピンを有効にして、C ピンの極

性を動的に切り替える。

PIPE_SEL ブール値 : FALSE または TRUE

FALSE パイプラ イ ン モード を選択する。

VAR_LOAD_PIPE 動作モードを使用する場合の

みこの属性を TRUE に設定する。

DELAY_SRC 文字列 : ODATAIN、

CLKIN

ODATAIN ODELAY ブロ ッ クのデータ入力ソースを選択す

る。

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 133UG471 (v1.4) 2014 年 5 月 13 日

出力遅延リソース (ODELAY) ― HR バンクでは使用不可

VAR_LOAD または VAR_LOAD_PIPE に設定する と、可変タ ップ遅延を動的にロード して変更で

きます。 タ ップ遅延は、 CE = 1 および INC = 1 と設定してインク リ メン ト を実行、 または CE = 1および INC = 0 と設定してデク リ メ ン ト を実行します。 インク リ メ ン ト /デク リ メ ン トの動作は Cに同期します。 このモードの LD ピンは、 CNTVALUEIN に現れた値をロード します。 この場合、

タ ップ値を動的に設定できます。 VAR_LOAD_PIPE モードの場合、 LD ピンは、 出力遅延にロー

ド されるパイプライン レジスタ内の現在の値を有効にします。

ODELAY_VALUE 属性

ODELAY_VALUE 属性 は、 タ ップ遅延の値を指定します。 有効な値は、 0 から 31 までの整数で

す。 デフォルト値は 0 です。 LD 信号をアサートするこ とでタ ップ遅延を リセッ トする と、 その値

は ODELAY_VALUE 属性で指定した値に戻り ます。VAR_LOAD または VAR_LOAD_PIPE モー

ドの場合、 この属性値は 0 とみなされます。

HIGH_PERFORMANCE_MODE 属性

この属性を TRUE にする と、出力ジッターが削減される。 これによ り、 ODELAYE2 プリ ミ ティブ

の電力消費がわずかに増加します。

SIGNAL_PATTERN 属性

ク ロ ッ ク信号とデータ信号は異なる電気特性を持つため、 ODELAY チェーンに累積するジッ ター

の量は異なり ます。 この属性を設定する と、 タイ ミ ングを計算する と きに、 タイ ミ ング解析ツール

が適切なジッター量を加味して解析を実行します。 ク ロ ッ ク信号は本来規則的であ り、 1 や 0 が長

い間連続するシーケンスは含まれません。 これに対してデータはランダムであるため、 1 や 0 が長

く続くシーケンスや短く続くシーケンスを含むこ とがあ り ます。

ODELAY モード

ODELAY と して使用する場合、 データは IBUF または FPGA ロジッ クのいずれかから入力され

ILOGICE2/ISERDESE2 または ILOGICE3/ISERDESE2 へと出力されます。 動作モードは 4 つあ

り、 次のとおりです。

• 固定遅延モード (ODELAY_TYPE = FIXED)

固定遅延モードの場合、 ODELAY_VALUE 属性で設定したタ ップ数が遅延値と して固定され

ます。 こ の値は一度設定する と 変更で き ません。 こ のモー ド を使用する場合は、 必ず

IDELAYCTRL プ リ ミ テ ィ ブを イ ン ス タ ンシエー ト する必要があ り ます。 詳細は、

「IDELAYCTRL の説明およびデザインのガイ ド ライン」 を参照してください。

• 可変遅延モード (ODELAY_TYPE = VARIABLE)

このモードの場合、制御信号 CE および INC を操作するこ とで、 コンフ ィギュレーシ ョ ン後に

遅延値を変更できます。 このモードを使用する場合は、必ず IDELAYCTRL プリ ミ ティブをイ

ンスタンシエートする必要があ り ます。 詳細は、 「IDELAYCTRL の説明およびデザインのガ

イ ド ラ イン」 を参照して ください。 VARIABLE モードで使用される制御ピンを表 2-6 に示し

ます。

表 2-15 : 制御ピン (ODELAY_TYPE = VARIABLE の場合)

C LD CE INC TAP の設定

0 x x x 変更なし

1 1 x x ODELAY_VALUE

1 0 0 x 変更なし

134 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

• ロード可能な可変遅延モード (ODELAY_TYPE = VAR_LOAD)

このモードは、 (ODELAY_TYPE = VARIABLE) と同じ機能を保有し、 さ らに FPGA ロジッ

クから 5 入力ビッ ト CNTVALUEIN4:0 を介して ODELAY タ ップ値をロードできます。 LD信号がパルスする と、 CNTVALUEIN<4:0> の値が新しいタ ップ値にな り ます。 このため、

ODELAY_VALUE 属性は無視されます。 このモードを使用する場合は、 必ず IDELAYCTRLプ リ ミ テ ィブをインスタンシエートする必要があ り ます。 詳細は、 「IDELAYCTRL の説明お

よびデザインのガイ ド ライン」 を参照して ください。 VAR_LOAD モードで使用される制御ピ

ンを表 2-7 に示します。

ODELAY タイ ミング

表 2-17 に、 ODELAY のスイ ッチ特性を示します。

図 2-26 に、ODELAY E2 (ODELAY_TYPE = VARIABLE、ODELAY_VALUE = 0、DELAY_SRC= CLKIN/ODATAIN) のタイ ミ ング図を示します。

1 0 1 1 現在値 +1

1 0 1 0 現在値 -1

1 0 0 0 変更なし

表 2-16 : 制御ピン (ODELAY_TYPE=VAR_LOAD の場合)

C LD CE INC CNTVALUEIN CNTVALUEOUT TAP の設定

0 x x x x 変更なし 変更なし

1 1 x x CNTVALUEIN CNTVALUEIN CNTVALUEIN

1 0 0 x x 変更なし 変更なし

1 0 1 1 x 現在値 +1 現在値 +1

1 0 1 0 x 現在値 -1 現在値 -1

1 0 0 0 0 変更なし 変更なし

表 2-15 : 制御ピン (ODELAY_TYPE = VARIABLE の場合) (続き)

C LD CE INC TAP の設定

表 2-17 : ODELAY のスイッチ特性

シンボル 説明

TIDELAYRESOLUTION IDELAY タ ップの精度

TICECK/TICKCE CE ピンの C に対するセッ ト アップ/ホールド

TIINCCK/TICKINC INC ピンの C に対するセッ ト アップ/ホールド

TIRSTCK/TICKRST LD ピンの C に対するセッ ト アップ/ホールド

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 135UG471 (v1.4) 2014 年 5 月 13 日

出力遅延リソース (ODELAY) ― HR バンクでは使用不可

クロック イベン ト 1

C の立ち上がりエッジでリセッ トが検出され (LD が High)、 31 タ ップ チェーンからの出力と して

DATAOUT 出力にはタップ 0 が選択されます。

クロック イベン ト 2

C の立ち上がりエッジで CE と INC のパルスがキャプチャされます。 これは、 インク リ メン ト動作

が開始されたこ とを示します。 この出力は、 グ リ ッチなしでタ ップ 0 からタ ップ 1 へ変化します。

「インク リ メン ト /デク リ メン ト動作後の安定」 を参照して ください。

クロック イベン ト 3

CE と INC は既にディアサート されているので、 インク リ メ ン ト動作が完了します。 この出力は、

LD、 CE、 または INC ピンに変化がない限り、 無限にタップ 1 を保持します。

図 2-27 に、 ODELAY のタイ ミ ング図を示します。

クロック イベン ト 0

LD 信号がパルスされる前であ り、 タ ップの設定および CNTVALUEOUT の値は不明です。

X-Ref Target - Figure 2-26

図 2-26 : ODELAY のタイ ミング図 (VARIABLE モード )

X-Ref Target - Figure 2-27

図 2-27 : VAR_LOAD モードの ODELAY のタイ ミング図

UG471_c2_24_011811

LD

C

1 2 3

CE

INC

DATAOUT Tap 0 Tap 1

C

LD

INC

CE

CNTVALUEIN

CNTVALUEOUT

DATAOUT

5’b00010 5’b00011 5’b01010

5’b00010 5’b01010

0 1 2 3

Tap 2 Tap 3 Tap 10

UG471_c2_25_011811

136 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 2 章 : SelectIO ロジック リソース

クロック イベン ト 1

C の立ち上がりエッジで LD の High が検出される と、 DATAOUT 出力が CNTINVALUE に等し

くな り、タ ップ設定がタ ップ 2 に変更されます。さ らに、新しいタ ップ値によって CNTVALUEOUTが更新されます。

クロック イベン ト 2

C の立ち上がりエッジで CE と INC のパルスがキャプチャされます。 これは、 インク リ メン ト動作

が開始されたこ とを示します。 この出力は、 グ リ ッチなしでタ ップ 2 からタ ップ 3 へ変化します。

さ らに、 新しいタ ップ値によって CNTVALUEOUT が更新されます。

クロック イベン ト 3

C の立ち上がりエッジで LD が検出される と、 DATAOUT 出力が CNTINVALUE に等し くな り ま

す。 CNTVALUEOUT はタップ設定の値を示します。出力は、 LD、 CE、 または INC ピンがアサー

ト されるまで無限にタ ップ 10 を保持します。

インクリ メン ト /デクリ メン ト動作後の安定

図 2-26 では、 INC および CE コマンドに応答してタ ップ 0 からタ ップ 1 へ変化する ODELAY ラインを示しています。 タ ップ 0 と タ ップ 1 のデータ値が異なる場合、出力ステートは必ず変化しま

す。 しかし、 タ ップ 0 と タ ップ 1 のデータ値が同じ場合 (例 : 両方と も 0 または 1)、 タ ップ 0 から

タ ップ 1 への遷移による出力にグ リ ッチやノ イズは生じません。 これは、 ト ランス ミ ッ ター データ

信号が ODELAY タ ップ チェーンを通過するこ とを想像する と理解できます。 タ ップ 0 と タ ップ 1が両方と も、 送信された信号の中央付近に位置している場合、 タ ップ 0 でサンプルされたデータ と

タ ップ 1 でサンプルされたデータは同一になり ます。 このよ うな場合、 タ ップ 0 からタ ップ 1 への

遷移による出力への変化はあ り ません。 これを確実にするため、 ODELAY のインク リ メン ト /デク

リ メン ト動作はグ リ ッチがないよ うに設計されています。

したがってユーザーは、実際にユーザー データが ODELAYE2 プリ ミ ティブを通過する と きに、 リ

アル タイムで ODELAY タ ップの設定を動的に変更できます。現在の遅延ライン値が、送信された

データ信号の中央付近に位置している限り、この変更によってユーザー データが破損するこ とはあ

り ません。

ク ロ ッ ク信号パスに ODELAYE2 プリ ミ ティブが使用される場合にも、グ リ ッチフ リー動作は適用

されます。 タ ップ設定を変更しても、 出力にグ リ ッチが発生したり、 中断したりするこ とはあ り ま

せん。

ODELAY の VHDL および Verilog インスタンシエーシ ョ ン テンプレート

すべてのプリ ミ テ ィブとサブモジュールの VHDL および Verilog インスタンシエーシ ョ ン テンプ

レートは、 『7 シ リーズ FPGA ライブラ リ ガイ ド』 に記載されています。

VHDL のテンプレートには、 コンポーネン ト宣言のセクシ ョ ンとアーキテクチャ セクシ ョ ンがあ

り、 両セクシ ョ ンを VHDL デザイン ファ イルに挿入する必要があ り ます。 アーキテクチャ セク

シ ョ ンのポート マップには、 デザインの信号名を含めます。

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 137UG471 (v1.4) 2014 年 5 月 13 日

第 3 章

アドバンス SelectIO ロジック リソース

はじめに

このユーザー ガイ ドの第 1 章~第 3 章では、7 シ リーズ FPGA の I/O の機能について説明します。

• 第 1 章では、入力レシーバーと出力ド ライバーの電気的特性および業界規格との互換性につい

て説明します。

• 第 2 章では、 SDR または DDR データの送受信専用のレジスタ構造について説明します。

• この章では、 次のリ ソースをはじめとするその他の高度な リ ソースについて説明します。

• 入力 シ リ アル-パラレル コンバーター (ISERDESE2) および出力 パラレル-シ リ アル コン

バーター (OSERDESE2) は、 非常に高速な I/O データ レート をサポートするため、 内部

ロジッ クは 大で I/O の 1/8 の速度で動作できます。

• Bitslip サブモジュールは、 ト レーニング パターンを使用してワードの境界を検出し、デー

タをその境界に一致させます。

入力 シリアル-パラレル ロジック リソース (ISERDESE2)7 シ リーズ FPGA の ISERDESE2 は、高速なソース同期アプリ ケーシ ョ ンのインプリ メンテーシ ョ

ンを容易にするために設計された、 固有のク ロ ッキングと ロジッ ク機能を備えた シ リ アル-パラレ

ル コンバーターです。 ISERDESE2 を使用するこ とによって、 デシ リ アラ イザーを FPGA ファブ

リ ッ クによって設計する際に、 タイ ミ ングが一層複雑になるこ とを回避できます。

ISERDESE2 には、 次の機能があ り ます。

• 専用デシ リ アライザー /シ リ アル-パラレル コンバーター

ISERDESE2 デシ リ アライザーによって、 FPGA ファブリ ッ クの動作速度を入力データ周波数

と一致させる こ とな く高速なデータ転送が可能にな り ます。 このコンバーターは、 シングル

データ レート (SDR) およびダブル データ レート (DDR) の両モードをサポート します。 SDRモードでは、 シ リ アル-パラレル コンバーターが 2、 3、 4、 5、 6、 7 または 8 ビッ ト幅のパラ

レル ワードを作成します。 DDR モードの場合、 ISERDESE2 単体では 4、 6、 8 ビッ ト幅、 2つの ISERDESE2 をカスケード接続すればさらに 10 または 14 ビッ ト幅のパラレル ワードを

作成できます。

• Bitslip サブモジュール

Bitslip サブモジュールを使用するこ とによって、 FPGA ファブ リ ッ クに入るパラレル データ

ス ト リームの順序を並べ替えるこ とができます。 このサブモジュールは、 ト レーニング パター

ンがある ト レーニング ソース同期インターフェイスに使用できます。

• ス ト ローブ ベースのメモ リ インターフェイス専用サポート

138 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

ISERDESE2 には、 OCLK 入力ピンを含む専用回路があ り、 ISERDESE2 ブロ ッ ク内でス ト

ローブから FPGA ク ロ ッ ク ド メ インへの切り換えをすべて処理します。 このため、 パフォー

マンスが向上し、 インプリ メンテーシ ョ ンが簡素化されます。

• ネッ ト ワーキング インターフェイスの専用サポート

• DDR3 インターフェイスの専用サポート

• QDR インターフェイスの専用サポート

• 非同期インターフェイスの専用サポート

図 3-1 は、ISERDESE2 の主要なコンポーネン ト と機能 (オプシ ョ ンのインバーターを含む) を示し

たブロ ッ ク図です。

X-Ref Target - Figure 3-1

図 3-1 : ISERDESE2 のブロック図

UG471_c3_01_080210

OFB

O

IOBMultiplexers

DDLY SHIFTIN1/2

SHIFTOUT1/2

Q1:Q8CE1

CEModule

Serial-to-Parallel

Converter

BitslipModule

CE2

RST

BITSLIP

D

DYNCLKSEL

CLKB

CLK

DYNCLKDIVSEL

CLKDIV

CLKDIVP

OCLK

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 139UG471 (v1.4) 2014 年 5 月 13 日

入力 シリアル-パラレル ロジック リソース (ISERDESE2)

ISERDESE2プリ ミテ ィブ (ISERDESE2)7 シ リーズ デバイスの ISERDESE2 プリ ミ ティブは、 ISERDESE2 です (図 3-2 参照)。

表 3-1 に、 ISERDESE2 プリ ミ ティブで使用可能なポート を示します。

X-Ref Target - Figure 3-2

図 3-2 : ISERDESE2 プリ ミテ ィブ

UG471_c3_02_090810

BITSLIP

CE1

CE2

CLK

CLKB

OCLK

OCLKB

CLKDIVP

CLKDIV

DYNCLKSEL

DYNCLKDIVSEL

SHIFTIN1

SHIFTIN2

RST

D

DDLY

OFB

Q1

Q2

Q3

Q4

Q5

Q6

Q7

Q8

SHIFTOUT1

SHIFTOUT2

O

ISERDESE2Primitive

表 3-1 : ISERDESE2 のポート一覧および定義

ポート名 タイプ 幅 説明

Q1-Q8 出力 各 1 レジスタ付き出力。 「レジスタ付き出力 - Q1 ~ Q8」 を参照。

O 出力 1 組み合わせ出力。 「組み合わせ出力 – O」 を参照。

SHIFTOUT1 出力 1 データ幅拡張用のキャ リー出力。 スレーブ IOB の SHIFTIN1 に接続。

「ISERDESE2 のビッ ト幅拡張」 を参照。

SHIFTOUT2 出力 1 データ幅拡張用のキャ リー出力。 スレーブ IOB の SHIFTIN2 に接続。

「ISERDESE2 のビッ ト幅拡張」 を参照。

D 入力 1 IOB からのシ リ アル入力データ。「IOB からのシ リ アル入力データ - D」 を参照。

DDLY 入力 1 IDELAYE2 からのシ リ アル入力データ。 「IDELAYE2 からのシ リ アル入力デー

タ - DDLY」 を参照。

140 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

ISERDESE2 ポート

レジスタ付き出力 - Q1 ~ Q8

出力ポート Q1 ~ Q8 は、 ISERDESE2 モジュールのレジスタ付き出力です。 1 つの ISERDESE2ブロ ッ クは 大 8 ビッ ト (1:8 デシ リ アライズ) までサポートできます。 また、 8 よ り大きいビッ ト

幅 ( 大 14 ビッ ト ) のサポートは DDR モードのみで可能です詳細は、「ISERDESE2 のビッ ト幅拡

張」 を参照して ください。 初に受信されたデータ ビッ トは、 出力 Q の 上位に現れます。

図 3-3 に示すよ うに、OSERDESE2 の入力のビッ ト順は、 ISERDESE2 ブロ ッ クの出力のビッ ト順

と逆になり ます。 たとえば、 ワード FEDCBA の 下位ビッ ト A は OSERDESE2 の D1 に入力さ

れ、 ISERDESE2 ブロ ッ クの Q8 から出力されます。 つま り、 D1 は OSERDESE2 の 下位入力、

Q8 は ISERDESE2 の 下位出力にな り ます。 ビ ッ ト 幅を拡張し た場合、 ト ラ ン ス ミ ッ ター

CLK 入力 1 高速ク ロ ッ ク入力。 シ リ アル入力データ ス ト リームのク ロ ッ ク信号。 「高速ク

ロ ッ ク入力 - CLK」 を参照。

CLKB 入力 1 MEMORY_QDR モード専用のセカンダ リ高速クロ ッ ク入力。 MEMORY_QDRモードでない限り、 常に反転 CLK へ接続。 「MEMORY_QDR インターフェイ

ス タイプ」 を参照。

CE1、 CE2 入力 各 1 ク ロ ッ ク イネーブル入力。「ク ロ ッ ク イネーブル入力 - CE1 および CE2」を参照。

RST 入力 1 アクティブ High のリセッ ト 。 「 リ セッ ト入力 - RST」 を参照。

CLKDIV 入力 1 分周クロ ッ ク入力。遅延エレ メン ト、デシ リ アライズされたデータ、Bitslip サブ

モジュールおよび CE ユニ ッ ト を駆動する ク ロ ッ ク。 「分周ク ロ ッ ク入力 -CLKDIV」 を参照。

CLKDIVP 入力 1 MIG ツールを使用する場合のみ使用可能。 MEMORY_DDR3 モードの場合、

PHASER_IN の分割クロ ッ クをソースとする。その他のモードの場合は、グラン

ドに接続。

OCLK 入力 1 メモ リ アプリ ケーシ ョ ン用の高速クロ ッ ク入力。「ス ト ローブ ベースのメモ リ インターフェイス用の高速クロ ッ ク およびオーバーサンプリ ング モード - OCLK」

を参照。 (このクロ ッ ク リ ソースは OSERDESE2 CLK ピンと共有。 )

OCLKB 入力 1 反転高速クロ ッ ク入力。 (このクロ ッ ク リ ソースは OSERDESE2 CLKB ピンと

共有。 )

BITSLIP 入力 1 Bitslip 処理を開始する。 「Bitslip の処理 - BITSLIP」 を参照。

SHIFTIN1 入力 1 データ幅拡張用のキ ャ リ ー入力。 マス ター IOB の SHIFTOUT1 に接続。

「ISERDESE2 のビッ ト幅拡張」 を参照。

SHIFTIN2 入力 1 データ幅拡張用のキ ャ リ ー入力。 マス ター IOB の SHIFTOUT2 に接続。

「ISERDESE2 のビッ ト幅拡張」 を参照。

OFB 入力 1 OLOGICE2 または OLOGICE3 と OSERDESE2 出力からのフ ィードバッ ク パスを参照。「OSERDESE2 から ISERDESE2 へのフ ィードバッ ク OSERDESE2」を参照。

DYNCLKDIVSEL 入力 1 CLKDIV の反転を動的に選択する。 「動的クロ ッ クの反転」 を参照。

DYNCLKSEL 入力 1 CLK および CLKB の反転を動的に選択する。 「動的クロ ッ クの反転」 を参照。

表 3-1 : ISERDESE2 のポート一覧および定義 (続き)

ポート名 タイプ 幅 説明

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 141UG471 (v1.4) 2014 年 5 月 13 日

入力 シリアル-パラレル ロジック リソース (ISERDESE2)

OSERDESE2 の D1 が 下位入力に、レシーバー ISERDESE2 ブロ ッ クの Q8 が 下位出力になり

ます。

組み合わせ出力 – O

組み合わせ出力ポート (O) は、ISERDESE2 モジュールのレジスタなし出力です。この出力は、デー

タ入力 (D) から直接接続されるか、 IDELAYE2 を介したデータ入力 (DDLY) から接続されます。

Bitslip の処理 - BITSLIP

BITSLIP ピンがアサート (アクティブ High) される と、 CLKDIV に同期して Bitslip 処理が実行さ

れます。 その結果、 Bitslip が実行されるごとに、 Q1 ~ Q8 出力ポートに現れるデータが、 バレル

シフターのよ うに 1 つずつシフ ト します (DDR と SDR では動作が異なる)。 詳細は、 「Bitslip サブ

モジュール」 を参照して ください。

クロック イネーブル入力 - CE1 および CE2

入力クロ ッ ク イネーブル モジュールは、 各 ISERDESE2 ブロ ッ クに 1 つずつあ り ます (図 3-4 参照)。

X-Ref Target - Figure 3-3

図 3-3 : ISERDESE2 ポートの Q1-Q8 出力のビッ ト順序

FGH EQ D

OSERDESE2 ISERDESE2Data Bits

D1D C B A

A

D2B

D3C

D4D

D5E

D6

Q1

Q2

Q3

Q4

Q5

Q6F

H

G

F

E

D

C

D7G

D8

Q7

Q8H

B

A

CLKDIV_TX CLK_TX CLK_RX CLKDIV_RX

UG471_c3_03_120910

142 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

NUM_CE = 1 の場合、 CE2 入力は使用されず、 CE1 入力は、 ISERDESE2 の入力レジスタに直接

接続されるアクティブ High のクロ ッ ク イネーブルになり ます。NUM_CE = 2 の場合、CE1 と CE2入力の両方が使用され、 CE1 が CLKDIV の 1/2 サイ クル間 ISERDESE2 をイネーブルにし、 CE2が残りの 1/2 サイクル間 ISERDESE2 をイネーブルにします。図 3-4 に示すよ うに、内部クロ ッ ク

イネーブル信号の ICE が CE1 および CE2 入力から派生します。 146 ページの図 3-5 に示すよ う

に、 ICE は FF0、 FF1、 FF2、 FF3 レジスタのクロ ッ ク イネーブル入力を駆動します。 残りのレジ

スタには、 146 ページの図 3-5 に示すよ うにクロ ッ ク入力があ り ません。

ク ロ ッ ク イネーブル モジュールは CLKDIV によって駆動される 2:1 シ リ アル-パラレル コンバー

ターと して機能します。 ISERDESE2 を DDR モードの 1:4 デシ リ アラ イズと して構成する場合、

特に双方向メモ リ インターフェイスではこのク ロ ッ ク イネーブル モジュールが必要とな り ます。

属性 NUM_CE = 2 に設定する と、ク ロ ッ ク イネーブル モジュールが有効になり、CE1 および CE2ポートが共に使用可能になり ます。 NUM_CE = 1 の場合、 CE1 のみ使用でき、 通常のクロ ッ ク イネーブルと して機能します。

高速クロック入力 - CLK

入力シ リ アル データ ス ト リームでは、 ク ロ ッ ク と して高速クロ ッ ク入力 (CLK) が使用されます。

高速クロック入力 - CLKB

入力シ リ アル データ ス ト リームでは、 ク ロ ッ ク と してセカンダ リ高速クロ ッ ク入力 (CLKB) が使

用されます。 MEMORY_QDR モード以外のモードの場合は、いずれも CLKB を CLK の反転バー

ジ ョ ンへ接続します。 MEMORY_QDR モードの場合は、 CLKB を位相シフ ト した固有のクロ ッ ク

に接続する必要があ り ます。 「ISERDESE2 のクロ ッキング手法」 を参照してください。

分周クロック入力 - CLKDIV

通常、分周クロ ッ ク入力 (CLKDIV) は CLK の分周バージ ョ ンであ り、周波数はデシ リ アライズの

幅によって異なり ます。CLKDIV は、シ リ アル-パラレル コンバーターの出力、Bitslip サブモジュー

ル、 および CE モジュールを駆動します。

IOB からのシリアル入力データ - D

シ リ アル入力データ ポート (D) は、 ISERDESE2 のシ リ アル (高速) データ入力ポートです。 この

ポートは、 7 シ リーズ FPGA の IOB にのみ接続されます。 「ISERDESE2 の D および DDLY の使

用法」 を参照して ください。

X-Ref Target - Figure 3-4

図 3-4 : 入力クロック イネーブル モジュール

D

ARCE1R

ICE

NUM_CE ICECLKDIV

1 CE1X

2 CE2R0

2 CE1R1

(To ISERDESE2 Input Registers)CE1 Q

RST

CLKDIV

D

ARCE2R

CE2 Q

RST

CLKDIV

UG471_c3_04_080310

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 143UG471 (v1.4) 2014 年 5 月 13 日

入力 シリアル-パラレル ロジック リソース (ISERDESE2)

IDELAYE2 からのシリアル入力データ - DDLY

シ リ アル入力データ ポート (DDLY) は、ISERDESE2 のシ リ アル (高速) データ入力ポートです。こ

のポートは、7 シ リーズ FPGA のIDELAYE2 リ ソースにのみ接続されます。「ISERDESE2 の D および DDLY の使用法」 を参照してください。

OSERDESE2 からのシリアル入力データ - OFB

シ リ アル入力データ ポート (OFB) は、 ISERDESE2 のシ リ アル (高速) データ入力ポートです。 こ

のポートは、7 シ リーズ FPGA の OSERDESE2 の OFB ポートにのみ接続されます。「OSERDESE2から ISERDESE2 へのフ ィードバッ ク OSERDESE2」 を参照して ください。

スト ローブ ベースのメモリ インターフェイス用の高速クロック およびオーバーサンプリング モード - OCLK

ス ト ローブ ベースのメモ リ インターフェイスでは、 OCLK ク ロ ッ ク入力がデータ転送に同期しま

す。 OCLK は、 INTERFACE_TYPE が NETWORKING に設定されている場合に限り未使用とな

り ます。

OCLK ク ロ ッ ク入力は、 ス ト ローブ ベースのメモ リ データをフ リーランニングのクロ ッ ク ド メ イ

ンに送信するために使用できます。 OCLK は、 CLK 入力のス ト ローブと同じ周波数のフ リーラン

ニング FPGA ク ロ ッ クです。図 3-5 に、 CLK から OCLK へのド メ イン送信を示しています。 ド メ

イン送信のタイ ミ ングでは、ユーザーが IDELAY などを使用して CLK 入力へのス ト ローブ信号の

遅延を調整します。 MEMORY_DDR3 および MEMORY_QDR モードにおける ド メ イン送信のタ

イ ミ ング設定の例では、 MIG ツールを使用しています。 INTERFACE_TYPE が NETWORKINGの場合、 このポートは使用されません。

リセッ ト入力 - RST

リ セッ ト入力がアサート される と、 CLK および CLKDIV ド メ インのほとんどすべてのデータ フリ ップフロ ップ出力を非同期に Low 駆動します。 入力構造の 初の 4 つのフ リ ップフロ ップは例

外とな り、それらの値は RESET 後にコンポーネン トの属性で選択できます。CLKDIV に同期して

ディアサート される場合、 内部ロジッ クによって、 このディアサートが CLK の 初の立ち上がり

エッジへリ タイ ミ ングされます。 したがって、 複数ビッ ト入力構造のすべての ISERDESE2 は、 同

じ リセッ ト信号で駆動され、すべての ISERDESE2 エレ メン トが同時にリセッ ト状態から遷移でき

るよ うに CLKDIV に同期してアサートおよびディアサート される必要があ り ます。 リセッ ト信号

は、CLK と CLKDIV が安定して現れているこ とが確認された場合のみディアサート されるべきで

あ り、 少な く と も CLKDIV の 2 ク ロ ッ ク分ディアサート を保持する必要があ り ます。

144 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

ISERDESE2 の属性

表 3-2 に、 ISERDESE2 に関連する全属性を示します。 各属性についての詳細は、 表に続く本文で

説明しています。 これらの属性を UCF、 VHDL、 または Verilog コードに適用する場合の詳細は、

『ISE ツール マニュアル』 を参照してください。

表 3-2 : ISERDESE2 の属性

属性名 説明 値 デフォルト値

DATA_RATE 入力されるデータ ス ト リームを SDR または

DDR 処理のいずれかに指定。「DATA_RATE 属性」 を参照。

文字列 : SDR または DDR DDR

DATA_WIDTH シ リ アル-パラレル コンバーターの幅を指定。

有効な値は DATA_RATE 属性で設定し た値

(SDR または DDR) によ って異な る。

「DATA_WIDTH 属性」 を参照。

整数 : 2、 3、 4、 5、 6、 7、 8、 10、または 14DATA_RATE = DDR の場合、4、6、 8、 10、 14 のみ DATA_RATE = SDR の場合、2、3、 4、 5、 6、 7、 または 8 のみ

4

DYN_CLKDIV_INV_EN TRUE の場合、 DYNCLKDIVSEL での反転を

有効にして、CLKDIV ピンでの HDL 反転を無

効にする。 「動的クロ ッ クの反転」 を参照。

ブール値 : TRUE または

FALSEFALSE

DYN_CLK_INV_EN TRUE の場合、 DYNCLKSEL での反転を有効

にして、CLK ピンおよび CLKB ピンでの HDL反転を無効にする。 「動的ク ロ ッ クの反転」 を

参照。

ブール値 : TRUE または

FALSEFALSE

INTERFACE_TYPE ISERDESE2 の使用モデルを選択する。

「INTERFACE_TYPE 属性」 を参照。

文字列 : MEMORY_DDR3、MEMORY_QDR、

OVERSAMPLE、NETWORKING

MEMORY

NUM_CE ク ロ ッ ク イネーブル数を定義する。「NUM_CE属性」 を参照。

整数 : 1 または 2 2

OFB_USED OLOGICE2/3、OSERDESE2 の OFB ピンから

ISERDESE2 の OFB ピンへのパスを有効にし、

D 入力ピンを無効にする 。

ブール値 : TRUE または FALSE

FALSE

SERDES_MODE ビ ッ ト 幅を拡張する場合、 ISERDESE2 モ

ジュールをマスターかスレーブと して定義す

る。 「SERDES_MODE 属性」 を参照。

文字列 : MASTER または SLAVE

MASTER

INIT_Q1 初のサンプル レジスタの初期値を設定する。 2 進数 : 0 または 1 0

INIT_Q2 2 番目のサンプル レジスタの初期値を設定する。 2 進数 : 0 または 1 0

INIT_Q3 3 番目のサンプル レジスタの初期値を設定する。 2 進数 : 0 または 1 0

INIT_Q4 4 番目のサンプル レジスタの初期値を設定する。 2 進数 : 0 または 1 0

SRVAL_Q1 初のサンプル レジスタの リ セッ ト後の値を

設定する。

2 進数 : 0 または 1 1

SRVAL_Q2 2 番目のサンプル レジスタの リセッ ト後の値を

設定する。

2 進数 : 0 または 1 1

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 145UG471 (v1.4) 2014 年 5 月 13 日

入力 シリアル-パラレル ロジック リソース (ISERDESE2)

DATA_RATE 属性

DATA_RATE 属性は、 入力データ ス ト リームがシングル データ レート (SDR) であるか、 ダブル

データ レート (DDR) であるかを指定します。有効な設定値は SDR および DDR であ り、デフォル

トは DDR です。

DATA_WIDTH 属性

DATA_WIDTH 属性は、 シ リ アル-パラレル コンバーターのパラレル データ出力幅を指定します。

有効な値は INTERFACE_TYPE および DATA_RATE 属性によって異なり ます。表 3-3 に、サポー

ト されるデータ幅を示します。

DATA_WIDTH を 8 よ り大きい値に設定する場合は、2 つの ISERDESE2 をマスターとスレーブの

ペア と して構成する必要があ り ます。 「ISERDESE2 のビ ッ ト 幅拡張」 を参照して く ださい。

MEMORY モードの場合は、 ビッ ト幅を拡張できません。

INTERFACE_TYPE 属性

INTERFACE_TYPE 属性は、 ISERDESE2 を メモ リ モードまたはネッ ト ワーク モードのいずれに

するかを指定し ます。 有効な設定値は MEMORY、 MEMORY_DDR3、 MEMORY_QDR、

OVERSAMPLE、 または NETWORKING であ り、 デフォルトのモードは MEMORY です。

図 3-5 に、 MEMORY モードにおける ISERDESE2 の内部接続を示します。

SRVAL_Q3 3 番目のサンプル レジスタの リセッ ト後の値を

設定する。

2 進数 : 0 または 1 1

SRVAL_Q4 4 番目のサンプル レジスタの リセッ ト後の値を

設定する。

2 進数 : 0 または 1 1

IOBDELAY レジス タに格納された出力または格納されて

いない出力の両方またはいずれかに入力遅延

を適用するかを設定する。 「ISERDESE2 の Dおよび DDLY の使用法」 を参照。

NONE、 IBUF、 IFD、 BOTH なし

表 3-2 : ISERDESE2 の属性 (続き)

属性名 説明 値 デフォルト値

表 3-3 : サポート されるデータ幅

INTERFACE_TYPE DATA_RATE サポート されるデータ幅

NETWORKINGSDR 2、 3、 4、 5、 6、 7、 8

DDR 4、 6、 8、 10、 14

MEMORYMEMORY_DDR3MEMORY_QDR

SDR なし

DDR 4

146 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

NUM_CE 属性

NUM_CE 属性は、 使用するクロ ッ ク イネーブル (CE1 および CE2) 数を指定します。 設定可能な

値は 1 および 2 であ り、 デフォルトでは 2 です。

SERDES_MODE 属性

SERDES_MODE 属性は、 データ幅を拡張する際に、 ISERDESE2 モジュールをマスターまたはス

レーブのいずれかに指定します。 有効な設定値は MASTER および SLAVE で、 デフォル ト値は

MASTER です。 「ISERDESE2 のビッ ト幅拡張」 を参照してください。

ISERDESE2 のクロッキング手法

NETWORKING インターフェイス タイプ

シ リ アルからパラレルへの変換プロセスでは、 CLK と CLKDIV の位相関係が重要です。 CLK とCLKDIV の位相は許容範囲内で一致するこ とが理想です。 FPGA には CLK および CLKDIV の位

相関係の要件を満たすためのクロ ッ ク配置方法が複数あ り ます。

CLK および CLKDIV 入力の位相は一致させる必要があ り ます。 たとえば、 図 3-6 の ISERDESE2入力でユーザーが CLK と CLKDIV を反転する と、有効なクロ ッ ク配置である BUFIO/BUFR コン

フ ィ ギ ュ レ ーシ ョ ン で駆動 し た と し て も、 ク ロ ッ ク の位相が一致 し ま せん。 こ の場合

DYNCLKINVSEL や DYNCLKDIVINVSEL も使用できません。

X-Ref Target - Figure 3-5

図 3-5 : MEMORY モードの ISERDESE2 の内部接続

Q1D

CLK

ICE

ICE

ICE

ICE

OCLK

CLKDIV

Q2

Q3

Q4

ug471_c3_05_012211

FF0 FF2 FF6

FF1 FF3 FF7

FF4 FF8

FF5 FF9

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 147UG471 (v1.4) 2014 年 5 月 13 日

入力 シリアル-パラレル ロジック リソース (ISERDESE2)

ネッ ト ワーキング インターフェイス タイプを使用する ISERDESE2 ブロ ッ クに有効なクロ ッ ク配

置を次に示します。

• CLK は BUFIO で、 CLKDIV は BUFR で駆動

• CLK は MMCM または PLL で駆動、CLKDIV は同じ MMCM または PLL の CLKOUT[0:6]で駆動

• CLK は BUFG で駆動、 CLKDIV は異なる BUFG で駆動

MMCM を使用して ISERDESE2 の CLK および CLKDIV を駆動する場合、 ISERDESE2 へ接続

するバッファー タイプは同じでなければなり ません。 たとえば、 CLK が BUFG で駆動されている

場合は、CLKDIV も BUFG で駆動する必要があ り ます。MMCM から、BUFG の代わりに BUFIOや BUFR を介して ISERDESE2 を駆動する方法もあ り ます。

MEMORY インターフェイス タイプ

メモ リ インターフェイス タイプを使用する ISERDESE2 ブロ ッ クに有効なクロ ッ ク配置を次に示

します。

• CLK は BUFIO で駆動、 OCLK は BUFIO で駆動、 CLKDIV は BUFR で駆動

• CLK は MMCM または PLL で駆動、 OCLK は MMCM または PLL で駆動、 CLKDIV は同

じ MMCM または PLL の CLKOUT[0:6] で駆動

• CLK は BUFG で駆動、 OCLK は BUFG で駆動、 CLKDIV は異なる BUFG で駆動

OCLK と CLKDIV 入力の位相は一致させる必要があ り ます。 CLK と OCLK 間に位相関係はあ り

ません。 CLK から OCLK ド メ インへの安定したデータ送信を行うには、 キャ リブレーシ ョ ンを実

行する必要があ り ます。 CLK と OCLK 間のデータ送信の詳細については、 「ス ト ローブ ベースの

メモ リ インターフェイス用の高速クロ ッ ク およびオーバーサンプリ ング モード - OCLK」 を参照

して ください。

MEMORY_QDR インターフェイス タイプ

MEMORY_QDR モードの場合、 QDR メモ リ要件があるため、 複雑なク ロ ッキング構造とな り ま

す。 この INTERFACE_TYPE 属性は、 MIG ツールを使用する場合のみ使用できます。

OVERSAMPLE インターフェイス タイプ

OVERSAMPLE モードは 2 つの位相の DDR データをキャプチャする と きに使用します。 図 3-7に、 ISERDESE2 のよ り詳細な論理構成と、 CLK および OCLK の立ち上がり /立ち下がりの両エッ

ジでいかにデータがキャプチャされるかを示します。 図 3-7 に示すとおり、 CLK と OCLK では位

相が 90 度オフセッ ト している必要があ り ます。 これはデータが CLK と OCLK の両ク ロ ッ クで

ISERDESE2 にキャプチャされるのに対し、CLK ド メ インのクロ ッ クで出力される必要があるため

X-Ref Target - Figure 3-6

図 3-6 : BUFIO および BUFR を使用したクロック配置

BUFIO

ClockInput

ug471_c3_06_080310

BUFR (÷X)

ISERDESE2

CLK

CLKDIV

148 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

です。 このモードでは CLKDIV は使用されません。 OVERSAMPLE インターフェイス タイプに

有効なクロ ッキング構成を次に示します。

• CLK と CLKB は BUFIO で駆動、OCLK と OCLKB は 90 度位相シフ ト した BUFIO で駆動。

2 つの BUFIO は 1 つの MMCM で駆動。

• CLK と CLKB は BUFG で駆動、 OCLK と OCLKB は 90 度位相シフ ト した BUFG で駆動。

BUFG は 1 つの MMCM で駆動。

いずれの場合でも、 有効なクロ ッキングは次のとおりです。

• CLK : 0°

• OCLK : 90°

• CLKB : 180°

• OCLKB : 270°

X-Ref Target - Figure 3-7

図 3-7 : OVERSAMPLE モードにおける ISERDESE2 プリ ミテ ィブの論理構成

UG471_c3_07_021914

DDLY

CE2

CE1

CLKDIV

DYNCLKSEL

DYNCLKDIVSEL

SHIFTIN1

SHIFTIN2

RST

D

BITSLIP

OFB

CLK

REG

D Q

CLKB

REG

D

CLK

CLK

CLK

CLK

CLK

CLK

CLK

CLK

Q

REG

D Q

OCLKB

REG

D Q

REG

D Q

REG

D Q

REG

ISERDESE2Primitive

D Q

REG

D Q

CLK

CLK

CLK

CLK

REG

Sample 1D QQ1

Q2

Sample 2Q3

SHIFTOUT1

SHIFTOUT2

O

Q4

Q5

Q6

Q7

Q8

REG

D Q

REG

D Q

REG

D Q

Sample 3

Sample 4

OCLK

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 149UG471 (v1.4) 2014 年 5 月 13 日

入力 シリアル-パラレル ロジック リソース (ISERDESE2)

MEMORY_DDR3 インターフェイス タイプ

MEMORY_DDR3 モードの場合、 DDR3 メモ リ要件があるため、 複雑なク ロ ッキング構造とな り

ます。 この INTERFACE_TYPE 属性は、 MIG ツールを使用する場合のみ使用できます。

ISERDESE2 のビッ ト幅拡張

2 つの ISERDESE2 モジュールを使用する と、1:8 よ り大きなシ リ アル-パラレル コンバーターを作

成できます。 すべての I/O タイルには、 2 つの ISERDESE2 モジュールがあ り、 一方がマスター、

も う一方がスレーブです。マスター ISERDESE2 の SHIFTOUT ポート をスレーブ ISERDESE2 のSHIFTIN ポートに接続するこ とによって、 シ リ アル-パラレル コンバーターを 大で 1:10 または

1:14 (DDR モードのみ) まで拡張できます。

図 3-8 に、 マスターとスレーブ ISERDESE2 モジュールを使用する、 カスケード接続された DDRシ リ アル-パラレル コンバーターのブロ ッ ク図を示します。 1:10 SERDES の場合、 スレーブ ポー

ト Q3–Q4 はパラレル インターフェイスの 後の 2 ビッ ト用に使用されます。

差動入力の場合、 マスター ISERDESE2 がその差動入力ペアの正の側 (_P ピン) にある必要があ り

ます。差動入力でない場合は、 スレーブ ISERDESE2 に接続された入力バッファーを使用できませ

ん。 つま り、 カスケード接続は使用できません。

シリアル-パラレル コンバーター ビッ ト幅拡張のガイド ライン

1. 2 つの ISERDESE2 モジュールは隣接したマスターおよびスレーブのペアである必要があ り ま

す。ビッ ト幅の拡張は MEMORY モードでは使用できないので、 ISERDESE2 モジュールは両

方と もNETWORKING モードにします。

2. マスター ISERDESE2 の SERDES_MODE 属性を MASTER に、 スレーブ ISERDESE2 のSERDES_MODE 属性を SLAVE に設定します。 「SERDES_MODE 属性」 を参照して くださ

い。

3. スレーブの SHIFTIN ポート をマスターの SHIFTOUT ポートに接続します。

X-Ref Target - Figure 3-8

図 3-8 : ビッ ト幅を拡張した ISERDESE2 のブロック図

UG471_c3_08_012211

ISERDESE2Master

Q1

SHIFTOUT2

DData In Q2

Q3Q4Q5Q6Q7Q8SHIFTOUT1

Bit 0

Data Internal [0:7]

Data Internal [8:13]ISERDESE2

Slave

Q1SHIFTIN2

D Q2

Q3Q4Q5Q6Q7Q8 Bit 13

SHIFTIN1

150 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

4. スレーブは、 ポート Q3 ~ Q8 を出力と して使用します。

5. 図 3-8 では DATA_WIDTH をマスターおよびスレーブの両方に適用します。

ISERDESE2 のレイテンシ

ISERDESE2 インターフェイス タイプが MEMORY の場合、 OCLK ステージを介したレイテンシ

は CLKDIV の 1 サイ クルになり ます。ただし ISERDESE2 を介したレイテンシの合計は、CLK および OCLK ク ロ ッ ク入力間の位相関係によって異なり ます。 ISERDESE2 インターフェイス タイ

プが NETWORKING の場合、 レイテンシは CLKDIV の 2 サイ クル分にな り ます。 154 ページの

図 3-12 は、 ネッ ト ワーキング モードのレイテンシを表した図を示しています。 メモ リ モードに比

べて、 ネッ ト ワーキング モードで余分にレイテンシの CLKDIV サイ クルがかかるのは、 Bitslip サブモジュールがあるからです。

MEMORY_QDR および MEMORY_DDR3 のレイテンシは、 CLKDIV の 2 サイクルです。

動的クロックの反転

動的クロ ッ クの反転ピン DYNCLKSEL と DYNCLKDIVSEL を、それぞれ DYN_CLK_SEL_EN、

DYN_CLKDIV_SEL_EN と共に使用する と、ユーザーが各クロ ッ ク ソースの極性を動的に切り替

えるこ とができます。 この動作は、 ISERDESE2 に入力されるクロ ッ クを非同期に反転させるため、

ISERDESE2 がリセッ ト されるまで ISERDESE2 で不正なデータが生成される可能性があ り ます。

この動作は、 MEMORY_QDR および MEMORY_DDR3 モードでのみサポート されています。

OSERDESE2 から ISERDESE2 へのフ ィードバック OSERDESE2ISERDESE2 および OSERDESE2 の OFB ポート を使用して、 OSERDESE2 に送信されたデータ

を ISERDESE2 にフ ィードバッ クできます (図 3-9 参照)。 この機能は、 OFB_USED = TRUE の場

合のみ有効です。 正しいデータを送信するために、 フ ィードバッ クに対する DATA_RATE および

DATA_WIDTH の設定をOSERDESE2 と ISERDESE2 で一致させる必要があ り ます。ISERDESE2および OSERDESE2 をビッ ト幅拡張モードのみで使用している場合は、マスター OSERDESE2 をマスター ISERDESE2 に接続して ください。

ISERDESE2 をフ ィードバッ ク ポート と して使用する場合、 このポートは外部データの入力と して

使用できません。

X-Ref Target - Figure 3-9

図 3-9 : OFB ポートによる ISERDESE2 と OSERDESE2 の接続

ISERDESE2

OSERDESE2

OFB

OFB

OQ

ug471_c3_09_012211

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 151UG471 (v1.4) 2014 年 5 月 13 日

入力 シリアル-パラレル ロジック リソース (ISERDESE2)

ISERDESE2 の D および DDLY の使用法

D ピンおよび DDLY ピンは、 ISERDESE2 の専用入力です。 D ピンは IOB へ直接接続し、 DDLYピンは IDELAYE2 へ直接接続します。 これによって、 ユーザーはレジスタ付き出力 (Q1-Q8) また

は組み合わせパス出力 (O) に、 遅延あ りバージ ョ ンと遅延なしバージ ョ ンのいずれかを入力できま

す。IOBDELAY 属性の値が、ISERDESE2 へ適用される入力を決定します。表 3-4 に、D と DDLYが両方接続される場合の IOBDELAY 値の設定を示します。

ISERDESE2 のタイ ミング モデルおよびパラ メーター

表 3-5 に、 『7 シ リーズ FPGA データシート 』 に記載されている ISERDESE2 の機能とスイ ッチ特

性に関連する制御信号を示します。

表 3-4 : 関連する IOBDELAY ブロックの IOBDELAY 属性の値(1)

IOBDELAY 値 組み合わせ出力 (O) レジスタ付き出力 (Q1-Q8)

なし D D

IBUF DDLY D

IFD D DDLY

両方 DDLY DDLY

注記 :

1. D ピンと DDLY ピンが両方と も ISERDESE2 に接続される場合。

表 3-5 : ISERDESE2 のスイッチ特性

シンボル 説明

制御ラインのセッ トアップ/ホールド

TISCCK_BITSLIP/TISCKC_BITSLIP BITSLIP ピンの CLKDIV に対するセッ ト アップ/ホールド

TISCCK_CE/TISCKC_CE CE ピン (CE1) の CLKDIV に対するセッ ト アップ/ホールド

TISCCK_CE2/TISCKC_CE2 CE ピン (CE2) の CLKDIV に対するセッ ト アップ/ホールド

データ ラインのセッ トアップ/ホールド

TISDCK_D/TISCKD_D D ピンの CLK に対するセッ ト アップ/ホールド

D ピンの CLK に対するセッ ト アップ/ホールド

D ピンの CLK に対するセッ ト アップ/ホールド

TISDCK_DDR/TISCKD_DDR DDR モードでの、 D ピンの CLK に対するセッ ト アップ/ホールド

DDR モードでの、 D ピンの CLK に対するセッ ト アップ/ホールド

DDR モードでの、 D ピンの CLK に対するセッ ト アップ/ホールド

シーケンシャル遅延

TISCKO_Q CLKDIV から Q ピン出力までの遅延

152 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

タイ ミング特性

図 3-10 に、 ISERDESE2 の入力データの ISERDESE2 タイ ミ ング図を示します。 タイ ミ ング パラ

メーター名はモード (SDR/DDR) によって異なり ます。 ただし、 これらのパラ メーター名は 2 つの

ISERDESE2 をカスケード接続して 10 ビッ ト または 14 ビッ トに幅を拡張する場合など、バス入力

幅を変更しても変わり ません。 DDR モードの場合、 データ入力 (D) はすべての CLK エッジ (立ち

上がりおよび立ち下がり ) で切り替わり ます。

クロック イベン ト 1

• ク ロ ッ ク イベン ト 1 よ り TISCCK_CE 前に、 クロ ッ ク イネーブル信号が有効 (High) になり、

ISERDESE2 でデータをサンプルできる状態になり ます。

クロック イベン ト 2

• ク ロ ッ ク イベン ト 2 よ り TISDCK_D 時間前に、入力データピン (D) が有効になり、入力データ

は次の立ち上がりエッジでサンプルされます。

ISERDESE2 の VHDL および Verilog インスタンシエーション テンプレート

すべてのプリ ミ テ ィブとサブモジュールの VHDL および Verilog インスタンシエーシ ョ ン テンプ

レートは、 『7 シ リーズ FPGA ライブラ リ ガイ ド』 に記載されています。

VHDL のテンプレートには、 コンポーネン ト宣言のセクシ ョ ンとアーキテクチャ セクシ ョ ンがあ

り、

両セクシ ョ ンを VHDL デザイン ファ イルに挿入する必要があ り ます。アーキテクチャ セクシ ョ ン

のポート マップには、 デザインの信号名を含めます。

Bitslip サブモジュール

7 シ リーズ デバイスにあるすべての ISERDESE2 ブロ ッ クには、 Bitslip サブモジュールが含まれ

ます。 これは、 ソース同期のネッ ト ワーキング型アプリ ケーシ ョ ンでのワード アライ メン トに使用

されます。Bitslip は、 ISERDESE2 ブロッ ク内でパラレル データを並べ替え、デシ リ アライザーで

受信される繰り返しのシ リ アル パターンのすべての組み合わせが FPGA ファブ リ ッ クに現れるよ

うにします。 通常、 この繰り返しのシ リ アル パターンは ト レーニング パターンと呼ばれ、 多数の

ネッ ト ワーキングおよびテレコム規格でサポート されています。 一部のインターフェイスでは、 低

速転送クロ ッ ク となる場合があ り、 繰り返しのビッ ト パターンとみなされるこ とがあ り ます。

X-Ref Target - Figure 3-10

図 3-10 : ISERDESE2 への入力データのタイ ミング図

ug471_c3_10_012211

CLK

CE

TISCCK_CE

TISDCK_D

1 2

D

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 153UG471 (v1.4) 2014 年 5 月 13 日

入力 シリアル-パラレル ロジック リソース (ISERDESE2)

Bitslip の処理

ISERDESE2 ブロ ッ クの Bitslip ピンをアサートするこ とによって、パラレル側で入力シ リ アル デー

タ ス ト リームが並べ替えられます。 これは、 必要な ト レーニング パターンが ISERDESE2 出力で

確認されるまで繰り返されます。図 3-11 に、SDR および DDR モードでの Bitslip 動作の結果を示

します。 (ISERDESE2 へ入力されるビッ ト 8 は、 初に受信されるビッ トです。 )こ こでは、 デー

タ幅が 8 の場合を示しています。Bitslip の処理は CLKDIV に同期します。SDR モードでは、Bitslipの処理ごとに出力パターンが左へ 1 つずつシフ ト します。 一方、 DDR モードでは、 Bitslip の処理

ごとに、右への 1 シフ ト と左への 3 シフ トが交互に行われます。こ こに示す例では、8 回目の Bitslip処理で出力パターンが 初のパターンに戻り ます。つま り、シ リ アル データは 8 ビッ トの繰り返し

パターンです。

繰り返しパターンでは、 Bitslip がバレル シフ ト動作のよ うに見えますが、 そ うではあ り ません。

Bitslip 動作では、 入力データ ス ト リームに 1 ビッ ト を追加し、 入力データ ス ト リームの n 番目を

取り除きます。 これによって、 繰り返しパターンの動作がバレル シフター動作のよ うに見えます。

Bitslip サブモジュールを使用する際のガイ ド ライン

Bitslip サブモジュールは、 NETWORKING モードの場合のみ有効です。 その他のモードではこの

モジュールを使用できません。

Bitslip 処理を開始するには、 BITSLIP ポート を CLKDIV の 1 サイクル間 High にアサートする必

要があ り ます。 Bitslip を CLKDIV の 2 サイクル間連続してアサートするこ とはできません。 つま

り、 2 つの Bitslip をアサートする場合は、 その間に Bitslip を CLKDIV の 1 サイ クル以上ディア

サート しなければな り ません。 SDR および DDR のいずれのモードでも、 アサート された Bitslipを ISERDESE2 がキ ャプチャ し てから、 Bitslip が挿入された ISERDESE2 出力 Q1-Q8 がCLKDIV によって FPGA ロジッ ク内にサンプルされるまでの合計レイテンシは CLKDIV の 2 サイ クル分にな り ます。 アプ リ ケーシ ョ ンの見地から考える と、 1 つの Bitslip コマンドは CLKDIVを 1 サイ クル間のみ発行すべきです。アプリ ケーシ ョ ンの見地から考える と、1 つの Bitslip コマン

ドは CLKDIV を 1 サイ クル間のみ発行すべきです。ユーザー ロジッ クは、受信したデータ パター

ンを解析して別の Bitslip コマンドを発行する前に、 SDR モードの場合は少なく と も CLKDIV を2 サイ クル以上、 DDR モードの場合は CLKDIV を 3 サイ クル以上待機する必要があ り ます。

ISERDESE2 がリセッ ト される と、 Bitslip ロジッ ク も リセッ ト されて、 初期ステートへ戻り ます。

Bitslip のタイ ミング モデルおよびパラメーター

このセクシ ョ ンでは、 1:4 DDR コンフ ィギュレーシ ョ ンの Bitslip コン ト ローラーに関連するタイ

ミ ング モデルについて説明します。データ (D) は、 4 ビッ トの ト レーニング パターン ABCD を繰

り返します。ISERDESE2 のパラレル出力 Q1–Q4 に現れる ABCD の配列パターンは 4 つ (ABCD、

BCDA、 CDAB、 DABC) あ り ます。 ISERDESE2 の Q1-Q4 出力からデータを読み出すユーザー

X-Ref Target - Figure 3-11

図 3-11 : Bitslip の処理例

ug471_c3_11_012211

BitslipOperationsExecuted

OutputPattern (8:1)

00100111010011101001110000111001011100101110010011001001

10010011Initial1234567

Bitslip Operation in SDR Mode

BitslipOperationsExecuted

OutputPattern (8:1)

10010011100111000100111001110010001110011100100111100100

00100111Initial1234567

Bitslip Operation in DDR Mode

154 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

のダウンス ト リーム ロジッ クでは、これら 4 つの配列のうち 1 つだけが意味を持ちます。こ こでは

ABCD を意味のあるワード アライ メン ト と します。 Bitslip をアサートする と、 ユーザーには可能

な入力データ パターンがすべて提示され、 必要な配列 (ABCD) を選択でき る よ う にな り ます。

図 3-12 に、 2 つの Bitslip 動作のタイ ミ ングおよび対応する ISERDESE2 パラレル出力 Q1–Q4 のリ アライ メン ト を示します。

クロック イベン ト 1

初のワード CDAB のすべてが ISERDESE2 の入力側のレジスタにサンプルされます。 Bitslip ピンはアサート されていません。 したがってワードは リ アラ インされずに ISERDESE2 へ送られま

す。

クロック イベン ト 2

こ こで Bitslip ピンがアサート されます。 これによ り、 すべてのビッ トが Bitslip コン ト ローラーに

よって内部で 1 ビッ ト右方向へシフ ト されます。 Bitslip は CLKDIV の 1 サイクル間のみ High に保持されます。

クロック イベン ト 3

Bitslip がアサート されてから CLKDIV が 3 サイ クル経過し、Bitslip 動作が完了して新たにシフ ト

されたデータが出力に BCDA と して有効になり ます。

クロック イベン ト 3 の後

ISERDESE2 が 1:4 にコンフ ィギュレーシ ョ ンされている場合、 この後、 Bitslip は 大 2 回アサー

トできます。 2 回目のシフ ト (この DDR の場合 3 つ左へ) の後、 Q4-Q1 には出力 ABCD が現れま

す。 3 回目のシフ ト (1 つ右へ) の後、 Q4-Q1 には DABC が現れます。 4 回目のシフ ト (3 つ左へ)の後には元の出力 CDAB が Q4-Q1 に現れるため、 4 つすべての入力の組み合わせを循環する

Bitslip 動作が終了します。

X-Ref Target - Figure 3-12

図 3-12 : DDR Bitslip の機能図

ug471_c3_12_042111

C D A B C D C DA B C D A B

CLK

BITSLIP

CLKDIV

D

1 2

Bitslip

3

Q4–Q1 CDAB BCDA

D A B C DA BC DA B CA B

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 155UG471 (v1.4) 2014 年 5 月 13 日

出力パラレル-シリアル ロジック リソース (OSERDESE2)

出力パラレル-シリアル ロジック リソース (OSERDESE2)7 シ リーズ デバイスの OSERDESE2 は、 高速なソース同期インターフェイスのインプ リ メンテー

シ ョ ンを容易にするよ う設計された、 固有のクロ ッキングと ロジッ ク リ ソースを備えたパラレル-シ リ アル コンバーターです。 すべての OSERDESE2 モジュールには、 データおよびト ライステー

ト制御用の専用シ リ アラ イザーがあ り ます。 データ シ リ アライザーおよびト ラ イステート シ リ ア

ライザーは共に SDR および DDR モードに設定できます。 大 8:1 までのデータ シ リ アライズが

可能です ( 「OSERDESE2 のビッ ト幅拡張」 を使用した場合は 10:1 および 14:1)。 ト ラ イステート

のシ リ アライズは 大 14:1 です。高速メモリ アプリ ケーシ ョ ンをサポートする専用の DDR3 モー

ドがあ り ます。

図 3-13 は OSERDESE2 の主要なコンポーネン ト と機能をすべて示したブロ ッ ク図です。

データ パラレル-シリアル コンバーター

OSERDESE2 ブロッ クにあるデータ パラレル-シ リアル コンバーターは、 2 ~ 8 ビッ トのパラレル

データをファブリ ッ クから受信し ( 「OSERDESE2 のビッ ト幅拡張」 を使用する場合は 14 ビッ ト )、これをシ リアライズして OQ 出力から IOB に出力します。パラレル データは、データ入力ピンの

下位から 上位の順にシ リアライズされます。つま り、 D1 入力ピンのデータが OQ ピンから出力さ

れる 初のビッ トになり ます。 データ パラレル-シ リアル コンバーターは、 シングル データ レート

(SDR) とダブル データ レート (DDR) の 2 つのモードで使用できます。

OSERDESE2 では、 CLK および CLKDIV の 2 つのクロ ッ クを使用し、 データ レート を変換しま

す。 CLK は高速シ リ アル ク ロ ッ ク、 CLKDIV は分周パラレル ク ロ ッ クであ り、 これら 2 つのク

ロ ッ ク位相は一致する必要があ り ます。「OSERDESE2 のクロ ッキング手法」 を参照してください。

OSERDESE2 は、 使用前にリセッ ト しておく必要があ り ます。 OSERDESE2 には、 データフロー

を制御する内部カウンターが含まれます。 リセッ ト ディアサート と CLKDIV を同期させるこ とが

できなかった場合は、 想定しない出力とな り ます。

X-Ref Target - Figure 3-13

図 3-13 : OSERDESE2 のブロック図

UG471_c3_13_111011

OCED1D2D3D4

D5D6D7

OQ

OFBD8

DataParallel-to-Serial

Convert

TCETBYTEIN

T1-T4

CLK

CLKDIV

RST

TFBTBYTEOUTTQ

3-StateParallel-to-Serial

Converter

156 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

ト ライステート パラレル-シリアル コンバーター

OSERDESE2 モジュールには、 データ パラレル-シ リ アル コンバーターに加えて IOB のト ライス

テート制御用のパラレル-シ リ アル コンバーターがあ り ます。データの変換と異なり、 ト ラ イステー

ト コンバーターでは、パラレルで入力される ト ライステート信号の 大 4 ビッ ト までをシ リ アライ

ズできます。 また、 ト ラ イステート コンバーターはカスケード接続できません。

OSERDESE2 プリ ミテ ィブ

図 3-14 に、 OSERDESE2 のプリ ミ ティブを示します。

X-Ref Target - Figure 3-14

図 3-14 : OSERDESE2 プリ ミテ ィブ

UG471_c3_14_041712

TCE

CLK

OCE

TBYTEIN

RST

SHIFTIN1

SHIFTIN2

OQ

OFB

TQ

TFB

TBYTEOUT

SHIFTOUT1

SHIFTOUT2

OSERDESE2Primitive

CLKDIV

D1

D2

D3

D4

D5

D6

D7

D8

T1

T3

T4

T2

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 157UG471 (v1.4) 2014 年 5 月 13 日

出力パラレル-シリアル ロジック リソース (OSERDESE2)

OSERDESE2 のポート

表 3-6 に、 OSERDESE2 プリ ミ ティブで使用可能なポート を示します。

データ パス出力 - OQ

OQ ポートは、 OSERDESE2 モジュールのデータ出力ポートです。 入力ポート D1 へのデータは

初に OQ に出力されます。 このポートによって、 データ パラレル-シ リ アル コンバーターの出力が

IOB のデータ入力に接続されます。このポートは ODELAYE2 を駆動できません (OFB ピンを使用

する必要がある)。

OSERDESE2 からの出力フ ィードバック - OFB

出力フ ィードバッ ク ポート (OFB) は、 ODELAYE2 プリ ミ ティブで使用するための OSERDESE2のシ リ アル (高速) データ出力ポート です。 また、 ISERDESE2 へシ リ アル データを送信する際に

も使用できます。 「出力フ ィードバッ ク」 を参照して ください。

表 3-6 : OSERDESE2 のポート一覧および定義

ポート名 タイプ 幅 説明

OQ 出力 1 IOB への専用データ パス出力。 「データ パス出力 - OQ」 を参照。

OFB 出力 1 ISERDESE2 へフ ィードバッ ク、 または ODELAYE2 へ接続するデータ パス出力。

「出力フ ィードバッ ク」 を参照。

TQ 出力 1 IOB へのト ライステート制御出力。 「 ト ラ イステート制御出力 - TQ」 を参照。

TFB 出力 ファブ リ ッ クへの ト ラ イステート制御出力。 「 ト ラ イステート制御出力 - TFB」 を

参照。

SHIFTOUT1 出力 1 データ幅拡張用のキャ リー出力。 スレーブ OSERDESE2 の SHIFTOUT1 に接続。

「OSERDESE2 のビッ ト幅拡張」 を参照。

SHIFTOUT2 出力 1 データ幅拡張用のキャ リー出力。 スレーブ OSERDESE2 の SHIFTOUT2 に接

続。 「OSERDESE2 のビッ ト幅拡張」 を参照。

CLK 入力 1 高速クロ ッ ク入力。 「高速クロ ッ ク入力 - CLK」 を参照。

CLKDIV 入力 1 分周クロ ッ ク入力。 遅延エレ メン ト、 デシ リ アライズされたデータ、 および CE ユニッ ト を駆動するクロ ッ ク。 「分周クロ ッ ク入力 - CLKDIV」 を参照。

D1 ~ D8 入力 各 1 パラレル データ入力。 「パラレル データ入力 - D1 ~ D8」 を参照。

TCE 入力 1 ト ラ イステート ク ロ ッ ク イネーブル。「 ト ラ イステート信号クロ ッ ク イネーブル -TCE」 を参照。

OCE 入力 1 出力データ ク ロ ッ ク イネーブル。 「出力データ ク ロ ッ ク イネーブル - OCE」 を参

照。

TBYTEIN 入力 1 バイ ト グループ ト ラ イステート入力。

TBYTEOUT 入力 1 バイ ト グループ ト ラ イステート出力。

RST 入力 1 アクティブ High のリセッ ト 。

SHIFTIN1 入力 1 データ幅拡張用のキャ リー入力。 マス ター OSERDESE2 の SHIFTIN1 に接続。

「OSERDESE2 のビッ ト幅拡張」 を参照。

SHIFTIN2 入力 1 データ幅拡張用のキャ リー入力。 マス ター OSERDESE2 の SHIFTIN2 に接続。

「OSERDESE2 のビッ ト幅拡張」 を参照。

T1 ~ T4 入力 各 1 パラレル ト ラ イステート入力。「パラレル ト ラ イステート入力 - T1 ~ T4」 を参照。

158 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

ト ライステート制御出力 - TQ

このポートは、 OSERDESE2 モジュールの ト ラ イステート制御出力です。 このポート を使用する

と、 ト ラ イステート パラレル-シ リ アル コンバーターの出力が IOB の制御/ ト ラ イステート入力に

接続されます。

ト ライステート制御出力 - TFB

TFB ポートは、 ユーザーの要求に応じてファブ リ ッ クへ送信される OSERDESE2 モジュールのト

ラ イステート制御出力です。 OSERDESE2 がト ラ イステートであるこ とを意味します。

高速クロック入力 - CLK

この高速クロ ッ ク入力は、 パラレル-シ リ アル コンバーターのシ リアル側を駆動します。

分周クロック入力 - CLKDIV

この分周高速クロ ッ ク入力は、 パラレル-シ リ アル コンバーターのパラレル側を駆動します。 これ

は、 CLK ポートに接続されたクロ ッ クを分周したものです。

パラレル データ入力 - D1 ~ D8

すべての入力パラレル データは、 D1 ~ D6 ポートから OSERDESE2 モジュールに入力されます。

これらのポートは FPGA ファブリ ッ クに接続され、2 から 8 ビッ ト と して設定できます (例 : 8:1 シリ アライズ)。また、8 よ り大きい ( 大 10 および 14) ビッ ト幅については、2 つ目の OSERDESE2をスレーブ モードで使用するこ とでサポートできます。 「OSERDESE2 のビッ ト幅拡張」 を参照し

て ください。 ISERDESE2 の入力/出力におけるビッ ト順および OSERDESE2 の対応するビッ ト順

については、 141 ページの図 3-3 を参照して ください。

リセッ ト入力 - RST

リ セッ ト入力がアサート される と、 CLK および CLKDIV ド メ インのすべてのデータ フ リ ップフ

ロ ップの出力を非同期に Low 駆動します。 CLKDIV に同期してディアサート される場合、 内部ロ

ジッ クによって、このディアサートが CLK の 初の立ち上がりエッジへリ タイ ミ ングされます。し

たがって、 複数ビッ ト出力構造のすべての OSERDESE2 は、 同じ リセッ ト信号で駆動され、 すべ

ての OSERDESE2 エレ メ ン トが同時に リ セッ ト状態から遷移できるよ うに CLKDIV に同期して

アサートおよびディアサート される必要があ り ます。 リセッ ト信号は、 CLK と CLKDIV が安定し

て現れているこ とが確認された場合のみディアサート されるべきです。

出力データ クロック イネーブル - OCE

OCE は、 データ パス用のアクティブ High のクロ ッ ク イネーブル信号です。

ト ライステート信号クロック イネーブル - TCE

TCE は、 ト ラ イステート制御パス用のアクティブ High のクロ ッ ク イネーブル信号です。

パラレル ト ライステート入力 - T1 ~ T4

すべてのパラレル ト ラ イステート信号は、 T1 ~ T4 ポートから OSERDESE2 に入力されます。 こ

れらのポートは FPGA ファブリ ッ クに接続され、1、2 または 4 ビッ ト と してコンフ ィギュレーシ ョ

ンできます。

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 159UG471 (v1.4) 2014 年 5 月 13 日

出力パラレル-シリアル ロジック リソース (OSERDESE2)

OSERDESE2 の属性

表 3-7 に、 OSERDESE2 プリ ミ ティブで使用可能な各種属性を示します。表には、デフォルト値も

記載してあ り ます。

DATA_RATE_OQ 属性

DATA_RATE_OQ 属性は、 データ レートがシングル データ レート (SDR) であるか、 ダブル デー

タ レート (DDR) であるかを指定します。有効な設定値は SDR および DDR であ り、デフォルトは

DDR です。

DATA_RATE_TQ 属性

DATA_RATE_TQ 属性は、 ト ラ イステート制御のレート がシングル データ レート (SDR) である

か、 ダブル データ レート (DDR) であるかを指定します。 有効な設定値は SDR および DDR であ

り、 デフォルトは DDR です。

表 3-7 : OSERDESE2 属性のまとめ

属性 説明 値 デフォルト値

DATA_RATE_OQ CLK に対して、 データ (OQ) をすべてのク

ロ ッ ク エッジで変化させるか、 立ち上が り

エッジごとに変化させるかを指定

文字列 : SDR または DDR DDR

DATA_RATE_TQ ク ロ ッ クに対して、 ト ラ イステート (TQ) をすべてのクロ ッ ク エッジで変化させるか、 立

ち上がりエッジごとに変化させるか、 または

バッファーと して構成するかを指定

文字列 : BUF、 SDR または DDR DDR

DATA_WIDTH パラレル-シ リ アル コンバーターの幅を指定

する。 指定可能な値は DATA_RATE_OQ の設定値によっても異なる

整数 : 2、3、4、5、6、7、8、10、または 14

SDR モードで有効な設定値は 2、 3、4、 5、 6、 7、 8 DDR モードで有効な設定値は 2、 4、6、 8、 10、 14

4

SERDES_MODE ビ ッ ト 幅を拡張する場合、 OSERDESE2 モジュールをマスターかスレーブと して定義す

る。

文字列 : MASTER または SLAVE MASTER

TRISTATE_WIDTH パラレル-シ リ アル ト ラ イステート コンバー

ターの幅を指定

整数 : 1 または 4

有効な組み合わせは OSERDESE2 の属性 (表 3-8) を参照。

4

TBYTE_CTL MIG ツールを使用する場合のみ使用可能。

FALSE に設定。

FALSE、 TRUE FALSE

TBYTE_SRC MIG ツールを使用する場合のみ使用可能。

FALSE に設定。

FALSE、 TRUE FALSE

160 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

DATA_WIDTH 属性

DATA_WIDTH 属性は、 パラレル-シ リ アル コンバーターのパラレル データ入力幅を指定します。

有効な値は DATA_RATE_OQ 属性によって異なり ます。 DATA_RATE_OQ を SDR に設定した場

合、 DATA_WIDTH 属性は 2、 3、 4、 5、 6、 7、 および 8 のいずれかに指定でき ます。 一方、

DATA_RATE_OQ を DDR に設定する と、 4、 6、 8、 10、 または 14 のいずれかに指定できます。

DATA_WIDTH を 8 よ り大きい値に設定する場合は、 2 つの OSERDESE2 をマスターとスレーブ

のペアと して構成する必要があ り ます。 「OSERDESE2 のビッ ト幅拡張」 を参照して ください。

SERDES_MODE 属性

SERDES_MODE 属性は、 データ幅を拡張する際に、 OSERDESE2 モジュールをマスターまたは

スレーブのいずれかに指定します。 有効な設定値は MASTER および SLAVE で、 デフォルト値は

MASTER です。 「OSERDESE2 のビッ ト幅拡張」 を参照してください。

TRISTATE_WIDTH 属性

TRISTATE_WIDTH 属性は、ト ラ イステート制御パラレル-シ リ アル コンバーターのパラレル ト ラ

イ ステー ト 入力幅を指定し ます。 有効な値は DATA_RATE_TQ 属性によ って異な り ます。

DATA_RATE_TQ を SDR または BUF に設定する場合、 指定できる TRISTATE_WIDTH 属性値

は 1 のみです。 一方、 DATA_RATE_TQ を DDR に設定した場合は、 1 および 4 に指定できます。

TRISTATE_WIDTH は 4 よ り大きい値に指定できません。DATA_WIDTH が 4 よ り大きい場合は、

TRISTATE_WIDTH を 1 に指定してください。

表 3-8 に、 OSERDESE2 属性の有効な設定と組み合わせを示します。

OSERDESE2 のクロッキング手法

パラレルからシ リ アルへの変換プロセスでは、 CLK と CLKDIV の位相関係が重要です。 CLK とCLKDIV の位相は許容範囲内で一致するこ とが理想です。

FPGA には CLK および CLKDIV の位相関係の要件を満たすためのク ロ ッ ク配置方法が複数あ り

ます。 OSERDESE2 の有効なクロ ッ ク配置は次の 3 通りのみです。

• CLK は BUFIO で、 CLKDIV は BUFR で駆動

• CLK と CLKDIV は同じ MMCM または PLL の CLKOUT[0:6] で駆動

• CLK と CLKDIV は 2 つの BUFG で駆動

MMCM を使用して OSERDESE2 の CLK および CLKDIV を駆動する場合、OSERDESE2 へ接続

するバッファー タイプは同じでなければなり ません。 たとえば、 CLK が BUFG で駆動されている

場合は、 CLKDIV も BUFG で駆動する必要があ り ます。

表 3-8 : OSERDESE2 属性の組み合わせ

INTERFACE_TYPE DATA_RATE_OQ DATA_RATE_TQ DATA_WIDTH TRISTATE_WIDTH

DEFAULT

SDR SDR 1、 2、 3、 4、 5、 6、 7、 8 1

DDRDDR 4 4

SDR 2、 6、 8、 10、 14 1

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 161UG471 (v1.4) 2014 年 5 月 13 日

出力パラレル-シリアル ロジック リソース (OSERDESE2)

OSERDESE2 のビッ ト幅拡張

2 つの OSERDESE2 モジュールを使用する と、 8:1 よ り大きなシ リ アル-パラレル コンバーターを

作成できます。すべての I/O タイルには、2 つの OSERDESE2 モジュールがあ り、一方がマスター、

も う一方がスレーブです。 マスター OSERDESE2 の SHIFTIN ポート をスレーブ OSERDESE2 のSHIFTOUT ポートに接続するこ とによって、 パラレル-シ リ アル コンバーターを 大 10:1 (SDR)または 14:1 (DDR モードのみ) まで拡張できます。 差動出力の場合、 マスター OSERDESE2 がそ

の差動出力ペアの正の側 (_P ピン) にある必要があ り ます。 差動出力でない場合は、 スレーブ

OSERDESE2 に接続された出力バッファーを使用できないため、 ビッ ト幅の拡張を使用できませ

ん。

相補的なシングルエンドの規格 (DIFF_HSTL や DIFF_SSTL など) を使用する場合、ビッ ト幅の拡

張を使用できない場合があ り ます。 これは、 相補的なシングルエンドの規格が 2 つの相補的信号を

送信するために I/O タイルの OLOGICE2/3 ブロ ッ クを両方と も使用してしまい、 ビッ ト幅の拡張

に使用できる OLOGICE2/3 ブロ ッ クがなくなってしま うためです。

図 3-15 に、 マスターおよびスレーブ OSERDESE2 モジュールを使用した 10:1 DDR パラレル-シリ アル コンバーターのブロ ッ ク図を示します。 ポート D3-D4 は、 スレーブ OSERDESE2 上にあ

るパラレル インターフェイスの 後の 2 ビッ トに使用されます。

X-Ref Target - Figure 3-15

図 3-15 : ビッ ト幅を拡張した OSERDESE2 のブロック図

OQ

Data Inputs[0:7]

Data Inputs[8:9] OSERDESE2(Slave)

SERDES_MODE=SLAVE

OQ

OSERDESE2(Master)

SERDES_MODE = MASTER

D1D2D3D4D5D6

D1D2D3D4D5D6

SHIFTIN1 SHIFTIN2

SHIFTOUT1 SHIFTOUT2

D7D8

D7D8

Data Out

ug471_c3_15_111011

162 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

表 3-9 に、 SDR および DDR モードで拡張できるデータ幅を示します。

パラレル-シリアル コンバーター のビッ ト幅拡張のガイド ライン

1. 2 つの OSERDESE2 モジュールは隣接したマスターおよびスレーブのペアである必要があ り

ます。

2. マスター OSERDESE2 の SERDES_MODE 属性を MASTER に、 スレーブ OSERDESE2 のSERDES_MODE 属性を SLAVE に設定します。 「SERDES_MODE 属性」 を参照して くださ

い。

3. マスターの SHIFTIN ポート をスレーブの SHIFTOUT ポートに接続します。

4. スレーブは、 入力と してポート D3 ~ D8 のみを使用します。

5. マスターおよびスレーブの DATA_WIDTH は同一です。「DATA_WIDTH 属性」 を参照してく

ださい。

6. INTERFACE_TYPE を DEFAULT に設定します。

表 3-10 に、 データ幅拡張に使用されるスレーブ入力を示します。

出力フ ィードバック

OSERDESE2 の OFB ピンには、 次の 2 つの機能があ り ます。

• ISERDESE2 OFB ピンへのフ ィードバッ ク パス。 「OSERDESE2 から ISERDESE2 への

フ ィードバッ ク OSERDESE2」 を参照してください。

• ODELAYE2 への接続。 OSERDESE2 の出力は OFB ピンを介して ODELAYE2 へ配線し、 遅

延を与えるこ とができます。

OSERDESE2 のレイテンシ

DEFAULT インターフェイス タイプのレイテンシ

OSERDESE2 ブロ ッ クの入力から出力へのレ イ テンシは、 DATA_RATE 属性および

DATA_WIDTH 属性によって異な り ます。 レ イテンシは、 (a) CLKDIV の立ち上が り エッジで、

OSERDESE2 への入力 D1-D8 のデータに対してクロ ッ ク入力がある と き (b) シ リ アル データ ス ト

リ ームの 初のビ ッ ト が OQ に出力された と き、 の 2 つのイベン ト 間の時間で定義されます。

表 3-11 に、 OSERDESE2 のレイテンシ値を示します。

表 3-9 : OSERDESE2SDR/DDR で拡張可能なデータ幅

SDR データ幅 2、 3、 4、 5、 6、 7、 8

DDR データ幅 4、 6、 8、 10、 14

表 3-10 : データ幅拡張に使用されるスレーブ入力

データ幅 使用されるスレーブ入力

10 D3–D4

14 D3–D8

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 163UG471 (v1.4) 2014 年 5 月 13 日

出力パラレル-シリアル ロジック リソース (OSERDESE2)

OSERDESE2 のタイ ミング モデルおよびパラメーター

このセクシ ョ ンでは、 OSERDESE2 ブロ ッ クに関するすべてのタイ ミ ング モデルについて説明し

ます。 表 3-12 に、 『7 シ リーズ FPGA データシート 』 に記載されている OSERDESE2 の機能とス

イ ッチ特性に関連する制御信号を示します。

表 3-11 : OSERDESE2 のレイテンシ

DATA_RATE DATA_WIDTH レイテンシ

SDR

2:1 1 CLK サイクル

3:1 2 CLK サイクル

4:1 3 CLK サイクル

5:1 4 CLK サイクル

6:1 5 CLK サイクル

7:1 6 CLK サイクル

8:1 7 CLK サイクル

DDR

4:1 2 CLK サイクル

6:1 3 CLK サイクル

8:1 4 CLK サイクル

10:1 5 CLK サイクル

14:1 7 CLK サイクル

表 3-12 : OSERDESE2 のスイッチ特性

シンボル 説明

セッ トアップ/ホールド

TOSDCK_D/TOSCKD_D D 入力の CLKDIV に対するセッ ト アップ/ホールド

TOSDCK_T/TOSCKD_T T 入力の CLK に対するセッ ト アップ/ホールド

TOSDCK_T/TOSCKD_T T 入力の CLKDIV に対するセッ ト アップ/ホールド

TOSCCK_OCE/TOSCKC_OCE OCE 入力の CLK に対するセッ ト アップ/ホールド

TOSCCK_TCE/TOSCKC_TCE TCE 入力の CLK に対するセッ ト アップ/ホールド

シーケンシャル遅延

TOSCKO_OQ CLK から OQ までの Clock-to-Out 遅延

TOSCKO_TQ CLK から TQ までの Clock-to-Out 遅延

組み合わせ

TOSCO_OQ 非同期リセッ トから OQ までの遅延

TOSCO_TQ 非同期リセッ トから TQ までの遅延

164 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

2:1 SDR シリアライズのタイ ミング特性

図 3-16 に、 2:1 SDR データをシ リ アライズする場合のタイ ミ ング図を示します。

クロック イベン ト 1

CLKDIV の立ち上がりエッジで、ワード AB が FPGA ロジッ クから OSERDESE2 の D1 と D2 入力へ、 一定の伝搬遅延を経て駆動されます。

クロック イベン ト 2

CLKDIV の立ち上がりエッジで、 ワード AB が D1 と D2 入力から OSERDESE2 にサンプルされ

ます。

クロック イベン ト 3

OSERDESE2 に AB が サンプルされた CLK の 1 サイクル後、 OQ にデータ ビッ ト A が出力され

ます。このレイテンシは、表 3-11 に示した 2:1 SDR モードの OSERDESE2 レイテンシ (1 CLK サイクル) と一致します。

X-Ref Target - Figure 3-16

図 3-16 : 2:1 SDR モードの OSERDESE2 のデータ フローとレイテンシ

UG471_c3_16_111011

D2 B D

CLKDIV

CLK

A B C D E FOQ

D1 A C

F

E

ClockEvent 1

ClockEvent 2

ClockEvent 3

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 165UG471 (v1.4) 2014 年 5 月 13 日

出力パラレル-シリアル ロジック リソース (OSERDESE2)

8:1 DDR シリアライズ化のタイ ミング特性

図 3-17 に、 8:1 DDR データ シ リ アライズのタイ ミ ング図を示します。 8 ビッ トすべてがマスター

OSERDESE2 の D1-D6 に接続されます。 これは、 カスケード接続が必要だった前世代から変更

された点です。

クロック イベン ト 1

CLKDIV の立ち上がりエッジで、 ワード ABCDEFGH が FPGA ロジッ クから OSERDESE2 のD1-D8 入力へ駆動されます。

クロック イベン ト 2

CLKDIV の立ち上がりエッジで、 ワード ABCDEFGH が D1-D8 入力から OSERDESE2 にサン

プルされます。

クロック イベン ト 3

OSERDESE2 に ABCDEFGH が サンプルされた CLK の 4 サイクル後、OQ にデータ ビッ ト A が出力されます。 このレイテンシは、 表 3-11 に示した 8:1 DDR モードの OSERDESE2 レイテンシ

(CLK の 4 サイクル) と一致します。

2 つ目のワード IJKLMNOP が D1-D8 入力から OSERDESE2 にサンプルされます。

X-Ref Target - Figure 3-17

図 3-17 : 8:1 DDR モード OSERDESE2 のデータ フローとレイテンシ

ClockEvent 1

UG471_c3_17_111011

Master.D1

Master.D2

Master.D3

Master.D4

Master.D5

Master.D6

Master.D7

Master.D8

A I

B J

C K

D L

E M

F N

G O

H P

CLKDIV

CLK

A B C D E F G H IOQ

ClockEvent 2

ClockEvent 3

ClockEvent 4

166 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

クロック イベン ト 4

ク ロ ッ ク イベン ト 3 と 4 の間に、 ワード ABCDEFGH のすべてが OQ にシ リ アル送信され、 合計

8 ビッ トが CLKDIV の 1 サイクルで送信されます。

OSERDESE2 に IJKLMNOP が サンプルされてから CLK の 4 サイ クル後に、 データ ビッ ト I がOQ に出力されます。 このレイテンシは、 表 3-11 に示した 8:1 DDR モードの OSERDESE2 レイ

テンシ (CLK の 4 サイ クル) と一致します。

4:1 DDR ト ライステート コン ト ローラーによるシリアライズのタイ ミング特性

図 3-18 に、 ト ラ イステート コン ト ローラーの動作を示します。 4:1 DDR の例は、 IOB が頻繁にト

ラ イステートになる双方向システムです。

クロック イベン ト 1

T1、 T2、 および T4 が Low 駆動されて ト ライステート状態が解除されます。 シ リ アライズ パスの

T1-T4 と OSERDESE2 の D1-D4 は同じ (レイテンシを含む) です。 したがってクロ ッ ク イベン

ト 1 では、 常にビッ ト EFGH が T1-T4 ピンに現れる 0010 と一致します。

X-Ref Target - Figure 3-18

図 3-18 : 4:1 DDR モード OSERDESE2 のデータ フローとレイテンシ

ClockEvent 1

UG471_c3_18_021914

D1 A E I

B F J

C G K

D

1 0 1

1 0 1

1 11

1

A B C D E F G H

E F H

I J K L

0 1

H L

D2

D3

D4

CLKDIV

CLK

T1

T2

T3

T4

OQ

TQ

OBUFT.O

ClockEvent 2

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 167UG471 (v1.4) 2014 年 5 月 13 日

IO_FIFO の概要

クロック イベン ト 2

OSERDESE2 に EFGH が サンプルされてから CLK の 1 サイ クル後に、ビッ ト E が OQ に現れま

す。 このレイテンシは、 表 3-11 に示した 4:1 DDR モード OSERDESE2 のレイテンシ (CLK の 1サイクル) と同じです。

ク ロ ッ ク イベン ト 1 における T1 のト ライステート ビッ ト 0 は、 0010 が OSERDESE2 ト ラ イス

テート ブロ ッ クにサンプルされてから CLK の 1 サイ クル後に TQ に現れます。このレイテンシは、

表 3-11 に示した 4:1 DDR モード OSERDESE2 のレイテンシ (CLK の 1 サイ クル) と同じです。

OSERDESE2 の VHDL および Verilog インスタンシエーシ ョ ンのテンプレート

『7 シ リ ーズ FPGA ラ イブラ リ ガイ ド』 に、 VHDL および Verilog を使用した OSERDESE2 モジュールのインスタンシエーシ ョ ン テンプレートがあ り ます。

IO_FIFO の概要

7 シ リーズ デバイスには、 深度の浅い IN_FIFO と OUT_FIFO (総称 IO_FIFO) があ り、 これらは

各 I/O バンクに含まれます。 これらの IO_FIFO はメモ リ アプリ ケーシ ョ ン用に設計されています

が、 汎用リ ソース と して利用できます。 汎用リ ソースと して利用できるよ うに、 すべての入力およ

び出力はインターコネク ト を介して配線されます。 IO_FIFO の も一般的な使用法は、 IOLOGICの拡張と して外部コンポーネン ト (例 : ISERDES または IDDR、OSERDES または ODDR) へ接続

する方法です。 IO_FIFO は、一般的なインターコネク ト を使用できるため、付加的なファブリ ッ ク

FIFO リ ソース と して利用できます。

各 I/O バンクには、 4 つの IO_FIFO が含まれており、 各バイ ト グループに 1 つずつ割り当てられ

ています。 1 つのバイ ト グループは、 同じバン ク内にある 12 個の I/O と して定義されます。

IO_FIFO は、 I/O バイ ト グループに対して物理的に整列されています。 これによ り、 IO_FIFO を使用して入力および出力 SERDES エレ メン ト などの IOI コンポーネン トへインターフェイスする

際に 高の性能を達成できます。 実際、 この使用法が も一般的です。 ただし、 これらの位置関係

を無視して、 IO_FIFO は FPGA ファブリ ッ ク内のリ ソースやその他の I/O バンクへインターフェ

イスするこ と も可能です (バイ ト グループのピン配置の詳細は、 『7 シ リーズ FPGA パッケージお

よびピン配置ガイ ド』 (UG475) 参照)。 このセクシ ョ ンでは、 IOI コンポーネン トへインターフェイ

スする IO_FIFO の使用法について説明します。

FPGA へ入力する外部データについては、 IN_FIFO が ILOGIC (例 : ISERDESE2、 IDDR、 IBUF)へ接続して入力データを受信し、 それらをファブリ ッ クへ渡します。 FPGA から出力されるデータ

については、 OUT_FIFO が OLOGIC (例 : OSERDESE2、 ODDR、 OBUF) へ接続して、 フ ァブ

リ ッ クからデータを受信してそれらを IOB へ送り ます。

IN_FIFO は、ILOGIC ブロ ッ クから 4 ビッ ト データを受信し、ファブ リ ッ ク側はアレイから 4 ビッ

ト または 8 ビッ ト データを読み出します。 OUT_FIFO はファブリ ッ クから 4 ビッ ト または 8 ビッ

ト データを受信し、 OLOGIC ブロ ッ クはアレイから 4 ビッ ト データを読み出します。

各 IO_FIFO には、768 ビッ トのス ト レージ アレイがあ り、4 ビッ ト データを 12 グループまたは 8ビッ ト データを 10 グループと して配列できます。1 つの IO_FIFO は、入力レジスタ と出力レジス

タを含む合計 9 エン ト リです。 一般的に、 IO_FIFO は、 2 つの周波数ド メ イン間 (例 : BUFR ド メ

インと BUFG または BUFH ド メ イン間) をまたぐパラレル I/O インターフェイス用のバッファー

と して、 またはファブ リ ッ クの性能要件を緩和するためにファブ リ ッ クから PHY を分離させるた

めの 2:1 シ リ アライザー /デシ リ アライザーと して使用されます。

168 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

IO_FIFO は、 通常の FIFO よ り深度は浅いのですが機能は同じです。 IO_FIFO の主な目的は、 I/Oデータ転送機能をサポートする こ とです。 ビル ト インの FIFO や LUT ベース FIFO の代替ではあ

り ません。 IO_FIFO は、 標準のフラグ ロジッ ク、 ク ロ ッ ク、 および制御信号をサポート していま

す。 IO_FIFO は、 2 つのモード (4 x 4 モード (1:1) または 4 x 8/8 x 4 モード (1:2/2:1)) で動作可能

です。

IO_FIFO には、 入力レジスタ、 7 エン ト リの FIFO コア、 および出力レジスタがあ り ます (図 3-19参照)。入力レジスタ と出力レジスタは、 IO_FIFO の構成要素の一部であ り、 フル IO_FIFO の場合

に 8 番目のス ト レージ ロケーシ ョ ンを提供します。 これらのレジスタ、 FIFO コア、 および制御信

号は、 一つのア ト ミ ッ クな単位と して捉えられます。

IN_FIFO

IN_FIFO は、 大限の性能を実現するために I/O バイ ト グループに物理的に揃えられています。 8エン ト リの IN_FIFO は、 次に示す 2 つの動作モードでデータ転送を行います。

• 4 x 4 モード – このモードの場合、FIFO は 12 個の 4 ビッ ト幅データ入力 (D) と 12 個の 4 ビッ

ト幅データ出力 (Q) でコンフ ィギュレーシ ョ ンされます。D0[3:0]-D9[3:0] ポートは、Q0[3:0]-Q9[3:0] ポートへマッピングされます。D5[7:4] と D6[7:4] は、D10[3:0] および D11[3:0] として機能する追加された 2 つのデータ入力ポートであ り、 Q5[7:4] および Q6[7:4] つま り追加

された出力ポート Q10[3:0] および Q11[3:0] へマッピングされます。その他の Qn[7:4] ポート

は使用されません。 表 3-13 に、 4 x 4 モードの詳細を示します。

X-Ref Target - Figure 3-19

図 3-19 : IO_FIFO のアーキテクチャの概略図

Write Clock Domain8 Clock Cycles

Read Clock Domain8 Clock Cycles

QD

EN

FULL EMPTY

ALMOSTFULL ALMOSTEMPTY

EN

WRENWRCLK

RDENRDCLK

FIFO Core7 Entries Deep

Register Register

UG471_c3_19_111011

D Q

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 169UG471 (v1.4) 2014 年 5 月 13 日

IO_FIFO の概要

• 4 x 8 モード – このモードの場合、FIFO は 10 個の 4 ビッ ト幅データ入力 (D) と 10 個の 8 ビッ

ト幅データ出力 (Q) でコンフ ィギュレーシ ョ ンされます。 4 ビッ ト入力データはデマルチプレ

クスされて、 8 ビッ ト出力データ幅を構成します。 一般に、 このモードは出力クロ ッ ク周波数

が入力クロ ッ ク周波数の半分以上の場合に使用されるため、 出力データ幅は入力データ幅の 2倍とな り ます。 表 3-14 に、 4 x 8 モードの詳細を示します。

これら 2 つのモードは、 FULL、 EMPTY、 ALMOSTFULL、 および ALMOSTEMPTY フラグを

サポート しています。

IN_FIFO プリ ミテ ィブ

図 3-20 に、 IN_FIFO プリ ミ ティブを示します。

表 3-13 : IN_FIFO の入力から出力へのデータ マッピング (4 x 4 モード )

マッピング 使用されない

D0[3:0] → Q0[3:0] Q0[7:4]

D1[3:0] → Q1[3:0] Q1[7:4]

D2[3:0] → Q2[3:0] Q2[7:4]

D3[3:0] → Q3[3:0] Q3[7:4]

D4[3:0] → Q4[3:0] Q4[7:4]

D5[3:0] → Q5[3:0]

D6[3:0] → Q6[3:0]

D7[3:0] → Q7[3:0] Q7[7:4]

D8[3:0] → Q8[3:0] Q8[7:4]

D9[3:0] → Q9[3:0] Q9[7:4]

D10[3:0] は D5[7:4] → Q5[7:4]

D11[3:0] は D6[7:4] → Q6[7:4]

表 3-14 : IN_FIFO の入力から出力へのデータ マッピング (4 x 8 モード )

マッピング 使用されない

D0[3:0] → Q0[7:0]

D1[3:0] → Q1[7:0]

D2[3:0] → Q2[7:0]

D3[3:0] → Q3[7:0]

D4[3:0] → Q4[7:0]

D5[3:0] → Q5[7:0]

D6[3:0] → Q6[7:0]

D7[3:0] → Q7[7:0]

D8[3:0] → Q8[7:0]

D9[3:0] → Q9[7:0]

170 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

表 3-15 に、 IN_FIFO プリ ミ ティブで使用可能なポート を示します。

X-Ref Target - Figure 3-20

図 3-20 : IN_FIFO プリ ミテ ィブ

表 3-15 : IN_FIFO のポート

ポート名 入力/出力 説明

RDCLK I 読み出しクロ ッ ク。 BUFR、 BUFG、 または BUFH へ接続

する。

WRCLK I 書き込みクロ ッ ク。 BUFR、 BUFG、 または BUFH へ接続

する。

RESET I アクティブ High のリセッ ト信号。すべてのカウンター、ポ

インター、 データを リセッ トする。

D0[3:0] – D9[3:0] I 4 x 8 モードの場合は 10 個の 4 ビッ ト データ入力ポート と

な り、 4 x 4 モードの場合は 12 個の 4 ビッ ト データ入力

ポート となる。 外部インターフェイスに使用する場合は、

ILOGIC へ接続する。

D5[7:4]、 D6[7:4] I 追加されるデータ入力ポートの D10 および D11。 x4 モー

ドの場合のみ使用される。 これらのポートのデータは、 対

応するポート Q5[7:4] および Q6[7:4] に現れる。

RDEN I 読み出しイネーブル。

WREN I 書き込みイネーブル。

D0[3:0]

EMPTY

D1[3:0]

D2[3:0]

D3[3:0]

D4[3:0]

D5[7:0]

D6[7:0]

D7[3:0]

D8[3:0]

D9[3:0]

RDEN

WREN

RDCLK

WRCLK

RESET

Q0[7:0]

Q1[7:0]

Q2[7:0]

Q3[7:0]

Q4[7:0]

Q5[7:0]

Q6[7:0]

Q7[7:0]

Q8[7:0]

Q9[7:0]

FULL

ALMOSTEMPTY

ALMOSTFULL

UG471_c3_20_111611

(1)

(1)

(1)

(1)

Notes:1. Extra input ports D10 (D5[7:4]) and D11 (D6[7:4]) and output ports Q10 (Q5[7:4]) and Q11 (Q5[7:4]) in 4 x 4 mode.

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 171UG471 (v1.4) 2014 年 5 月 13 日

IO_FIFO の概要

OUT_FIFO

OUT_FIFO は、 IN_FIFO と共に配置され、 大限の性能を実現するために I/O バイ ト グループに

物理的に揃えられています。 8 エン ト リの OUT_FIFO は、 次に示す 2 つの動作モードでデータ転

送を行います。

• 4 x 4 モード – このモードの場合、 FIFO は 12 個の 4 ビッ ト幅データ入力 (D) と 12 個の 4ビッ ト幅データ出力 (Q) でコンフ ィギュレーシ ョ ンされます。 D0[3:0]-D9[3:0] ポートは、

Q0[3:0]-Q9[3:0] ポートへマッピングされます。 D5[7:4] と D6[7:4] は、 D10 と D11 と し

て機能する追加された 2 つのデータ入力ポー ト であ り、 Q5[7:4] と Q6[7:4] 出力ポー ト へ

マッピングされます。 その他の Qn[7:4] ポートは使用されません。 表 3-16 に、 4 x 4 モード

の詳細を示します。

Q0[7:0] – Q9[7:0] O 4 x 8 モードの場合は 10 個の 8 ビッ トデータ出力バスとな

り、4 x 4 モードの場合は 10 個の 4 ビッ トデータ出力バス

となる。 外部インターフェイスに使用する場合は、 ファブ

リ ッ クへ接続する。

Q5[7:4]、 Q6[7:4] O 追加されるデータ出力ポートの Q10 および Q11。 x4 モー

ドの場合のみ使用される。 これらのポートのデータは、 対

応する入力ポート D5[7:4] および D6[7:4] で受信される。

EMPTY O Empty フラグ。 RDCLK に同期する。

FULL O Full フラグ。 WRCLK に同期する。

ALMOSTEMPTY(1) O レベルをプログラム可能な Empty フラグ。RDCLK に同期

する。

ALMOSTFULL(1) O レベルをプログラム可能な Full フラグ。 WRCLK に同期

する。

注記 :

1. 対応する属性を 1 または 2 に設定できます (175 ページの表 3-19 参照)。 それに応じて、 フラグがアサート

された後に 1 つまたは 2 つの読み出しまたは書き込みが実行されます。 非同期 FIFO の特性によ り、 追加

で 1 つまたは 2 つの読み出しまたは書き込みが生じる可能性があ り、合計の読み出しまたは書き込み数が 3つまたは 4 つに増加します。

表 3-15 : IN_FIFO のポート (続き)

ポート名 入力/出力 説明

表 3-16 : OUT_FIFO の入力から出力へのデータ マッピング (4 x 4 モード )

マッピング 使用されない

D0[3:0] → Q0[3:0] Q0[7:4]

D1[3:0] → Q1[3:0] Q1[7:4]

D2[3:0] → Q2[3:0] Q2[7:4]

D3[3:0] → Q3[3:0] Q3[7:4]

D4[3:0] → Q4[3:0] Q4[7:4]

D5[3:0] → Q4[3:0]

D6[3:0] → Q6[3:0]

D7[3:0] → Q7[3:0] Q7[7:4]

172 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

• 8 x 4 モード – このモードの場合、FIFO は 10 個の 8 ビッ ト幅データ入力 (D) と 10 個の 4 ビッ

ト幅データ出力 (Q) でコンフ ィギュレーシ ョ ンされます。出力データ パスにある 2:1 マルチプ

レクサーによって、 8 ビッ ト入力データが 4 ビッ ト出力データ幅へシ リ アライズされます。 一

般的に、 このモードは出力クロ ッ ク周波数が入力クロ ッ ク周波数の 2 倍の場合に使用されるた

め、出力データ幅は入力データ幅の半分とな り ます。表 3-17 に、8 x 4 モードの詳細を示します。

これら 2 つのモードは、 FULL、 EMPTY、 ALMOSTFULL、 および ALMOSTEMPTY フラグを

サポート しています。

OUT_FIFO プリ ミテ ィブ

図 3-21 に、 OUT_FIFO プリ ミ ティブを示します。

D8[3:0] → Q8[3:0] Q8[7:4]

D9[3:0] → Q9[3:0] Q9[7:4]

D10[7:4] は D5[7:4] → Q5[7:4]

D11[7:4] は D6[7:4] → Q6[7:4]

表 3-17 : OUT_FIFO の入力から出力へのデータ マッピング (8 x 4 モード )

マッピング 使用されない

D0[7:0] → Q0[3:0]

D1[7:0] → Q1[3:0]

D2[7:0] → Q2[3:0]

D3[7:0] → Q3[3:0]

D4[7:0] → Q4[3:0]

D5[7:0] → Q5[3:0]

D6[7:0] → Q6[3:0]

D7[7:0] → Q7[3:0]

D8[7:0] → Q8[3:0]

D9[7:0] → Q9[3:0]

表 3-16 : OUT_FIFO の入力から出力へのデータ マッピング (4 x 4 モード ) (続き)

マッピング 使用されない

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 173UG471 (v1.4) 2014 年 5 月 13 日

IO_FIFO の概要

表 3-18 に、 OUT_FIFO プリ ミ ティブで使用可能なポート を示します。

X-Ref Target - Figure 3-21

図 3-21 : OUT_FIFO プリ ミテ ィブ

表 3-18 : OUT_FIFO ポート

ポート名 入力/出力 説明

RDCLK I 読み出しクロ ッ ク。 BUFR、 BUFG、 または BUFH へ接続

する。

WRCLK I 書き込みクロ ッ ク。 BUFR、 BUFG、 または BUFH へ接続

する。

RESET I アクティブ High のリセッ ト信号。すべてのカウンター、ポ

インター、 データを リセッ トする。

D0[7:0] – D9[7:0] I 8 x 4 モードの場合は 10 個の 8 ビッ ト データ入力ポート と

な り、 4 x 4 モードの場合は 12 個の 4 ビッ ト データ入力

ポート となる。 外部インターフェイスに使用する場合は、

ファブリ ッ クへ接続する。

D5[7:4]、 D6[7:4] I 追加されるデータ入力ポートの D10 および D11。 x4 モー

ドの場合のみ使用される。 これらのポートのデータは、 対

応するポート Q5[7:4] および Q6[7:4] に現れる。

RDEN I 読み出しイネーブル。

WREN I 書き込みイネーブル。

D0[7:0]

EMPTY

D1[7:0]

D2[7:0]

D3[7:0]

D4[7:0]

D5[7:0]

D6[7:0]

D7[7:0]

D8[7:0]

D9[7:0]

RDEN

WREN

RDCLK

WRCLK

RESET

Q0[3:0]

Q1[3:0]

Q2[3:0]

Q3[3:0]

Q4[3:0]

Q5[7:0]

Q6[7:0]

Q7[3:0]

Q8[3:0]

Q9[3:0]

FULL

ALMOSTEMPTY

ALMOSTFULL

(1)

(1)

(1)

(1)

UG471_c3_21_111611

Notes:1. Extra input ports D10 (D5[7:4]) and D11 (D6[7:4]) and output ports Q10 (Q5[7:4]) andQ11 (Q5[7:4]) in 4 x 4 mode.

174 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

第 3 章 : アドバンス SelectIO ロジック リソース

IO_FIFO のリセッ ト

IO_ FIFO には、読み出しクロ ッ ク ド メ インと書き込みクロ ッ ク ド メ インの両方と内部で再同期化

する非同期のリセッ ト信号が 1 つあり ます。確実にリセッ トするには、IO_FIFO へ書き込みを実行

する前に少なく と も RDCLK または WRCLK (いずれか低速の方) の 4 サイ クル間 RESET 信号を

アサートする必要があ り ます。 RESET がアサート されている間、 RDEN と WREN は Low を保持

する必要があ り ます。

IO_FIFO は、書き込みクロ ッ ク と読み出しクロ ッ クの両方が有効になり安定するまでリセッ ト状態

を保持する必要があ り ます。 同様に、 コンフ ィギュレーシ ョ ンが完了するまで、 読み出しまたは書

き込みク ロ ッ クが有効でない場合には、 有効な ク ロ ッ クがアサー ト された後に上記のよ う に

IO_FIFO を リセッ トする必要があ り ます。

EMPTY フラグと FULL フラグ

FULL フラグが High にアサート された場合、 FIFO コアと入力レジスタが両方と も FULL である

こ とを示します。 出力レジスタのステートは無視されます。

EMPTY フラグは、 出力レジスタのステート を示します。 EMPTY フラグが High にアサート され

た場合、 出力レジスタのデータは無効です。

ALMOST EMPTY フラグと ALMOST FULL フラグ

ALMOSTEMPTY フラグと ALMOSTFULL フラグは、 IO_FIFO が限界値に近付いているこ とを

早期に示すフラグです。 これらのフラグは、 IO_FIFO が Full または Empty 状態となる 1 サイクル

または 2 サイ クル前にアサートするよ うに設定できます。 この値が 1 の場合は、読み出しまたは書

き込みが可能なワードが 1 ワードのみ残っているこ とを示し、 2 の場合は、 読み出しまたは書き込

みが可能なワードが 2 ワード残っているこ とを示します。

Q0[3:0] – Q9[3:0] O 10 個の 4 ビッ ト データ出力バス。 外部インターフェイス

に使用する場合は、 OLOGIC へ接続する。

Q5[7:4]、 Q6[7:4] O 追加されるデータ出力ポートの Q10 および Q11。 x4 モー

ドの場合のみ使用される。 これらのポートのデータは、 対

応する入力ポー ト D5[7:4] および D6[7:4] から受信され

る。

EMPTY O Empty フラグ。 RDCLK に同期する。

FULL O Full フラグ。 WRCLK に同期する。

ALMOSTEMPTY(1) O レベルをプログラム可能な Empty フラグ。RDCLK に同期

する。

ALMOSTFULL(1) O レベルをプログラム可能な Full フラグ。 WRCLK に同期

する。

注記 :

1. 対応する属性を 1 または 2 に設定できます (175 ページの表 3-19 参照)。 それに応じて、 フラグがアサート

された後に 1 つまたは 2 つの読み出しまたは書き込みが実行されます。 非同期 FIFO の特性によ り、 追加

で 1 つまたは 2 つの読み出しまたは書き込みが生じる可能性があ り、合計の読み出しまたは書き込み数が 3つまたは 4 つに増加します。

表 3-18 : OUT_FIFO ポート (続き)

ポート名 入力/出力 説明

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 175UG471 (v1.4) 2014 年 5 月 13 日

IO_FIFO の概要

IO_FIFO の非同期性と内部同期によって、これらのフラグはワース ト ケースを示す可能性があ り ま

す。 つま り、 読み出し動作では、 ALMOSTEMPTY が示す 1 または 2 よ り も多くのデータが存在

する可能性があ り ます。 書き込み動作では、 ALMOSTFULL が示す 1 または 2 よ り も多くの書き

込みスペースがある可能性があ り ます。

ALMOSTEMPTY/ALMOSTFULL フラグは、必ずしも FULL/EMPTY フラグと一致する とは限り

ません。ALMOSTEMPTY がアサート され、EMPTY がアサート される前に ALMOSTEMPTY がディアサート される可能性があ り ます。 これは、 WRCLK が RDCLK よ り 2 倍以上高速な場合に

生じます。

表 3-19 に、 有効な IO_FIFO の属性を示します。

.

表 3-19 : IO_FIFO の属性

属性 値 デフォルト値 説明

ARRAY_MODE (IN_FIFO) 文字列 : ARRAY_MODE_4_X_8ARRAY_MODE_4_X_4

ARRAY_MODE_4_X_8 各ポート に対して、 4 入力ビッ ト と 4または 8 出力ビッ ト を定義。

ARRAY_MODE(OUT_FIFO) 文字列 : ARRAY_MODE_8_X_4ARRAY_MODE_4_X_4

ARRAY_MODE_8_X_4 各ポー ト に対して、 4 または 8 入力

ビッ ト と 4 出力ビッ ト を定義。

ALMOST_EMPTY_VALUE 整数 : 1 または 2 1 174 ページの 「ALMOST EMPTY フラ

グと ALMOST FULL フラグ」 を参照。

ALMOST_FULL_VALUE 整数 : 1 または 2 1 174 ページの 「ALMOST EMPTY フラ

グと ALMOST FULL フラグ」 を参照。

OUTPUT_DISABLE ブール値 : TRUE または

FALSEFALSE OUT_FIFO : この属性は、 RD_EN が

Low のと きに Qx 出力を High 駆動す

る。

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 177UG471 (v1.4) 2014 年 5 月 13 日

付録 A

SSO ノ イズ解析の終端オプシ ョ ン

PlanAhead™ ツールでは、ターゲッ ト デバイスやパッケージの I/O ピンに割り当てられているオプ

シ ョ ンや実際の I/O 規格を考慮して、 同時スイ ッチ ノ イズ (SSN) 解析を実行できます。 この機能

の使用法および SSN 解析の実行方法については、『PlanAhead ユーザー ガイ ド』 (UG632) の 「ノ イ

ズ解析予測の使用」 を参照して ください。

各出力ピンには、 ボード上に終端を配置するか否かを指定するオプシ ョ ンがあり ます。 このオプショ

ンがある場合、各 I/O 規格のオフチップ終端フィールドには自動的にデフォルト終端が適用されます。

表 A-1 に、 PlanAhead™ ツールに含まれる SSN 予測ツールを使用する際に、 7 シ リーズ FPGA でサポート されている各 I/O 規格のデフォルト終端をすべて示します。 ユーザーは、 デザイン内の各

I/O に対して、 これらの終端を使用したり、 あるいは使用しないよ うに選択できます。

表 A-1 : 各 I/O 規格の SSN ノ イズ解析のデフォルト終端

I/O 規格(1) デフォルト終端

HSTL_I 遠端に VTT への 50Ω 抵抗

HSTL_I_12 遠端に VTT への 50Ω 抵抗

HSTL_I_18 遠端に VTT への 50Ω 抵抗

HSTL_I_DCI 遠端に VTT への 50Ω 抵抗

HSTL_I_DCI_18 遠端に VTT への 50Ω 抵抗

HSTL_II 近端に VTT への 50Ω 抵抗、 および遠端に VTTへの 50Ω 抵抗

HSTL_II_18 近端に VTT への 50Ω 抵抗、 および遠端に VTTへの 50Ω 抵抗

HSTL_II_DCI 遠端に VTT への 50Ω 抵抗

HSTL_II_DCI_18 遠端に VTT への 50Ω 抵抗

HSTL_II_T_DCI 遠端に VTT への 50Ω 抵抗

HSTL_II_T_DCI_18 遠端に VTT への 50Ω 抵抗

HSUL_12 なし

HSUL_12_DCI なし

LVCMOS (すべての電圧)LVTTL (駆動電流 : 2mA、 4mA、 6mA、 8mA)

なし

LVCMOS (すべての電圧)LVTTL (駆動電流 : 12mA、 16mA、 24mA)

遠端に VTT への 50Ω 抵抗

MOBILE_DDR なし

178 japan.xilinx.com 7 シリーズ FPGA SelectIO リソース ユーザー ガイド

UG471 (v1.4) 2014 年 5 月 13 日

付録 A : SSO ノ イズ解析の終端オプシ ョ ン

SSTL12 遠端に VTT への 50Ω 抵抗

SSTL12_DCI 遠端に VTT への 50Ω 抵抗

SSTL12_T_DCI 遠端に VTT への 50Ω 抵抗

SSTL135 遠端に VTT への 50Ω 抵抗

SSTL135_DCI 遠端に VTT への 50Ω 抵抗

SSTL135_R 遠端に VTT への 50Ω 抵抗

SSTL135_T_DCI 遠端に VTT への 50Ω 抵抗

SSTL15 遠端に VTT への 50Ω 抵抗

SSTL15_DCI 遠端に VTT への 50Ω 抵抗

SSTL15_R 遠端に VTT への 50Ω 抵抗

SSTL15_T_DCI 遠端に VTT への 50Ω 抵抗

SSTL18_I 遠端に VTT への 50Ω 抵抗

SSTL18_I_DCI 遠端に VTT への 50Ω 抵抗

SSTL18_II 近端に VTT への 50Ω 抵抗、 および遠端に VTTへの 50Ω 抵抗

SSTL18_II_DCI 遠端に VTT への 50Ω 抵抗

SSTL18_II_T_DCI 遠端に VTT への 50Ω 抵抗

BLVDS_25 近端に 165Ω 直列抵抗、 近端に 140Ω の差動抵

抗、 および遠端に 100Ω の差動抵抗

HSLVDCI_15 なし

HSLVDCI_18 なし

LVDCI_15 なし

LVDCI_18 なし

LVDCI_DV2_15 なし

LVDCI_DV2_18 なし

LVDS 遠端に 100Ω の差動抵抗

LVDS_25 遠端に 100Ω の差動抵抗

MINI_LVDS_25 遠端に 100Ω の差動抵抗

PCI33_3 なし

PPDS_25 遠端に 100Ω の差動抵抗

RSDS_25 遠端に 100Ω の差動抵抗

TMDS_33 遠端に 3.3V への 50Ω 抵抗

注記 :

1. HSTL、 SSTL、 HSUL、 および MOBILE_DDR 規格のすべての差動バージ ョ ン (例 : DIFF_SSTL135) には、 シングルエンド バージ ョ ンと同じ終端があ り ます。

表 A-1 : 各 I/O 規格の SSN ノ イズ解析のデフォルト終端 (続き)

I/O 規格(1) デフォルト終端

7 シリーズ FPGA SelectIO リソース ユーザー ガイ ド japan.xilinx.com 179UG471 (v1.4) 2014 年 5 月 13 日

図 A-1 に、 これらの終端を示します。

X-Ref Target - Figure A-1

図 A-1 : デフォルト終端

ug471_aA_01_050212

Unterminated

Z=50

Z=50

50Far-end Parallel Termination to VCCOFP_VCCO_50

VCCO

50

1KFar-end Parallel Termination to VCCOFP_VCCO_1000

VCCO

Z=50

1K

Z=50

50Far-end Parallel Termination to 3.3VFP_3.3_50

3.3V

50

1KFar-end Parallel Termination to 3.3VFP_3.3_1000

3.3V

Z=50

1K

50Far-end Parallel Termination to VTTFP_VTT_50

VTT = VCCO/2

Z=50

50

50Near-end Parallel Termination to VTT50Far-end Parallel Termination to VTT

NP_VTT_50_FP_VTT_50

100Far-end Differential TerminationFD_100

VTT = VCCO/2

Z=50

50

100

VTT = VCCO/2

50

165Near Series, 140Near Differential,100Far Differential

NS_165_ND_140_FD_100

Z=50

ZDIFF=100

100 140

165

165

Z=50

70Near Series, 187Near Differential,100Far Differential

NS_70_ND_187_FD_100

Z=50 100 187

70

70

Z=50