7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング...

114
7 シリーズ FPGA クロッキング リソース ユーザー ガイド UG472 (v1.11) 2014 11 19 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま す。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の 上、最新情報につきましては、必ず最新英語版をご参照ください。

Transcript of 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング...

Page 1: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース

ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com UG472 (v1.11) 2014 年 11 月 19 日

The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.Tothe maximum extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx herebyDISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOTLIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULARPURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory ofliability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (includingyour use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including lossof data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if suchdamage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes noobligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to productspecifications.You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent.Certainproducts are subject to the terms and conditions of Xilinx’s limited warranty, please refer to Xilinx’s Terms of Sale which can beviewed at http://www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and support terms contained in a licenseissued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application requiringfail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer toXilinx’s Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos.

AUTOMOTIVE APPLICATIONS DISCLAIMERXILINX PRODUCTS ARE NOT DESIGNED OR INTENDED TO BE FAIL-SAFE, OR FOR USE IN ANY APPLICATIONREQUIRING FAIL-SAFE PERFORMANCE, SUCH AS APPLICATIONS RELATED TO:(I) THE DEPLOYMENT OFAIRBAGS, (II) CONTROL OF A VEHICLE, UNLESS THERE IS A FAIL-SAFE OR REDUNDANCY FEATURE (WHICHDOES NOT INCLUDE USE OF SOFTWARE IN THE XILINX DEVICE TO IMPLEMENT THE REDUNDANCY) AND AWARNING SIGNAL UPON FAILURE TO THE OPERATOR, OR (III) USES THAT COULD LEAD TO DEATH ORPERSONAL INJURY.CUSTOMER ASSUMES THE SOLE RISK AND LIABILITY OF ANY USE OF XILINX PRODUCTSIN SUCH APPLICATIONS.

© Copyright 2011–2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and otherdesignated brands included herein are trademarks of Xilinx in the United States and other countries.PCI, PCIe, and PCI Expressare trademarks of PCI-SIG and used under license.All other trademarks are the property of their respective owners.

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめご了承ください。

Page 3: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

UG472 (v1.11) 2014 年 11 月 19 日 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

改訂履歴

次の表に、 この文書の改訂履歴を示します。

.

日付 バージョ ン 内容

2011 年 3 月 1 日 1.0 初版

2011 年 3 月 28 日 1.1 2 ページの免責条項と著作権表示を更新。「ク ロ ッキング アーキテクチャの概要」お

よび図 2-2 を更新。 「ク ロ ッ ク兼用入力 (CCIO)」 の説明文を修正し、 表 1-1 および

図 2-1 を追加。 「グローバル ク ロ ッ クバッファー」 の説明文を一部修正。図 2-17 の説明を変更。 「I/O ク ロ ッ ク バッファー —BUFIO」 セクシ ョ ンを更新。 図 2-20 を更新。「 リージ ョナル ク ロ ッ ク バッファー — BUFR」 セクシ ョ ンを更新。表 2-8 の説明を更新。 図 2-23 を変更。 「BUFMR プリ ミ テ ィブ」 セクシ ョ ンに BUFMRCEと図 2-25 を追加。 「水平クロ ッ ク バッファー — BUFH、 BUFHCE」 のセクシ ョ ン

に BUFHCE を追加。 「ク ロ ッ ク ゲーティングによる電力節約」 を移動。

「MMCM および PLL」 セクシ ョ ンを更新。 「整数分周のみを使用した周波数合成」

セクシ ョ ンを変更して図 3-4 を追加。 「CLKOUT[0:6] – 出力クロ ッ ク」 の隣接領域

に関する説明を変更。 式 3-11 の後の例を変更。 「VHDL/Verilog テンプレート、 お

よびクロ ッキング ウ ィザード」 を移動して内容を変更。

付録 A 「複数の領域におけるクロ ッキング」 を追加。

2011 年 5 月 31 日 1.2 「7 シ リーズ FPGA と旧世代 FPGA のクロ ッキングの違い」 セクシ ョ ンを追加。

図 2-2 を更新。「ク ロ ッ ク兼用入力 (CCIO)」 セクシ ョ ンの説明を修正し、表 1-1 「同

一パッケージで上下アライ メン トが異なるデバイスの移行」を削除。図 2-4、図 2-16、図 2-18、 および を修正。

表 3-5 の 「CLKOUT[0:6] – 出力ク ロ ッ ク」 の説明を更新。 83 ページの

「CLKINSTOPPED – 入力クロ ッ ク ステータス」 を更新。 MMCM/PLL の関係を明

確化し、 図 3-10 を更新。 「位相シフ ト 」 セクシ ョ ンを加筆し、 式 3-5 を追加。

図 A-6 および図 A-7 を変更。 付録 B 「ク ロ ッ ク領域のクロ ッ ク リ ソースおよび接

続」 を追加。

2011 年 10 月 27 日 1.3 「7 シ リーズ FPGA と旧世代 FPGA のクロ ッキングの違い」を移動。「ク ロ ッ ク バッ

ファーの選択に関する考慮事項」 を追加。 「ク ロ ッ ク兼用入力 (CCIO)」 の説明を修

正。図 2-22 の後に別の注記を追加。「スタ ッ ク ド シ リ コン インターコネク ト ク ロ ッ

キング」 セクシ ョ ンを追加。

73 ページの図 3-6 を更新。 73 ページの 「MMCM で分数分周を使用した周波数合

成」、 75 ページの 「MMCM におけるダイナミ ッ ク位相シフ ト インターフェイス」、

76 ページの 「入力周波数の決定」、 82 ページの 「CLKOUT[0:6] – 出力クロ ッ ク」、

および 91 ページの 「基準ク ロ ッ ク の切 り 替え」 の説明を修正。 85 ページの

「STARTUP_WAIT」 の説明を修正。 78 ページの表 3-5 の 「RST」 の記述を更新。

84 ページの表 3-7 の「CLKOUT[0]_DIVIDE_F(2)」の指定できる値を更新。92 ペー

ジの図 3-12 の追加に伴い、 72 ページの 「ク ロ ッ ク ネッ ト ワークのスキュー調整」

を更新。

表 B-1 を更新、 表 B-2 を追加。

Page 4: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com UG472 (v1.11) 2014 年 11 月 19 日

2012 年 2 月 16 日 1.4 文書全体で、 「ク ロ ッキング バッ クボーン」 を 「ク ロ ッ ク バッ クボーン」 に、 「ク

ロ ッキング領域」 を 「ク ロ ッ ク領域」 に置き換え。

第 2 章からの 「7 シ リーズ FPGA と旧世代 FPGA のクロ ッキングの違い」 および

付録 B からの 「ク ロ ッ ク接続のま とめ」 を加筆し、 第 1 章 「ク ロ ッキングの概要」

を追加。図 1-1 を更新。表 1-1 から XC7A8、XC7A15、XC7A30T、および XC7A50Tを削除。

「ク ロ ッ ク兼用入力 (CCIO)」 を追加。 「グローバル ク ロ ッ ク リ ソース」 ( 「BUFR プリ ミ ティブ」 を含む) を更新。 「水平クロ ッ ク バッファー — BUFH、 BUFHCE」 を

更新。 図 2-27 の前の段落を更新。

「高性能クロ ッ ク」の 初の段落から、OSERDES およびバッファーに接続する HPCの説明を削除。 相互参照の 『7 シ リーズ FPGA マイグレーシ ョ ン メ ソ ド ロジ ガイ

ド』 (UG429) を 『高集積度 FPGA 設計手法ガイ ド』 (UG872) に置き換え。 「スタ ッ

ク ド シ リ コン インターコネク ト ク ロ ッキング」 を更新。 図 2-29 で、 SRL を SLRに置き換え。 図 2-31 を追加。

表 3-2 からホールド ブロ ッ クを削除。 「整数分周のみを使用した周波数合成」 でク

ロ ッ ク周波数を更新。式 3-4 で、 64 を 63 に置き換え。 「MMCM における固定また

はダイナミ ッ ク モードの補間型ファイン位相シフ ト 」 を更新。表 3-5 で、LOCKEDピンの説明を更新。 「LOCKED」 を更新。表 3-7 で、 CLKOUT[0]_DIVIDE_F およ

び CLKFBOUT_MULT_F のタイプと設定可能な値を更新、STARTUP_WAIT およ

び COMPENSATION の説明を更新。 表 3-8 で、 STARTUP_WAIT を追加、

COMPENSATION の説明を更新。 図 3-10 で、 GTX を GT に置き換え。 「ダイナ

ミ ッ ク リ コンフ ィギュレーシ ョ ン ポート 」 を更新。

付録 B 「ク ロ ッ ク領域のクロ ッ ク リ ソースおよび接続」 を追加。

2012 年 7 月 13 日 1.5 図 1-4 の後の段落を更新。「Virtex-6 FPGA との主な違い」 に、 スペク ト ラム拡散の

サポートに関する箇条書き項目を追加。 表 1-1 で、 BUFG および BUFH ピンを更

新、 IBUFDS_GTE2.O/IBUFDS_GTE2.ODIV2 ピンを削除。 表 1-2 を更新。

表 2-1 の注記 5 を更新。 図 2-29 を追加。

「はじめに」 の 後の文章を更新。 「DI[15:0] – ダイナ ミ ッ ク リ コンフ ィギュレー

シ ョ ン データ入力」 を更新。 表 3-7 に SS_EN、 SS_MODE および

SS_MOD_PERIOD を追加。 「スペク ト ラム拡散クロ ッ ク生成」 を追加。

2012 年 10 月 2 日 1.6 表 1-1 に注記を追加。 表 1-2 から XC7A350T および XC7V1500T を削除。

「シングル ク ロ ッ クで複数の CMT を駆動する」 の 初の段落を更新。 表 2-1 に注

記 5 および 8 を追加。 表 2-10 の後の段落を更新。

表 3-9 に、25MHz および 80MHz の入力クロ ッ クに対応するタイ ミ ング制約の計算

式を追加。表 3-10 で、帯域幅の値を N/A から Low に変更、表の後に続く重複する

段落を削除。

図 B-4 のタイ トルから XC7A350T を削除。

2013 年 4 月 3 日 1.7 図 1-3、図 B-2、および図 B-3 を更新。表 1-1 に、 BUFMR を追加。 「MMCM にお

けるダイナミ ッ ク位相シフ ト インターフェイス」 の 2 番目の段落を更新。表 2-7 に注記を追加。

2013 年 8 月 7 日 1.8 表 1-2 および表 3-7 を更新。図 B-2 と図 B-3 の図のタイ トルを更新。「ク ロ ッ ク バッ

ファーの配置」 を更新。

日付 バージョ ン 内容

Page 5: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

UG472 (v1.11) 2014 年 11 月 19 日 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

2014 年 4 月 8 日 1.9 「ク ロ ッ ク兼用入力 (CCIO)」 および 「MMCM におけるダイナミ ッ ク位相シフ ト インターフェイス」 を更新。 表 3-8 の CLKFBOUT_MULT の許容値とデフォルト値

を更新。

2014 年 5 月 24 日 1.10 「ク ロ ッキング アーキテクチャの概要」の 小クロ ッ ク領域の値を 6 から 4 に変更。

表 1-1 の MG 「GTZ ループバッ ク ク ロ ッ ク バッファー — BUFG_LB (HT デバイ

スのみ)」 TREFCLK0 に情報を追加。 のセクシ ョ ンを第 2 章に追加。 表 3-7 および

表 3-8 の REF_JITTER1 と REF_JITTER2 に関する説明を変更。 「使用モデル」 の

第 1 段落を更新。

2014 年 11 月 19 日 1.11 29 ページの箇条書きから汎用インターコネク ト に関する記述を削除。 図 B-2 と図 B-3 の図のタイ トルを更新。

日付 バージョ ン 内容

Page 6: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com UG472 (v1.11) 2014 年 11 月 19 日

Page 7: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 7UG472 (v1.11) 2014 年 11 月 19 日

改訂履歴. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

このユーザー ガイドについて 11内容 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

その他のリ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

第 1 章 : クロッキングの概要

ク ロ ッキング アーキテクチャの概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13ク ロ ッ ク配線リ ソースの概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13CMT の概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15ク ロ ッ ク バッファー、 管理、 および配線 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15

7 シ リーズ FPGA と旧世代 FPGA のクロ ッキングの違い . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21Virtex-6 FPGA との主な違い . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .21Spartan-6 FPGA との主な違い . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22

ク ロ ッ ク接続のま とめ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247 シ リーズ FPGA におけるクロ ッキングの違い . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27

第 2 章 : クロック配線のリソース

ク ロ ッ ク バッファーの選択に関する考慮事項 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

ク ロ ッ ク兼用入力 (CCIO). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30シングル ク ロ ッ クで 1 つの CMT を駆動する . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31シングル ク ロ ッ クで複数の CMT を駆動する . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31ク ロ ッ ク兼用入力ピンの配置規則 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32

グローバル ク ロ ッ ク リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35ク ロ ッ ク ツ リーとネッ ト - GCLK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .36ク ロ ッ ク領域 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .36グローバル ク ロ ッ クバッファー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .36

グローバル ク ロ ッ ク バッファーのプリ ミ ティブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .38その他の使用モデル. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .46

リージ ョナル ク ロ ッ ク リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48ク ロ ッ ク兼用 I/O . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .49I/O ク ロ ッ ク バッファー —BUFIO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .49

BUFIO プリ ミ ティブ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .50BUFIO の使用モデル. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .50

リージ ョナル ク ロ ッ ク バッファー — BUFR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .52BUFR プリ ミ ティブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .52BUFR の属性とモード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .53BUFR の使用モデル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .54

リージ ョナル ク ロ ッ ク ネッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .54マルチ リージ ョナル ク ロ ッ ク バッファー — BUFMR/BUFMRCE . . . . . . . . . . . . . . . . . . . .55

BUFMR プリ ミ ティブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .55水平クロ ッ ク バッファー — BUFH、 BUFHCE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .57GTZ ループバッ ク ク ロ ッ ク バッファー — BUFG_LB (HT デバイスのみ) . . . . . . . . . . . . . .58

高性能クロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59ク ロ ッ ク ゲーティングによる電力節約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .59

スタ ッ ク ド シ リ コン インターコネク ト ク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60ク ロ ッキング構造の配置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .62ク ロ ッ ク バッファーの配置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .63

目次

Page 8: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

8 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

はじめに. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65MMCM および PLL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .66

一般的な使用法について . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69MMCM および PLL プリ ミ ティブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .69

MMCME2_BASE および PLLE2_BASE プリ ミ ティブ . . . . . . . . . . . . . . . . . . . . . . . . .70MMCME2_ADV および PLLE2_ADV プリ ミ ティブ . . . . . . . . . . . . . . . . . . . . . . . . . . .71

ク ロ ッ ク ネッ ト ワークのスキュー調整 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .72整数分周のみを使用した周波数合成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .72MMCM で分数分周を使用した周波数合成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .73ジッター フ ィルター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .73制限 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .73

VCO 動作範囲 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .73小および 大入力周波数 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .74

デューティ サイクルのプログラム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .74位相シフ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .74

MMCM におけるダイナミ ッ ク位相シフ ト インターフェイス . . . . . . . . . . . . . . . . . . . . . . . . .75MMCM カウンターのカスケード接続 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .76MMCM/PLL のプログラ ミ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .76

入力周波数の決定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .76M および D 値の決定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .77

MMCM のポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .78PLL のポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .79

MMCM および PLL の各ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .80MMCM の属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .84PLL の属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .87MMCM のクロ ッ ク入力信号 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .89カウンター制御 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .89

VCO と出力カウンターの波形についての詳細 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

基準クロ ッ クの切り替え . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91入力クロ ッ クまたはフ ィードバッ ク ク ロ ッ クの欠如 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .91

MMCM と PLL の使用モデル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91ク ロ ッ ク ネッ ト ワークのスキュー調整 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .91

内部フ ィードバッ クを使用した MMCM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .93ゼロ遅延バッファー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .942 つの CMT の接続 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .94スペク ト ラム拡散クロ ッ ク生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .96MMCM のアプリ ケーシ ョ ン例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .101ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .101

VHDL/Verilog テンプレート、 およびクロ ッキング ウ ィザード . . . . . . . . . . . . . . . . . . . . . . . . . 102

付録 A : 複数の領域におけるクロッキング

はじめに. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

複数の領域に広がるクロ ッキング. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104BUFMR プリ ミ ティブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .104

使用モデル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105ク ロ ッ ク領域を超えたクロ ッ ク アライ メン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1061 つのクロ ッ ク領域に 1 つのバッファー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .106

複数 BUFIO の駆動 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .106複数 BUFR の駆動. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .107

1 つのクロ ッ ク領域に複数のバッファー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .107複数 BUFR (分周あり ) および複数 BUFIO の駆動 . . . . . . . . . . . . . . . . . . . . . . . . . . . .107複数 BUFR の駆動 (分周あ り /分周なし ). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .109

BUFR アライ メン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .110

Page 9: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 9UG472 (v1.11) 2014 年 11 月 19 日

付録 B : クロック領域のクロック リソースおよび接続

Page 10: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

10 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

Page 11: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 11UG472 (v1.11) 2014 年 11 月 19 日

このユーザー ガイドについて

ザイ リ ンクス 7 シ リーズ FPGA には、 3 つの FPGA ファ ミ リがあ り ます。 これらはすべて も低

い消費電力を達成するよ う設計されており、 適な電力、 性能、 コス トの実現に向けて、 標準デザ

インをファ ミ リ間で拡張させるこ とが可能です。Artix®-7 ファ ミ リは、量産アプリ ケーシ ョ ン向け

に開発され、 も低いコス ト と消費電力を実現するよ う 適化されています。 Virtex®-7 ファ ミ リ

は、 高のシステム性能と容量を提供するよ うに 適化されています。 Kintex®-7 ファ ミ リは、 対

コス ト性能に も優れた新しいク ラスの FPGA です。 このユーザー ガイ ドは、 7 シ リーズ FPGAのクロ ッキング リ ソースについて説明した技術的な リ ファレンスです。

この 『7 シ リーズ FPGA ク ロ ッキング リ ソース ユーザー ガイ ド』 を含む、 7 シ リーズ FPGA に関

するすべての資料は、 ザイ リ ンクスのウェブサイ ト (japan.xilinx.com/7) から入手できます。

内容

このユーザー ガイ ドは、 次の各章で構成されています。

• 第 1 章 「ク ロ ッキングの概要」

• 第 2 章 「ク ロ ッ ク配線のリ ソース」

• 第 3 章 「ク ロ ッ ク マネージメン ト タイル」

• 付録 A 「複数の領域におけるクロ ッキング」

• 付録 B 「ク ロ ッ ク領域のクロ ッ ク リ ソースおよび接続」

その他のリソース

その他の資料は、 ザイ リ ンクスのウェブサイ トから入手できます。

japan.xilinx.com/support/documentation/index

シ リ コンやソフ ト ウェア、 IP に関するアンサー データベースを検索したり、 テクニカル サポート

のウェブケースを開く場合は、 次のウェブサイ トにアクセスして ください。

http://japan.xilinx.com/support

Page 13: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 13UG472 (v1.11) 2014 年 11 月 19 日

第 1 章

クロッキングの概要

この章では、 7 シ リーズ FPGA ク ロ ッキングの概要、 7 シ リーズ FPGA ク ロ ッキングと前世代の

FPGA ク ロ ッキングとの比較、 および 7 シ リーズ FPGA 内におけるク ロ ッ ク接続について説明し

ます。 7 シ リーズ FPGA のク ロ ッ ク リ ソースの使用に関する詳細は、 第 2 章 「ク ロ ッ ク配線のリ

ソース」 および第 3 章 「ク ロ ッ ク マネージメン ト タイル」 を参照してください。

クロッキング アーキテクチャの概要

7 シ リーズ FPGA のクロ ッ ク リ ソースは、複雑なものからシンプルなものまでさまざまな要件を管

理します。 ク ロ ッ ク マネージメン ト タイル (CMT) は、 クロ ッ ク周波数の合成、 スキュー調整、 お

よびジッ ター フ ィルター機能を提供します。 ローカル配線などのク ロ ッ ク以外の リ ソースは、 ク

ロ ッ ク機能の設計には推奨されていません。

• グローバル ク ロ ッ ク ツ リーによって、 デバイス全体における同期エレ メン トのクロ ッキング

が可能になり ます。

• I/O およびリージ ョナル ク ロ ッ ク ツ リーによって、 大 3 つの上下に隣接したクロ ッ ク領域の

クロ ッキングが可能になり ます。

• ミ ッ クス ド モード ク ロ ッ ク マネージャー (MMCM) および位相ロッ ク ループ (PLL) を 1 つずつ含む各 CMT は、 I/O カラムの隣に配置されています。

7 シ リーズ デバイスは、 ク ロ ッ クの使用を目的と して複数のクロ ッ ク領域に分割されています。

• ク ロ ッ ク領域数はデバイスのサイズによって異なり、 小デバイスで 4 ク ロ ッ ク領域、 大デ

バイスでは 24 ク ロ ッ ク領域となっています。

• 1 つのクロ ッ ク領域にすべての同期エレ メン ト (CLB、 I/O、 シ リ アル ト ランシーバー、 DSP、ブロ ッ ク RAM、CMT など) が含まれ、高さは CLB 50 個分または I/O バンク (50 個の I/O) に相当し、 その中央には HROW (Horizontal Clock Row) があ り ます。

• 各クロ ッ ク領域は、 HROW を境に上下それぞれに CLB 25 個分の高さに及び、 デバイスの半

分の幅とな り ます。

クロック配線リソースの概要

各 I/O バンクには、 7 シ リーズ FPGA のク ロ ッ ク配線リ ソースにユーザー ク ロ ッ クを取り込むク

ロ ッ ク兼用入力ピンが含まれます。専用のクロ ッ ク バッファーを併用し、 ク ロ ッ ク兼用入力ピンは

ユーザー ク ロ ッ クを次のリ ソースへ取り込みます。

• デバイスの同じ側 (上半分または下半分) にあるグローバル ク ロ ッ ク ライン

• 同一の I/O バンク内および上下に隣接する複数の I/O バンクにある I/O ク ロ ッ ク ライン

• 同一のクロ ッ ク領域および上下に隣接する複数のクロ ッ ク領域にある リージ ョナル ク ロ ッ ク

ライン

Page 14: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

14 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 1 章 : クロッキングの概要

• 同一のクロ ッ ク領域、 および上下に隣接する複数のクロ ッ ク領域 (制約が伴う )

各 7 シ リーズ モノ リ シッ ク デバイスには 32 本のグローバル ク ロ ッ ク ラインがあ り、 これらを使

用してデバイス全体のすべての順次リ ソースにクロ ッ ク と制御信号を提供できます。グローバル クロ ッ ク バッファー (BUFGCTRL、 このユーザー ガイ ドでは BUFG と省略) は、グローバル ク ロ ッ

ク ラインを駆動し、グローバル ク ロ ッ ク ラ インへのアクセスに使用する必要があ り ます。各クロ ッ

ク領域は、クロ ッ ク領域内で 12 本の水平グローバル ク ロ ッ クを使用し、グローバル ク ロ ッ ク ライ

ンを 大で 12 本サポート します。

グローバル ク ロ ッ ク バッファー

• 複数のクロ ッ ク領域にまたがるクロ ッ クを有効または無効にする、ク ロ ッ ク イネーブル回路と

して使用できます。

• 次の目的でグ リ ッチのないマルチプレクサーと して使用できます。

• 2 つのクロ ッ ク ソースからの選択

• 問題のあったクロ ッ ク ソースからの切り替え

• 次の目的で CMT によって駆動されます。

• ク ロ ッ ク分配遅延の削除

• 別のクロ ッ クに対する遅延の調整

水平クロ ッ ク バッファー (BUFH/BUFHCE) によって、 HROW を経由して 1 つのクロ ッ ク領域に

あるグローバル ク ロ ッ ク ラインにアクセスできます。1 つのクロ ッ ク領域に広がるクロ ッ クを独立

して有効または無効にする、 ク ロ ッ ク イネーブル回路 (BUFHCE) と しても使用できます。 それぞ

れのクロ ッ ク領域は、各クロ ッ ク領域内で 12 本の水平クロ ッ ク ラインを使用し、 大で 12 ク ロ ッ

クをサポートできます。

各 7 シ リーズ FPGA には、 1 ク ロ ッ ク領域にあるすべての順次リ ソースにクロ ッ クを供給できる、

リージ ョナルおよび I/O ク ロ ッ ク ツ リーがあ り ます。 さ らに各デバイスには、 リージ ョナルおよび

I/O ク ロ ッ クを 大 3 つの上下に隣接したクロ ッ ク領域にまたがるよ うにするマルチ リージ ョナル

クロ ッ ク バッファー (BUFMR) があ り ます。

• I/O ク ロ ッ ク バッファー (BUFIO) は I/O ク ロ ッ ク ツ リーを駆動し、 同一 I/O バンク内にある

すべての順次 I/O リ ソースへのアクセスを可能にします。

• リージ ョナル ク ロ ッ ク バッファー (BUFR) は、 同一クロ ッ ク領域にあるクロ ッ ク デスティ

ネーシ ョ ンをすべて駆動し、 入力クロ ッ ク レート を分周するよ うにプログラムできます。

• IOB のプログラム可能な SerDes 機能 ( 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ

ド』 (UG471) の 「アドバンス SelectIO ロジッ ク リ ソース」 参照) を併用する と、 BUFIO およ

び BUFR ク ロ ッ ク バッファーはロジッ ク リ ソースを追加せずにソース同期システムにおける

クロ ッ ク ド メ インの切り替えを可能にします。

• 関連する BUFR または BUFIO が使用されている場合は、 マルチ リージ ョナル ク ロ ッ ク バッ

ファー (BUFMR) を用いて隣接するク ロ ッ ク領域や I/O バンク内のリージ ョナルおよび I/O クロ ッ ク ツ リーを駆動できます。

• 1 ク ロ ッ ク領域または 1 つの I/O バンクでは、固有 I/O ク ロ ッ ク と固有リージ ョナル ク ロ ッ ク

をそれぞれ 大で 4 つずつサポート可能です。

高性能クロ ッ ク配線は、 CMT の出力を、 非常にジッターが少なく、 デューティ サイ クルの歪みも

小限に抑えられているパスへ接続します。

第 2 章 「ク ロ ッ ク配線のリ ソース」 で、 グローバル ク ロ ッ ク、 リージ ョナル ク ロ ッ ク、および I/Oク ロ ッ クについてさ らに詳し く説明します。 アプリ ケーシ ョ ンに応じて使用すべきクロ ッ ク配線リ

ソースについても解説します。

Page 15: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 15UG472 (v1.11) 2014 年 11 月 19 日

クロッキング アーキテクチャの概要

CMT の概要

7 シ リーズ FPGA には 大 24 の CMT があ り、 各 CMT は 1 つの MMCM および 1 つの PLL で構成されています。MMCM と PLL は幅広い周波数に対応する周波数合成回路や外部または内部ク

ロ ッ ク用のジッター フ ィルターと して機能し、ク ロ ッ クのスキュー調整にも活用できます。PLL には、 MMCM 機能のサブセッ ト が含まれています。 7 シ リーズ FPGA ではク ロ ッ ク入力の接続に

よって、 複数のリ ソースから MMCM および PLL に基準クロ ッ クを供給できます。

7 シ リーズ FPGA の MMCM には、 ファ イン (高精度な) 位相シフ ト機能がいずれの方向にも無制

限で追加されており、これはダイナミ ッ ク (可変) 位相シフ ト モードで使用できます。MMCM につ

いては、フ ィードバッ ク パスまたは 1 つの出力パスのいずれかに分数カウンターを持つため、周波

数合成機能の精度がさ らに強化されます。

LogiCORE™ IP ク ロ ッキング ウ ィザードは、 MMCM および PLL を活用して 7 シ リーズ FPGAデザインでクロ ッ ク ネッ ト ワークを作成するのに役立ちます。GUI インターフェイスを使用し、ク

ロ ッ ク ネッ ト ワークのパラ メーターを収集します。 ク ロ ッキング ウ ィザードは、 適切な CMT リソースを選択した上で、 CMT リ ソースおよび関連する ク ロ ッ ク配線リ ソースを 適にコンフ ィ

ギュレーシ ョ ンします。

第 3 章 「ク ロ ッ ク マネージメン ト タイル」 で、 CMT ブロ ッ ク機能および接続についてさらに詳し

く説明します。

クロック バッファー、 管理、 および配線

このセクシ ョ ンの図は、7 シ リーズ FPGA のクロ ッ ク アーキテクチャをさまざまな角度から視覚化

したものを示しています。

図 1-1 に、 7 シ リーズ FPGA のクロ ッ ク アーキテクチャの概略図を示します。デバイスは、垂直方

向のクロ ッキング中央線 (ク ロ ッ ク バッ クボーン) によって隣接する左領域と右領域に分けられ、水

平方向の中央線によって上半分と下半分に分けられています。ク ロ ッ ク バッ クボーンのリ ソースは

水平方向に隣接する領域の両側に反映されます。つま り、 ク ロ ッ ク リ ソースは水平方向に隣接する

領域へ拡張されます。 上半分および下半分に分割されるこ とで、 グローバル ク ロ ッ ク バッファー

(BUFG) が 2 組に分けられ、 これらの接続に制限が課せられます。 しかし、 BUFG はクロ ッ ク領域

に属さないため、デバイスのいずれのクロ ッ ク ポイン トにも到達するこ とができます。水平クロ ッ

ク リ ソースはすべて、 ク ロ ッ ク領域の HROW の中央に含まれ、垂直方向の、 リージ ョナル ク ロ ッ

ク リ ソースではない リ ソースはクロ ッ ク バッ クボーンまたは CMT バッ クボーンのいずれかに含

まれます。

Page 16: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

16 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 1 章 : クロッキングの概要

1 ク ロ ッ ク領域には常に、 50 個の CLB (カラムあたり )、 PCI Express® の統合ブロ ッ クによって 5 個の 36K ブロ ッ クが置き換えられない限り 10 個の 36K ブロ ッ ク RAM (カラムあた り )、 20 個の DSP スラ イス (カラムあた り )、 および 12 個の BUFH が含まれます。 場合によっては、 CMT(PLL/MMCM) 1 個、 50 の I/O を持つバンク 1 個、 4 つのシ リ アル ト ランシーバーで構成される

GT クワ ッ ド 1 個、およびブロ ッ ク RAM カラムに PCIe® 用のカラム半分が含まれるこ と もあ り ま

す。

X-Ref Target - Figure 1-1

図 1-1 : 7 シリーズ FPGA のクロック アーキテクチャの概略図

UG472_c1_30_020712

I/O Column Clock BackboneCMT Backbone

CMT Column

Clock Region Clock Region

ClockingCenter

HorizontalCenter

Horizontal ClockRow (HROW)

Horizontal ClockRow (HROW)

Horizontal ClockRow (HROW)

(HROW)

CMT Column GT ColumnCMT Backbone

I/O Column

BUFG 16

16

ClockRegionDetailedView

ClockRegion

ClockRegion

ClockRegion

Page 17: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 17UG472 (v1.11) 2014 年 11 月 19 日

クロッキング アーキテクチャの概要

図 1-2 は、 1 ク ロ ッ ク領域で利用可能なクロ ッ ク リ ソース とそれらの基本的な接続を示す概略図で

す。グローバル ク ロ ッ ク バッファーは、物理的に存在しなくても HROW を介して各領域内に駆動

できます。水平クロ ッ ク バッファー (BUFH) は、HROW を介して領域の各クロ ッ ク ポイン ト まで

駆動し ます。 BUFG および BUFH は HROW の配線 ト ラ ッ ク を共有し ます。 I/O バッ フ ァー

(BUFIO) およびリージ ョナル ク ロ ッ ク バッファー (BUFR) は I/O バンク内にあ り ます。BUFIO はI/O ク ロ ッ ク リ ソースしか駆動しませんが、BUFR は I/O リ ソースおよびロジッ ク リ ソースを駆動

します。BUFMR によって BUFIO および BUFR の複数領域にわたるチェーン接続が可能になり ま

す。 ク ロ ッ ク兼用入力を用いて外部クロ ッ ク とデバイスのクロ ッ ク リ ソースを接続します。 リ ソー

スによっては、 CMT バッ クボーンを介してその上下領域へ接続できるものもあ り ます。

X-Ref Target - Figure 1-2

図 1-2 : クロック領域の基本的なビュー

UG472_c1_31_020712

ClockBackbone

CMTBackbone

CMTColumn

GTQuad

I/OBank

PLL

MMCM

HROW

Fabric Fabric

BUFG

BUFH

BUFMR

BUFR

BUFIO

CC

CC

Page 18: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

18 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 1 章 : クロッキングの概要

図 1-3 に、 デバイス右端の 1 つのクロ ッ ク領域におけるク ロ ッキング構造を示します。

X-Ref Target - Figure 1-3

図 1-3 : 1 つのクロック領域 (デバイスの右側)

Global ClockingBackbone 32 2

12

12

3

3

7

14

4

2

1

1

1

1

4

4

4

4

4

BUFHs

CLR

I/O Bank

CE

CE

CE

PLL X0Yn inSame Region

MMCM X0Ynin Same Region

BUFGs

CMT ClockingBackbone

CMT

Interconnect

Interconnect

ClockRegion50 CLBsHigh

SRCC Pin Pair

MRCC Pin Pair

MRCC Pin Pair

SRCC Pin Pair

To Bank Above

To Bank Below

Four BUFRs

Four BUFIOs

Two BUFMRs (MRCC pins only)

HROW

UG472_c1_32_011713

Page 19: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 19UG472 (v1.11) 2014 年 11 月 19 日

クロッキング アーキテクチャの概要

図 1-4 は、 グローバル ピン BUFG およびリージ ョナル ピン BUFH/CMT/CC の接続に加えて、 1領域内 (こ こでは右側の領域) で利用可能な リ ソースの数を示す詳細図です。

4 本のク ロ ッ ク兼用入力ピンはいずれも、 CMT にある PLL/MMCM および BUFH を駆動できま

す。BUFG は、領域内に存在するものと して示されますが、 クロ ッ ク バッ クボーンのいずれかの場

所に物理的に配置できます。 BUFG および BUFH は、 HROW にある 12 の配線ト ラ ッ クを共有し

ており、 その領域のクロ ッ ク ポイン ト をすべて駆動できます。 BUFG については、 BUFH (図 1-4では省略) も駆動できます。 これによ り、別のグローバル ク ロ ッ ク分配において個別のクロ ッ ク イネーブルが可能にな り ます。 各 GT ク ワ ッ ドには、 ク ロ ッ ク バッ クボーンにある CMT およびク

ロ ッ ク バッファーを駆動する専用ト ラ ッ クが 10 個あり ます。 I/O バンクに配置されている BUFRには、 ロジッ ク、 CMT、 および BUFG でクロ ッ ク ポイン ト を駆動する ト ラ ッ クが 4 個あ り ます。

制約が伴いますが、CMT バッ クボーンを使用して隣接する領域のほかの CMT を駆動できます。ク

ロ ッ ク兼用ピンも同様に、 同じ制約が伴いますが、 隣接する領域の CMT を駆動できます。 ク ロ ッ

ク兼用ピンは、デバイスの同じ上半分/下半分にある BUFG を駆動できます。CMT バッ クボーンに

は、 垂直領域間の接続をサポートする ト ラ ッ クが 4 個あり ます。

あるクロ ッ ク領域からのクロ ッ ク ソースは、 その領域のクロ ッ ク バッファー リ ソースだけでなく

水平方向に隣接するクロ ッ ク バッファー リ ソースも駆動できます。 CMT、 ク ロ ッ ク兼用ピン、 お

よびシ リ アル ト ランシーバーは、 BUFH を介して水平方向に隣接する領域にクロ ッ クを供給でき、

さ らにデバイスの同じ上半分/下半分にある BUFG に接続できます。

X-Ref Target - Figure 1-4

図 1-4 : BUFG/BUFH/CMT クロック領域の詳細

UG472_c1_33_020712

ClockBackbone

CMTBackbone

CMTColumn

GTQuad

I/OBank

PLL

<0-3>

MMCM

<0-3>

HROW

Fabric Fabric

BUFG

BUFH

BUFR

CE

CE

Interconnect

FromotherBUFGs

Interconnect

Interconnect

LeftRegion

LeftRegion

Interconnect

To otherBUFGs

CC

CC

32

32

32

4

12

412

10

CC

CC

7

14

Page 20: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

20 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 1 章 : クロッキングの概要

ロジッ ク インターコネク トは BUFG および BUFH の CE ピンを駆動します。ロジッ ク インターコ

ネク トはクロ ッ クを同じバッファーに供給するこ と もできますが、 タイ ミ ングが予測不可能である

ため注意が必要です。

図 1-5 に、 I/O ク ロ ッ ク リ ソースおよび接続の詳細図を示します。

各 I/O バンクには、 BUFIO および BUFR がそれぞれ 4 個ずつ含まれます。 これらの各ク ロ ッ ク

バッファーは、特定のクロ ッ ク兼用入力クロ ッ ク ピン ペアによって駆動されるか、または MMCMの特定の出力クロ ッ クによって直接駆動できます。 MRCC と呼ばれる 2 つのク ロ ッ ク兼用入力ピ

ン ペアは、 複数の領域における ク ロ ッキング手法をサポー ト します。 MRCC ピン ペアは特定の

BUFMR を駆動できます。 つま り、 複数領域/バンク インターフェイスを実現する、 同一および隣

接する領域にある BUFIO および BUFR を駆動できるこ とを意味します。 同様に、 GT クワ ッ ドは

BUFMR を駆動するこ と もできます。 MMCM<3:0> 出力には、 BUFR および BUFIO への専用の

高性能な差動パスがあ り ます。 この機能は、 高性能クロ ッ ク (HPC) と も呼ばれます。

すべての 7 シ リーズ デバイスは、 基本的なアーキテクチャについては同じものを採用しています

が、 ファ ミ リ間およびファ ミ リ内のデバイス間ではアーキテクチャに若干の違いがあ り ます。 各 7シ リーズ FPGA には、 デバイス左端に少な く と も 1 つの I/O カラムがあ り ます。 GT は、 GTP、GTX、または GTH のいずれかの 7 シ リーズ FPGA でサポート されているシ リアル ト ランシーバー

です。 GT を搭載するデバイスは、 デバイスの右端に GT と I/O が混在するカラムがあるか (一部

の Kintex-7 デバイス と一部の Artix-7 デバイス)、 デバイスの右端に GT カラムがあ り、 その右側

X-Ref Target - Figure 1-5

図 1-5 : BUFR/BUFMR/BUFIO クロック領域の詳細

UG472_c1_34_020712

ClockBackbone

CMTBackbone

CMTColumn

GTQuad

I/OBank

PLL

MMCM

HROW

Fabric Fabric

BUFG

BUFH

BUFR BUFIO

BUFR BUFIO

BUFMR

BUFMR

4

4

4 4 42

<0>

<1>

<2>

<3>

SRCCPair

MRCCPair

MRCCPair

SRCCPair

Page 21: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 21UG472 (v1.11) 2014 年 11 月 19 日

7 シリーズ FPGA と旧世代 FPGA のクロッキングの違い

に I/O カ ラ ムがあ り ます (一部の Kintex-7 デバイ ス と 一部の Virtex-7 デバイ ス)。 その他の

Virtex-7 デバイスには、 左端および右端に GT カラムがあ り、 その左側と右側に I/O カラムがあ り

ます。 Artix-7 200T デバイスには、 上半分および下半分のクロ ッ ク カラムの隣に GTP ト ランシー

バーがあ り ます。

したがって、 7 シ リーズ デバイスのすべてのク ロ ッ ク領域に、 こ こまでの図に記載されているブ

ロ ッ クがすべて含まれているわけではあ り ません。7 シ リーズ デバイスのブロ ッ ク レベルのアーキ

テクチャを示す図は、 『7 シ リーズ FPGA パッケージおよびピン配置ガイ ド』 (UG475) の 「ダイ レベルでのバンク番号の概要」 を参照して ください。 付録 B 「ク ロ ッ ク領域のクロ ッ ク リ ソースお

よび接続」に、さまざまなクロ ッ ク領域のクロ ッ ク リ ソースおよび接続の詳細図を記載しています。

7 シリーズ FPGA と旧世代 FPGA のクロッキングの違い

7 シ リーズ FPGA のクロ ッ ク構造は Virtex-6 FPGA と似ており、同じ機能が多数サポート されてい

ますが、 アーキテクチャには若干の違いがあ り、 さまざまなクロ ッキング要素とその機能に修正が

加えられています。 Spartan-6 FPGA と比べる と、 アーキテクチャ と機能がいずれも大き く変更さ

れています。 Spartan-6 FPGA の一部のクロ ッ ク プリ ミ ティブは廃止され、 よ り強力でシンプルな

構造に置き換わっています。

Virtex-6 FPGA との主な違い

• BUFIO の基本的なクロ ッ ク機能は 7 シ リーズ FPGA でも変更はあ り ませんが、 その駆動範囲

が 1 バンクのみに変更されています。 隣接バンクのク ロ ッ クを直接駆動するには、 新しいク

ロ ッ ク バッファーを使用します。 7 シ リーズ FPGA には、 1 バンクにつき 4 つの BUFIO があ

り ます。 BUFIO の改良と同様に、 BUFR も基本的な目的は変わっていませんが、 BUFR の駆

動範囲は 1 つの領域のみとなっています。 1 領域につき 4 つの BUFR と 4 つのリージ ョナル

クロ ッ ク ( ト ラ ッ ク ) があ り ます。

• 7 シ リーズ FPGA には、BUFMR/BUFMRCE と呼ばれる新しいバッファーが追加されました。

BUFMR/BUFMRCE.BUFMR/BUFMRCE は、 同一領域および上下に隣接した領域の BUFIOと BUFR を駆動します。 これらのバッファーには Virtex-6 FPGA と同じ複数領域/複数バンク

の ク ロ ッ ク配線機能があ り、 3 つの領域/バン ク がサポー ト されているのも同じです。

BUFMRCE には、 切り替えが同期か非同期かを選択できる機能があ り ます。

• Virtex-6 ファ ミ リのグローバル ク ロ ッ ク (GC) 入力ピンは、7 シ リーズ FPGA ではサポート さ

れな くな り ました。 GC の代わりに、 1 バンクあたり 4 つのクロ ッ ク兼用入力 (CCIO) ピン/ペアが用意されています。 CCIO ピンの接続は、 従来の GC の機能のほとんどをサポートできる

よ うに改良されています。

• グローバル ク ロ ッ ク マルチプレクサーの BUFGMUX に CLK_SEL_TYPE 属性が追加されま

した。 これによ り、2 つの入力クロ ッ クを同期または非同期で切り替えるこ とができます (従来

は IGNORE ポートでのみ可能)。

• BUFHCE には改善されたクロ ッ ク イネーブルがあ り、 入力クロ ッ クを同期または非同期で有

効化できます。

• Virtex-6 FPGA の CMT は 2 つの MMCM で構成されていましたが、 7 シ リーズ FPGA では

MMCM と PLL (MMCM のサブセッ ト ) が各 1 つ、 そして専用のメモ リ インターフェイス ロジッ ク (予約済み) で構成されています。 CMT カラムは CMT 内の SelectIO™ カラム/バンク

の隣にあ り、 I/O への専用アクセスによって高い性能を実現します。 グローバル ク ロ ッ ク バッ

ファーはこれまで同様、 CMT によって駆動される I/O カラムの間のデバイス センター (垂直

方向の中心) にあ り ます。7 シ リーズ FPGA では、CMT 内の直接カスケード接続はサポート さ

れていません。 隣接する CMT への直接カスケード接続は可能ですが、 リ ソースが少ないため

Page 22: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

22 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 1 章 : クロッキングの概要

制約があ り ます。 隣接する CMT 以外の CMT にカスケード接続する と ソース とデスティネー

シ ョ ンの MMCM/PLL 間で位相オフセッ トが生じるため、 特別な属性の設定が必要です。

• 以前とは異なり、 フラ クシ ョナル分周器は出力カウンターを共有しません。 このため、 出力カ

ウンターを別の用途に使用できるよ うにな り ました。 分数カウンターにはスタティ ッ ク位相シ

フ ト機能が追加されました。

• CLOCK_HOLD 機能は廃止されました。

• MMCM は、 スペク ト ラム拡散をサポート しています。

Spartan-6 FPGA との主な違い

• Spartan-6 FPGA には Spartan-6 アーキテクチャ独自のクロ ッ ク回路トポロジ、機能、ブロ ッ ク

がいくつかあ り ますが、これらは 7 シ リーズ FPGA ではサポート されず、代わり となる新しい

クロ ッ ク機能が用意されています。 DCM_SP、 DCM_CLKGEN、 BUFIO2、 BUFIO2_2CLK、

BUFIO2FB、 BUFPLL、 BUFPLL_MCB などの機能は、 7 シ リーズ デバイスでは直接サポー

ト されていません。

• PLL は MMCM のサブセッ トで、性能は同じですが ( 小 CLKIN/PFD と 小/ 大 VCO 周波

数を除く )、 接続に若干の制約があ り、 機能も一部制限されています。 従来の Spartan® FPGAの PLL と比べる と、 7 シ リーズ FPGA の PLL には、 パワー ダウン、 入力ク ロ ッ クの切り替

え、隣接 CMT へのカスケード接続の機能が追加されています。PLL から BUFIO や BUFR への直接接続はあ り ません。

• 7 シ リーズ FPGA には、 BUFIO2 および BUFIO2_2CLK プリ ミ ティブに直接代わる機能はあ

り ません。 BUFIO および BUFR を推奨される接続方法で使用して ILOGIC と OLOGIC を駆

動して ください。

• Spartan-6 FPGA の BUFIO2 で GCLK からの専用入力を CMT およびグローバル ク ロ ッ ク

バッファーへ配線する機能は、 7 シ リーズ FPGA ではサポート されていません。 7 シ リーズ

FPGA に移行するには、 CCIO ピンからの専用入力配線を使用してください。

• 7 シ リーズ FPGA には、 Spartan-6 FPGA の BUFPLL に直接該当する機能はあ り ません。 7 シリーズ FPGA に移行するには、BUFIO および BUFR を推奨される方法で使用して ILOGIC とOLOGIC に接続し て く だ さい。 BUFPLL への専用配線の代わ り には、 MMCME2CLKOUT[0:3] からの高性能クロ ッ ク配線を使用します。 ISERDES および OSERDES 回路は

Virtex-6 アーキテクチャに基づいています。『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) を参照してください。

• 7 シ リーズ FPGA では、BUFPLL_MCB プリ ミ ティブが不要になり ました。7 シ リーズ FPGAでは、 DDR メモ リ インターフェイスの (ソフ ト ) インプ リ メ ンテーシ ョ ンが異な り ます。 『メ

モ リ インターフェイス ソ リ ューシ ョ ン ユーザー ガイ ド』 (UG586) を参照してください。

• 7 シ リーズ FPGA では、 BUFIO2FB プリ ミ ティブが不要になり ました。 MMCM と PLL のフ ィードバッ ク接続には、 使用するフ ィードバッ クに応じて CLKFBIN をグローバル ク ロ ッ

ク バッファー、 入力ピン、 CLKFBOUT のいずれかに直接接続できます。

• Spartan-6 FPGA では BUFH しかサポート されていませんでした。 7 シ リーズ FPGA にはク

ロ ッ クの無効化機能を備えた BUFHCE プリ ミ ティブがあ り、 このリ ソースで駆動しているク

ロ ッ ク領域の消費電力を削減できます。

• 7 シ リーズ FPGA には新しいバッファーと して BUFMR/BUFMRCE が追加されました。 これ

らのバッファーは同一ク ロ ッ ク領域および上下に隣接したク ロ ッ ク領域の BUFIO と BUFRを駆動します。BUFIO または BUFR、BUFMR/BUFMRCE と組み合わせて使用する と、MRCC入力から隣接するク ロ ッ ク領域の BUFIO および BUFR にアクセスできます。 BUFMRCE には、 切り替えが同期か非同期かを選択できる機能があ り ます。

Page 23: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 23UG472 (v1.11) 2014 年 11 月 19 日

7 シリーズ FPGA と旧世代 FPGA のクロッキングの違い

• Spartan-6 FPGA デザインからの移行に影響する新しいプリ ミ ティブと して、 BUFR があ り ま

す。 BUFR と BUFIO を組み合わせる と、 BUFIO2、 BUFIO2_2CLK、 BUFPLL と同じ機能が

使用可能になり ます。 BUFR は各クロ ッ ク領域に 4 つずつあり ます。

• Spartan-6 FPGA デザインからの移行に影響する新しいプリ ミ ティブと して、BUFIO があ り ま

す。 BUFIO と BUFR を組み合わせる と、 BUFIO2、 BUFIO2_2CLK、 BUFPLL と同じ機能が

使用可能になり ます。 BUFIO は各バンクに 4 つあり ます。

• Spartan-6 アーキテクチャが備えていた 1 つの DCM と 1 つの PLL に代わり、 7 シ リーズ

FPGA では 1 つの MMCM と 1 つの PLL、 そして専用のメモ リ インターフェイス ロジッ ク (現時点ではザイ リ ンクス専用と して予約済み) で構成される CMT を使用します。DCM とその

関連機能は、 7 シ リーズ FPGA では CMT でサポート されます。 CMT は SelectIO カラムに隣

接する独立し たカ ラ ムにあ り、 I/O への専用ア ク セス機能があ り ます。 DCM_SP と

DCM_CLKGEN は廃止され、これらの機能は MMCM と PLL でサポート されるよ うになり ま

した。

• 7 シ リーズ FPGA ではグローバル ク ロ ッ ク (GCLK) 入力はサポート されなくな り ました。4 つのクロ ッ ク兼用入力ピンは、Spartan-6 FPGA の GCLK ピンの機能の多くをサポートする各バ

ンクで利用できるよ うにな り ました。

• これまでの Spartan-6 FPGA デザインにはなかった MMCM という新しい機能ブロ ッ クが用意

されています。 MMCM には、 分数分周、 ファ イン位相シフ ト、 ダイナミ ッ ク位相シフ ト、 反

転ク ロ ッ ク出力、 CLKOUT6 と CLKOUT4 のカスケード接続など多く の機能があ り ます。

BUFPLL への直接接続の代わりに、CLKOUT[0:3] を使用した MMCM から BUFIO/BUFR への HPC 接続が用意されています。 また、 よ り包括的な DRP も利用できます。

• 従来は汎用の高速 I/O ク ロ ッキングに使用する CMT 機能の PLL を推奨していましたが、7 シリーズ FPGA ではこれが変更にな り ました。 PLL には、 BUFIO や BUFR への直接接続はあ

り ません。CLKOUT0 フ ィードバッ ク もサポート されていません。高速 I/O インターフェイス

には MMCM を使用してください。 I/O インターフェイスカスケード接続では、 制限された CMT バッ クボーン リ ソースを使用し

ます。 また、 新しいパワー ダウン モード も追加されました。 入力ク ロ ッ クの切り替えは完全

にサポート されます。 動作範囲は Spartan-6 FPGA と 7 シ リーズ FPGA で異な り ます。 DRP機能は 7 シ リーズ FPGA でも利用でき、 その機能の位置とアドレスが変更されました。

• Spartan-6 FPGA の DCM_SP は、7 シ リーズ FPGA ではサポート されていません。7 シ リーズ

FPGA に移行するには、 MMCM と PLL を使用してください。

• Spartan-6 FPGA の DCM_CLKGEN は、7 シ リーズ FPGA では直接サポート されていません。

狭帯域の MMCM または PLL を使用して入力ジッ ターのフ ィルタ リ ングを行って ください。

MMCM または PLL の DRP リ ファレンス デザインを使用する と、 M/D 値の動的な再プログ

ラ ミ ングが可能です。

Page 24: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

24 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 1 章 : クロッキングの概要

クロック接続のまとめ

表 1-1 に、 7 シ リーズ FPGA のクロ ッ ク接続についてまとめます。

表 1-1 : クロック接続のまとめ

クロック機能またはピン 直接の駆動元 直接の駆動先

複数領域クロ ッ ク兼用 I/O (MRCC)

1 つのバンクに 2 つのピン/ペアがあ り ます。

外部クロ ッ ク

同じクロッ ク領域にあり、デバイスの同じ側 (左/右)にある MRCC の駆動先

• 4 つの BUFIO

• 4 つの BUFR

• 2 つの BUFMR

• 1 つの CMT (1 つの MMCM と 1 つの PLL)

• 上下の CMT (制限された CMT バッ クボーン リソースを使用)(1)

同じ上半分/下半分の MRCC の駆動先

• 16 の BUFG

水平方向に隣接する同 じ ク ロ ッ ク 領域にあ る

MRCC の駆動先

• BUFH

単一領域クロ ッ ク兼用 I/O (SRCC)

1 つのバンクに 2 つのピン/ペアがあ り ます。

外部クロ ッ ク

同じクロッ ク領域にあり、デバイスの同じ側 (左/右)にある SRCC の駆動先

• 4 つの BUFIO

• 4 つの BUFR

• 1 つの CMT (1 つの MMCM と 1 つの PLL)

• 上下の CMT (制限された CMT バッ クボーン リソースを使用)(1)

同じ上半分/下半分の SRCC の駆動先

• 16 の BUFG

水平方向に隣接する同 じ ク ロ ッ ク 領域にあ る

SRCC の駆動先

• BUFH

BUFIO

同じ ク ロ ッ ク領域内での BUFIO の駆

動元

• MRCC (専用 1:1)

• SRCC (専用 1:1)

• MMCM.CLKOUT0 ~MMCM.CLKOUT3

• CLKFBOUT

• 同 じ ク ロ ッ ク 領域お よ び上下 ク

ロ ッ ク領域の BUFMR

同じクロ ッ ク領域で使用する場合の駆動先

• ILOGIC.clk

• ILOGIC.clkb

• OLOGIC.clk

• OLOGIC.clkb

• OLOGIC.oclk

• OLOGIC.oclkb

Page 25: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 25UG472 (v1.11) 2014 年 11 月 19 日

クロック接続のまとめ

BUFR

同じクロ ッ ク領域内での駆動元

• MRCC

• SRCC

• MMCM.CLKOUT0 ~MMCM.CLKOUT3

• CLKFBOUT

• 上下クロ ッ ク領域の BUFMR

• 汎用インターコネク ト

同じ クロ ッ ク領域で使用する場合の駆動先

• CMT

• 同じクロ ッ ク領域内で BUFG が駆動可能な任意

のクロ ッ ク ポイン ト

同じ上半分/下半分で使用する場合の駆動先

• 16 BUFG (非推奨)

BUFMR

同じクロ ッ ク領域内での駆動元

• MRCC (専用 1:1)

• この表に示されている GT ク ロ ッ ク

出力 ( 「GT ト ランシーバー ク ロ ッ

ク」 参照)

• インターコネク ト

(非推奨)

同じ ク ロ ッ ク領域および上下ク ロ ッ ク領域内で使

用する場合の駆動先

• BUFIO

• BUFR

BUFG

同じ上半分/下半分内での駆動元

• SRCC

• MRCC

• CMT

• この表に示されている GT ク ロ ッ ク

出力 ( 「GT ト ランシーバー ク ロ ッ

ク」 参照)

• BUFR (非推奨)

• インターコネク ト (非推奨)

• 同じ上半分 / 下半分内の隣接する

BUFG

• CMT

• この表に示されている GT ク ロ ッ ク出力

( 「GT ト ランシーバー ク ロ ッ ク」 参照)

• 同じ上半分/下半分内の隣接する BUFG

• ファブリ ッ クおよび I/O 内の任意のクロ ッ ク ポイン ト

• CLB 制御信号

• BUFH

BUFH

同じ ク ロ ッ ク領域内および水平方向に

隣接する ク ロ ッ ク領域での BUFH の駆動元

• SRCC

• MRCC

• CMT

• BUFG

• この表に示されている GT ク ロ ッ ク

出力 ( 「GT ト ランシーバー ク ロ ッ

ク」 参照)

• インターコネク ト (非推奨)

同じクロ ッ ク領域で使用する場合の駆動先

• CMT

• この表に示されている GT ク ロ ッ ク出力 ( 「GT ト ランシーバー ク ロ ッ ク」 参照)

• 同じクロ ッ ク領域内で BUFG が駆動可能な任意

のクロ ッ ク ポイン ト

表 1-1 : クロック接続のまとめ (続き)

クロック機能またはピン 直接の駆動元 直接の駆動先

Page 26: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

26 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 1 章 : クロッキングの概要

GT ト ランシーバー ク ロ ッ ク

RXUSRCLK

RXUSRCLK2

TXUSRCLK

TXUSRCLK2

• 任意の BUFG

同じクロ ッ ク領域内での駆動元

• BUFH

N/A

GT ト ランシーバー ク ロ ッ ク

RXOUTCLK

TXOUTCLK

N/A • 同じ上半分/下半分内の BUFG

同じクロ ッ ク領域で使用する場合の駆動先

• CMT

• BUFMR

• 水平方向に隣接するクロ ッ ク領域の BUFH およ

び BUFH

MGTREFCLK0/1P

MGT 差動基準クロ ッ ク ピン

の正 (P) 側です。

MGTREFCLK0/1N

MGT 差動基準クロ ッ ク ピン

の負 (N) です。

外部 GT 基準クロ ッ ク • GT 基準クロ ッ ク

• 同じ上半分/下半分内の BUFG

同じクロ ッ ク領域で使用する場合の、外部 GT 基準

クロ ッ ク ピンの駆動先

• CMT

• BUFMR

• 水平方向に隣接するクロ ッ ク領域の BUFH およ

び BUFH

CMT(1)

• BUFG

• SRCC (同じクロ ッ ク領域および隣

接クロ ッ ク領域)

• MRCC (同じクロ ッ ク領域および隣

接クロ ッ ク領域)

• 同じクロ ッ ク領域の GT

• 同じクロ ッ ク領域内の BUFR、およ

び BUFMR を使用した場合は上下

クロ ッ ク領域の BUFR

• MMCM/PLL.CLKOUT0-3

• 同じ上半分/下半分内の任意の BUFG

同じクロ ッ ク領域で使用する場合の駆動先

• BUFIO (MMCM)

• BUFR (MMCM)

• 水平方向に隣接するクロ ッ ク領域の BUFH およ

び BUFH

• MMCM/PLL (隣接していない場合は位相オフ

セッ ト あ り )

IDELAYCNTRL.CLK

• MRCC/SRCC

• BUFG

• BUFH

N/A

CCLK ピン コンフ ィギュレーシ ョ ン ロジッ ク コンフ ィギュレーシ ョ ン ロジッ ク

EMCCLK ピン N/A コンフ ィギュレーシ ョ ン ロジッ ク

TCK ピンN/A JTAG コンフ ィギュレーシ ョ ン ロジッ クおよびバ

ウンダ リ スキャン

注記 :

1. 制限が適用されます。 31 ページの 「シングル ク ロ ッ クで複数の CMT を駆動する」 を参照して ください。

表 1-1 : クロック接続のまとめ (続き)

クロック機能またはピン 直接の駆動元 直接の駆動先

Page 27: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 27UG472 (v1.11) 2014 年 11 月 19 日

クロック接続のまとめ

7 シリーズ FPGA におけるクロッキングの違い

各 7 シ リーズ FPGA ファ ミ リには独自の接続要件があ り ます。表 1-2 で説明した接続に適用される

制限/例外を表 1-1 にまとめます。 GT、 CMT、 および I/O の位置およびアライ メン ト を包括的に図

示したものについては、 『7 シ リーズ FPGA パッケージおよびピン配置ガイ ド』 (UG475) の 「ダイ

レベルでのバンク番号の概要」 を参照して ください。

表 1-2 : 7 シリーズ FPGA 別のクロック接続の違い

ファ ミ リ 例外

Artix-7 T FPGA :

全デバイス

GTP ト ランシーバーから CMT および BUFMR への直接接続はあ り

ません。GTP ト ランシーバーから CMT への接続には、BUFH または

BUFG が必要です。

Kintex-7 FPGA :

全デバイス

GTX ト ランシーバーから CMT および BUFMR への直接接続はあ り

ません。 GTX ト ランシーバーから CMT への接続には、 BUFH また

は BUFG が必要です。

すべての Virtex-7 T および XT FPGA

接続の例外はあ り ません。 XC7V2000T および XC7VX1140T デバイ

スを使用して設計する際は、第 2 章の 「スタ ッ ク ド シ リ コン インター

コネク ト ク ロ ッキング」を参照してク ロ ッキング ガイ ド ラインを確認

してください。

すべての Virtex-7 HTFPGA

GTZ ト ランシーバーは、 SLR に接続するために、 インターポーザー

ク ロ ッ ク バッ クボーンにしか接続できません。 つま り、 駆動先はグ

ローバル ク ロ ッ ク ネッ ト ワーク (BUFG 配線ト ラ ッ ク ) および BUFHのみで、駆動元は BUFG のみという こ とです。第 2 章の 「スタ ッ ク ド

シ リ コン インターコネク ト ク ロ ッキング」 を参照して ください。

Page 29: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 29UG472 (v1.11) 2014 年 11 月 19 日

第 2 章

クロック配線のリソース

7 シ リーズ FPGA には、 さまざまなクロ ッキング手法、および大きいファンアウ ト、短い伝搬遅延、

非常に低いスキューなどの要件をサポートするためのク ロ ッ ク配線リ ソースが用意されています。

ク ロ ッ ク配線リ ソースを 大限に活用するには、 PCB から FPGA へのユーザー ク ロ ッ クを取得す

る方法、 どのク ロ ッ ク配線 リ ソースが 適かを決定する方法、 適切な I/O およびク ロ ッ ク バッ

ファーを利用してこれらのクロ ッ ク配線リ ソースへアクセスする方法を設計者が理解している必要

があ り ます。

この章では、 次の項目について説明します。

• 「ク ロ ッ ク バッファーの選択に関する考慮事項」

• 「ク ロ ッ ク兼用入力 (CCIO)」

• 「グローバル ク ロ ッ ク リ ソース」

• 「 リージ ョナル ク ロ ッ ク リ ソース」

• 「高性能クロ ッ ク」

クロック バッファーの選択に関する考慮事項

7 シ リーズ FPGA には豊富なク ロ ッ ク リ ソースがあ り ます。 バッファーの種類、 ク ロ ッ ク入力ピ

ン、およびクロ ッ ク接続を多様に備えるこ とで数多くのアプリ ケーシ ョ ンの要件を満たしています。

適切なクロ ッ ク リ ソースを選択するこ とで、 配線性、 性能、 および一般的な FPGA リ ソースの使

用率が向上します。 アプリ ケーシ ョ ンおよびデザインによっては、 フロアプランやその他の手動誘

導によってインプリ メンテーシ ョ ンに多大な影響を及ぼすこ と もできます。

BUFGCTRL (BUFG と して使用される こ とが多い) は、 も一般的に使用される ク ロ ッ ク配線リ

ソースです。 これらの完全なグローバル ク ロ ッ クは、 デバイスのすべてのクロ ッ ク ポイン トへ接

続できます。 しかし、 性能、 機能、 またはクロ ッ ク リ ソースの可用性のいずれかが理由で、 代替の

クロ ッ ク バッファーを使用した方が利点が多い場合もあ り ます。BUFG は次のよ うな場合に適して

います。

• デザインまたはデザインの一部に、 デバイスの多くの領域にまたがるグローバル リーチ (大規

模な展開) があ り、 機能の位置特定が不可能

• 数多くのクロ ッ ク領域にまたがる、 ブロ ッ ク RAM、 DSP、 または統合された IP コアなどの

ハード ウェア機能ブロ ッ クは、 カスケード接続されるか、 または近くにはない CLB への接続

する必要があ り ます。

• ク ロ ッ ク同期 (グ リ ッチなし ) またはクロ ッ ク非同期を切り替えるこ とで、アプリ ケーシ ョ ンは

停止したク ロ ッ クからの切り替え、 または別の周波数のク ロ ッ クを選択するこ とができます (消費電力の削減など)。

• ク ロ ッ ク イネーブル (CE) 機能は、 動作していない期間の消費電力を削減するために使用でき

ます。しかし、通常は、タイ ミ ング (CE 遅延) の制限という理由から CE 機能を使用してクロ ッ

Page 30: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

30 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

キング エレ メン トで真の CE ロジッ ク ファンクシ ョ ンをシ ミ ュレーシ ョ ンすべきではあ り ま

せん。

• CE 機能は、 デバイスのスタート アップ後に初期化されたクロ ッキング エレ メン トの同期化に

使用できます。

BUFR および BUFIO の組み合わせの主な目的は、 ソース同期インターフェイスをサポートするこ

とです。 インターフェイスが 1 つの領域に配置される場合、 BUFIO は SelectIO の高速側にクロ ッ

クを供給し、 BUFR は FPGA ロジッ クにつながる低速のデシ リ アライズ/シ リ アライズされた側に

クロ ッ クを供給してクロ ッ ク ド メ イン転送機能を提供します。1 つのクロ ッ ク領域/バンクで利用で

き る数よ り も多 く のロジ ッ クおよび/または I/O を必要とする イ ン ターフ ェ イ スについては、

BUFMR (BUFMRCE) を使用してクロ ッ ク ド メ イン転送機能を上下クロ ッ ク領域に拡張できます。

ソース同期 I/O のユース ケースに関連しない分周クロ ッ クを必要とする一部のアプ リ ケーシ ョ ン

は、MMCM/PLL を使用できないまたは分周機能に使用できない場合に、BUFR を簡単なクロ ッ ク

分周器と して利用できます。 その場合、 BUFR の主な目的から外れるためタ イ ミ ングおよびス

キューに特に注意が必要とな り ます。SelectIO リ ソースのクロ ッキングに関する詳細は、『7 シ リー

ズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) を参照してください。

水平クロ ッ ク バッファーの BUFH (BUFHCE) は、厳密にはリージ ョナル リ ソースであ り、上下ク

ロ ッ ク領域に広がるこ とはできません。BUFR とは違って、BUFH はクロ ッ クを分周するこ とはで

きません。

• BUFH は、 2 つの水平領域に広がる という リージ ョナル的な観点にのみ基づき、グローバル クロ ッ ク リ ソースに類似しています。

• また、 MMCM/PLL へのフ ィードバッ ク と して機能でき、 ク ロ ッ ク挿入遅延が補正されます。

• インターフェイスまたは多くのロジッ クが 1 ク ロ ッ ク領域または 2 つの隣接する水平クロ ッ

ク領域にある場合、 BUFH は推奨されるクロ ッ ク リ ソースです。

• BUFH にはクロ ッ ク イネーブル ピン (BUFHCE) もあ り、 このピンを使用してロジッ ク、 また

はインターフェイスおよびそれに関連するロジッ クがアクティブではない場合にダイナミ ッ ク

消費電力を削減できます。

• ク ロ ッ ク イネーブル機能は、 ク ロ ッ ク サイ クル間ベースでゲーテッ ド ク ロ ッ クを提供でき

ます。

• グローバル ク ロ ッ ク ツ リーと同様に、 BUFH は CLB (イネーブル/ リセッ ト ) にあるクロ ッ ク

リ ソース以外のリ ソースに接続できますが、 スキュー特性は改善されます。

• さ らに、BUFH はクロ ッ ク領域にあるクロ ッキング エレ メン トの同期スタート アップに利用で

きます。

ク ロ ッ ク リ ソースの選択に関連して課せられるスタ ッ ク ド シ リ コン インターコネク ト (SSI) デバ

イスの制限は、 「スタ ッ ク ド シ リ コン インターコネク ト ク ロ ッキング」 を参照してください。

クロック兼用入力 (CCIO)外部のユーザー ク ロ ッ クは、 ク ロ ッ ク兼用 (CC) 入力と呼ばれる差動クロ ッ ク ピン ペアで FPGAに送信される必要があ り ます。 ク ロ ッ ク兼用入力は、内部グローバルおよびリージ ョナル ク ロ ッ ク

リ ソースへの専用の高速アクセスを提供します。 専用配線を用いるクロ ッ ク兼用入力は、 さまざま

なクロ ッ ク機能のタイ ミ ングを保証する目的でクロ ッ ク入力に利用する必要があ り ます。 ローカル

インターコネク ト を備える汎用 I/O は、 クロ ッ ク信号に使用できません。

各 I/O バンクは 1 ク ロ ッ ク領域にあ り、 50 本の I/O ピンを備えています。 各 I/O カラムの I/O バンクそれぞれに 50 本の I/O ピンがあ り、 そのうちの 4 組がクロ ッ ク兼用入力ピン ペア (合計 8 本のピン) です。 クロ ッ ク兼用入力の概要は次のとおりです。

Page 31: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 31UG472 (v1.11) 2014 年 11 月 19 日

クロック兼用入力 (CCIO)

• PCB の差動またはシングルエンド ク ロ ッ クに接続可能です。

• 差動 I/O 規格も含め、 任意の I/O 規格にコンフ ィギュレーシ ョ ン可能です。

• P 側 (マスター ) および N 側 (スレーブ) があ り ます。

シングルエンド ク ロ ッ ク入力は、 クロ ッ ク兼用入力ピン ペアの P (マスター ) 側に割り当てる必要

があ り ます。

シングルエンド ク ロ ッ クを差動クロ ッ ク ピン ペアの P 側に接続した場合、 N 側を別のシングルエ

ンド ク ロ ッ ク ピンと しては使用できず、 ユーザー I/O と してのみ使用可能です。 ピンの命名規則

については、『7 シ リーズ FPGA パッケージおよびピン配置ガイ ド』 (UG475) を参照してください。

ク ロ ッ ク兼用入力は、 各 I/O バンクで 2 MRCC と 2 SRCC ペアと して構成されます。 SRCC は、

単一ク ロ ッ ク領域とグローバル ク ロ ッ ク ツ リーにアクセスする と共に、 同じカラム内に位置する

上下の CMT にもアクセスします。 SRCC は次を駆動できます。

• 同じクロ ッ ク領域にある リージ ョナル ク ロ ッ ク ライン (BUFR、 BUFH、 BUFIO)

• 同じクロ ッ ク領域または隣接するクロ ッ ク領域にある CMT

• デバイスの同じ側 (上半分/下半分) にあるグローバル ク ロ ッ ク ライン (BUFG)。BUFG および

I/O バンクのアライ メン トの詳細は、『7 シ リーズ FPGA パッケージおよびピン配置ガイ ド』 を参照して ください。

MRCC は複数のク ロ ッ ク領域およびグローバル ク ロ ッ ク ツ リーにアクセスできます。 MRCC はSRCC と同じよ うに機能し、 さ らにマルチ リージ ョナル ク ロ ッ ク バッファー (BUFMR) を駆動し

て 大で 3 つのクロ ッ ク領域にアクセス可能です。

クロ ッ ク兼用入力は、 クロ ッ ク と して使用されない場合は通常の I/O と して利用できます。 通常の

I/O と して使用される場合、 クロ ッ ク兼用入力ピンはシングルエンドまたは差動 I/O 規格と してコ

ンフ ィギュレーシ ョ ンできます。

ク ロ ッ ク兼用入力は、 同じ ク ロ ッ ク領域にある CMT、 そして制限はあ り ますがその上下のクロ ッ

ク領域にある CMT に接続できます。

シングル クロックで 1 つの CMT を駆動する

1 ク ロ ッ ク入力によって 1 つの CMT が駆動される場合、 クロ ッ ク兼用入力および CMT (MMCM/PLL) は同じクロ ッ ク領域にある必要があ り ます。

シングル クロックで複数の CMT を駆動する

シングル ク ロ ッ ク入力は、 同じカラム内のほかの CMT を駆動できます。 その場合、 MMCM/PLLはクロ ッ ク兼用入力と同じ クロ ッ ク領域に配置されている必要があ り ます。 追加の CMT は隣接領

域に配置するのが 適ですが、 同じカラム内で CMT 1 つ分以上離れた CMT を駆動するこ と も可

能です。 CMT で使用される リ ソースは、 CLOCK_DEDICATED_ROUTE 制約なしで自動的に配

置されるよ うに、 このコンフ ィギュレーシ ョ ンと同一である必要があ り ます。複数の MMCM/PLLを混在させる必要がある場合は、 まずはそれらを同じ CMT に配置させます。

同じクロ ッ ク領域にはないクロ ッ ク兼用入力から CMT を駆動させなければならない場合、 および

MMCM/PLL が ク ロ ッ ク兼用入力と 同じ ク ロ ッ ク領域にない場合、

CLOCK_DEDICATED_ROUTE 属性を BACKBONE に設定しなければな り ません。 その場合、

MMCM または PLL によって出力が入力に正し く揃えられません。

同じカラム内の CMT を駆動する専用リ ソースは限られています。一部のザイ リ ンクス IP がこれら

のリ ソースを使用するため、 デザインでその他の用途に使用できな くな り、 その結果と して配線不

Page 32: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

32 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

可能なデザ イ ン と な り ます。 ほかの ク ロ ッ ク 領域への専用配線が使用で き ない場合は、

CLOCK_DEDICATED_ROUTE 属性を FALSE に設定するこ とで、ローカル インターコネク ト ロジッ クが使用できるよ うにな り ます。 ただし、 この場合は遅延が増加し、 補正されない遅延が生じ

ます。

クロック兼用入力ピンの配置規則

初のデザインを作成する前にクロ ッ ク兼用入力ピンを手動で選択する際は、 2 つの注意点があ り

ます。

• ク ロ ッ ク兼用入力が目的のクロ ッ ク リ ソースに接続可能なこ と。表 2-1 に、接続を確認するた

めの配置規則をまとめています。

• 目的のクロ ッ ク リ ソースが利用可能な状態であるこ と、デザインのほかの部分によって使用さ

れていないこ と。 ク ロ ッ ク兼用入力を介して入力される外部ク ロ ッ ク、 および IP から入力さ

れる内部生成クロ ッ クの両方が内部のク ロ ッ ク ネッ ト ワークにアクセスする際に競合しない

よ うにする 善の方法は、 目的のクロ ッ ク ネッ ト ワークおよび IP が含まれる 初のデザイン

を構築し、 それをインプ リ メンテーシ ョ ン ツールを使用して実行するこ とです。結果、検証が

強化されて信頼性が高ま り、 ク ロ ッ クが原因でピン配置を変更する必要がなくな り ます。

表 2-1 に示す配置規則に従って、目的の内部クロ ッ ク ネッ ト ワークにアクセスできるよ うにクロ ッ

ク兼用入力ピンを選択する必要があ り ます。 各 I/O バンクは 1 ク ロ ッ ク領域にあ り ます。

注記 : コス ト増を招くボードの リ スピンやクロ ッ ク タイ ミ ングの低下を避けるために、 ク ロ ッ ク兼

用入力のピン配置が正し く選択されているこ とを確認します。

表 2-1 : クロック兼用入力の配置規則

クロック入力先 リソース使用率および配置規則(1)(2)(3) 有効なクロック兼用

入力ピン

デバイス全体の I/O および/または

順次エレ メン ト (4)ク ロ ッ ク兼用入力 > BUFG > グローバル ク ロ ッ ク ツ リー

• ク ロ ッ ク兼用入力は、 BUFG と同じ側の上半分または下半分

に配置する必要があ り ます。

• 各デバイスについて、 上半分に 16 個の BUFG があ り、 下半

分に 16 個の BUFG があ り ます。

• 各クロ ッ ク領域は、 大で 12 個の固有のグローバル ク ロ ッ ク

を持つこ とができ、 水平クロ ッ ク ラインを使用します。

SRCC または

MRCC

BUFH を使用する 1 ク ロ ッ ク領域

内にある I/O および/または順次エ

レ メン ト (4)

ク ロ ッ ク兼用入力 > BUFH > 水平クロ ッ ク ライン

• ク ロ ッ ク兼用入力は、 BUFH と同じ クロ ッ ク領域または隣接

する水平クロ ッ ク領域に配置する必要があ り ます。 (5)

• 各クロ ッ ク領域には 12 個の BUFH および 12 個の水平クロ ッ

ク ラインがあ り ます。

SRCC または

MRCC

Page 33: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 33UG472 (v1.11) 2014 年 11 月 19 日

クロック兼用入力 (CCIO)

CMT を使用する I/O および/また

は順次エレ メン ト (6)デバイス全体 :

ク ロ ッ ク兼用入力 > CMT > BUFG > グローバル ク ロ ッ ク ツリー

1 ク ロ ッ ク領域または隣接するクロ ッ ク領域 :

ク ロ ッ ク兼用入力 > CMT > BUFR/BUFH > リージ ョナル クロ ッ ク ツ リー /水平クロ ッ ク ライン

クロ ッ ク兼用入力から CMT への入力配線 :

• CMT は、 クロ ッ ク兼用入力と同じ クロ ッ ク領域に配置する必

要があ り ます。

• 複数の CMT が必要な場合は、 CMT をすぐ上のまたは下のク

ロ ッ ク領域に配置させるこ と も可能です。 (5)

• 各クロ ッ ク領域には 1 つの CMT があ り ます。

SRCC または

MRCC

BUFR を使用する 1 ク ロ ッ ク領域

にある I/O および/または順次エレ

メン ト

ク ロ ッ ク兼用入力 > BUFR > リージ ョナル ク ロ ッ ク ツ リー

• ク ロ ッ ク兼用入力は、 BUFR、 I/O、 および順次ク ロ ッ ク供給

されたエレ メ ン ト と同じ ク ロ ッ ク領域に配置する必要があ り

ます。

• 特定のクロ ッ ク兼用ピン ペアは固有の BUFR および BUFIOへ接続します。 したがって、 LOC 制約を BUFR/BUFIO に手

動で付けるこ とは推奨されません。

• 各クロ ッ ク領域には、 4 つのクロ ッ ク兼用入力および 4 つの

BUFR があ り ます。

SRCC または

MRCC

大 3 つの隣接する ク ロ ッ ク領域

にある I/O および/または順次エレ

メン ト (7)

ク ロ ッ ク兼用入力 > BUFMR > BUFR > リージ ョナル ク ロ ッ ク

ツ リー (8)

• BUFR が駆動している I/O およびほかの順次エレ メン トは、同

じ ク ロ ッ ク領域またはク ロ ッ ク兼用入力のすぐ上または下の

ク ロ ッ ク領域になければな り ません。 BUFR を同じ ク ロ ッ ク

領域または隣接するクロ ッ ク領域で駆動するには、BUFMR を使用する必要があ り ます。

• 特定のクロ ッ ク兼用ピン ペアは固有の BUFR および BUFIOへ接続します。 したがって、 LOC 制約を BUFR/BUFIO に手

動で付けるこ とは推奨されません。

• 各クロ ッ ク領域には、4 つのクロ ッ ク兼用入力、4 つの BUFR、

および 2 つの BUFMR があ り ます。

MRCC のみ

1 ク ロ ッ ク領域にあ る高性能

SelectIO イ ン ターフ ェ イ スのみ

(50 本の I/O)

ク ロ ッ ク兼用入力 > BUFIO > I/O ク ロ ッ ク ツ リー

• ク ロ ッ ク兼用入力は、駆動する BUFIO および I/O フ リ ップフ

ロ ップと同じクロ ッ ク領域に配置する必要があ り ます。

• 特定のクロ ッ ク兼用ピン ペアは固有の BUFR および BUFIOへ接続します。 したがって、 LOC 制約を BUFR/BUFIO に手

動で付けるこ とは推奨されません。

• 各クロ ッ ク領域には 4 つのクロ ッ ク兼用入力および 4 つの

BUFIO があ り ます。

SRCC または

MRCC

表 2-1 : クロック兼用入力の配置規則 (続き)

クロック入力先 リソース使用率および配置規則(1)(2)(3) 有効なクロック兼用

入力ピン

Page 34: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

34 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

大 2 つの隣接する ク ロ ッ ク領域

にあ る高性能 SelectIO イ ン ター

フェイスのみ(7)

ク ロ ッ ク兼用入力 > BUFMR >BUFIO > I/O ク ロ ッ ク ツ リー (8)

• 順次 I/O および BUFIO は、クロ ッ ク兼用入力と同じ クロ ッ ク

領域、またはすぐ上または下に隣接するクロ ッ ク領域に配置す

る必要があ り ます。

• 同じクロ ッ ク領域および隣接するクロ ッ ク領域にある BUFIOおよび I/O ク ロ ッ クへアクセスするには BUFMR を使用する

必要があ り ます。

• 特定のクロ ッ ク兼用ピン ペアは固有の BUFR および BUFIOへ接続します。 したがって、 LOC 制約を BUFR/BUFIO に手

動で付けるこ とは推奨されません。

• 各クロ ッ ク領域には、4 つのクロ ッ ク兼用入力、4 つの BUFIO、

および 2 つの BUFMR があ り ます。

MRCC のみ

注記 :

1. これらの配置規則に例外があるデバイスの詳細は、 27 ページの 「7 シ リーズ FPGA におけるク ロ ッキングの違い」 を参照し、 CMT、BUFG、 および I/O バンクのアライ メン トについては 『7 シ リーズ FPGA パッケージおよびピン配置ガイ ド』 (UG475) を参照して くださ

い。

2. ク ロ ッ ク兼用入力のピン配置では、 利用できる数以上のリ ソースが必要とならないよ うにして ください。 つま り、 デバイスの片側半分に

つき 16 の BUFG、 1 ク ロ ッ ク領域につき 1 つの CMT、 1 ク ロ ッ ク領域につき 4 つの BUFR までです。 これら以上にクロ ッ ク リ ソース

が必要な場合は、 ク ロ ッ ク兼用入力がほかのクロ ッ ク領域にあるクロ ッ ク リ ソースに到達できるよ うに割り当てなおす必要があ り ます。

3. SSI デバイス用にクロ ッ クまたは高速バス インターフェイスのピン配置を定義する場合は、 『高集積 FPGA 設計手法ガイ ド』 (UG872) を参照して ください。

4. BUFH および BUFG は、 クロ ッ ク領域にある同じ水平クロ ッ ク ライン リ ソースを使用します。 各 BUFG または BUFH は、 ク ロ ッ ク領

域にある 12 の水平クロ ッ ク ラインのうちの 1 つを使用します。

5. 制限が適用されます。 31 ページの 「シングル ク ロ ッ クで複数の CMT を駆動する」 を参照して ください。

6. ク ロ ッ ク兼用入力が、 同じカラムにあるほかのクロ ッ ク領域 (同じ クロ ッ ク領域ではない) の CMT を駆動する場合、

CLOCK_DEDICATED_ROUTE を BACKBONE に設定する必要があ り ます。

7. BUFMR または CMT を使用して隣接するクロ ッ ク領域にクロ ッ クを供給する場合、 ク ロ ッ ク リ ソースが削減されるため、 隣接するク

ロ ッ ク領域がその影響を受けるこ とがあ り ます。たとえば、 BUFMR を使用して隣接するクロ ッ ク領域にある BUFR を駆動する と、その

隣接するク ロ ッ ク領域にあるク ロ ッ ク兼用入力ペアのうちの 1 つは自身が配置されている ク ロ ッ ク領域のリージ ョナル ク ロ ッ ク ツ リー

を駆動できな くな り ます。 BUFH または BUFG については、 隣接するクロ ッ ク領域のグローバル ク ロ ッ ク ラ インを駆動するために使用

できます。

8. メモ リ インターフェイスが BUFR/BUFIO と同じバンクまたは領域に配置されている場合、 BUFMR からそのバンクまたは領域にある

BUFH/BUFIO への接続が制限されるかもしれません。

表 2-1 : クロック兼用入力の配置規則 (続き)

クロック入力先 リソース使用率および配置規則(1)(2)(3) 有効なクロック兼用

入力ピン

Page 35: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 35UG472 (v1.11) 2014 年 11 月 19 日

グローバル クロック リソース

同じパッケージのデバイス間でデザインを移行する場合、 BUFG を上側に 16 リ ソース、下側に 16リ ソース と して分ける中央線が、 ほかのカラムに関連して移動する可能性があ り ます。 具体的には

I/O バンクによって上/下 BUFG のアライ メン トが変更され、 BUFG へアクセスするクロ ッ ク兼用

入力ピンのアライ メン トが変わり ます。図 2-1 に、 XC7K325T および XC7K160T デバイスのセン

ター アライ メン トの例を示します。同一パッケージで大規模デバイスから小規模デバイスへ移行す

る場合には中央線の位置が低くな り、 小規模デバイスから大規模デバイスへ移行する場合は中央線

の位置が高くな り ます (I/O カラムによる)。ク ロ ッ ク兼用入力ピンに LOC 制約が指定されている と

デザインが配線不可能になる場合があ り ます。

また、同じパッケージの小規模デバイスへ移行する際、大規模デバイスの下側の BUFG がすべて使

用され、 使用可能な BUFG がない場合も配線不可能な状態とな り ます。 BUFG および I/O バンク

のアライ メン トの詳細は、 『7 シ リーズ FPGA パッケージおよびピン配置ガイ ド』 (UG475) を参照

して ください。

また、 複数の SLR (Super Logic Region) があるデバイスにも、 同じパッケージの単一 SRL にある

モノ リ シッ ク パスから、 またはその逆に移行する と きに同じ制約条件が生じます。

グローバル クロック リソース

グローバル ク ロ ッ クは、 すべてのク ロ ッ ク入力と FPGA のさまざまな リ ソースを接続するための

専用ネッ ト ワークです。 このネッ ト ワークは、 スキュー、 デューティ サイクルの歪み、 消費電力を

抑え、 ジッ ター耐性が向上するよ うに設計されています。 また、 非常に高い周波数の信号をサポー

トするよ うにも設計されています。

グローバル ク ロ ッ クの信号パスを理解する と、 さまざまなグローバル ク ロ ッ ク リ ソースについて

も理解できるよ うにな り ます。 グローバル ク ロ ッ ク リ ソース とネッ ト ワークには、 次のパスおよ

びコンポーネン トが含まれます。

X-Ref Target - Figure 2-1

図 2-1 : XC7K325T デバイスおよび XC7K160T デバイスのセンター アライメン トの例

PCIe

DeviceCenter

Top BUFGsBottom BUFGs

XC7K160T XC7K325T

Bank 18HR

Bank 33HP

Bank 13HR

Bank 34HP

Bank 14HR

Bank 32HP

Bank 12HR

UG472_c1_29_010612

GTX Quad 118

Bank 17HR

GTX Quad 117

Bank 16HR

GTX Quad 116

Bank 15HR

GTX Quad 115

PCIe

DeviceCenter

Top BUFGsBottom BUFGs

Bank 33HP

Bank 13HR

Bank 34HP

Bank 14HR

Bank 32HP

Bank 12HR

Bank 16HR

GTX Quad 116

Bank 15HR

GTX Quad 115

Page 36: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

36 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

• 「ク ロ ッ ク ツ リーとネッ ト - GCLK」

• 「ク ロ ッ ク領域」

• 「グローバル ク ロ ッ クバッファー」

クロック ツリーとネッ ト - GCLK7 シ リーズ FPGA のクロ ッ ク ツ リーは、スキューおよび消費電力が少なくなるよ う設計されていま

す。 未使用ブランチの接続は切断されます。 ク ロ ッ ク ツ リーは、 リセッ トやク ロ ッ ク イネーブル

などのロジッ ク リ ソースを駆動するためにも使用できます。 これは通常、 大ファンアウ ト /高ロー

ドのネッ トに使用されます。

7 シ リーズ FPGA のアーキテクチャでは、 グローバル ク ロ ッ ク ラ インのピン利用はロジッ ク リソースのクロ ッ ク ピンに限らず、CLB の CLK 以外のピン (SR や CE などの制御ピン) も駆動でき

ます。 このアーキテクチャは、 高速信号接続や大容量のロードおよび大きいファンアウ トが必要な

アプリ ケーシ ョ ンに適しています。

クロック領域

7 シ リーズ デバイスでは、ク ロ ッ ク領域の使用によってクロ ッ ク ディ ス ト リ ビューシ ョ ンが改善さ

れています。各クロ ッ ク領域には 大 12 のグローバル ク ロ ッ ク ド メ インを含めるこ とができます。

これら 12 のグローバル ク ロ ッ クは、 モノ リ シッ ク デバイスまたは SLR で使用可能な 32 個のグ

ローバル ク ロ ッ ク バッファーを自由に組み合わせて駆動できます。ク ロ ッ ク領域の寸法は CLB 50個分 (IOB 50 個) の高さで、 チップの左半分または右半分の幅に固定されています。 7 シ リーズ デバイスでは、 ク ロ ッ ク バッ クボーンによってデバイスが左右に分割されます。 このバッ クボーン

は、 ダイの中央にはあ り ません。 このよ うにクロ ッ ク領域の寸法を固定するこ とで、 大規模な 7 シリーズ デバイスにさ らに多くのク ロ ッ ク領域が含まれるよ うにな り ました。 7 シ リーズ FPGA では、 4 ~ 24 個のクロ ッ ク領域が提供されます。

グローバル クロックバッファー

各 7 シ リーズ デバイスには 32 個のグローバル ク ロ ッ ク バッファーがあ り ます。CCIO 入力はデバ

イスの同じ側にあるグローバル ク ロ ッ クへ直接接続できます。 差動ク ロ ッ ク ピンのペアは、 それ

ぞれ PCB 上の差動またはシングルエンド ク ロ ッ クのいずれかに接続できます。 差動クロ ッ ク入力

と して使用する場合は、 差動入力ピン ペアの P 側からの直接接続を使用します。 シングルエンド

ク ロ ッ クを使用する場合は、P 側のピン ペアのみ直接接続されているため、 この P 側のピンを使用

する必要があ り ます。 ピンの命名規則については、 『7 シ リーズ FPGA パッケージおよびピン配置

ガイ ド』 (UG475) を参照して ください。 シングルエンド ク ロ ッ クを差動ピン ペアの P 側に接続し

た場合、 N 側を別のシングルエンド ク ロ ッ ク ピンと して使用するこ とはできませんが、 ユーザー

I/O と して使用可能です。

デバイスの上半分にある CMT はデバイスの上半分にある BUFG しか駆動できず、 下半分の CMTは下半分の BUFG しか駆動できません。同様に、MMCM へのフ ィードバッ ク と して使用できるの

は、CMT と同じ側 (上半分または下半分) にある BUFG に限られます。ギガビッ ト ト ランシーバー

(GT) は、GT と I/O のフルカラムを含む領域へ CMT カラムがつながる場合にのみ MMCM/PLL に直接接続できます。 Virtex-7T および Virtex-7XT デバイスにはこれらのフルカラムがあ り ます。

Artix-7、 Kintex-7、 Zynq-7000 ファ ミ リの GT と CMT は、 BUFH (推奨) または BUFG を使用す

る場合にのみ接続できます。

グローバル ク ロ ッ ク バッファーを使用する と、 ク ロ ッ クや信号などのソースからグローバル クロ ッ ク ツ リーおよびネッ トへのアクセスが可能になり ます。 グローバル ク ロ ッ ク バッファーへの

入力に使用できるソースは、 次のとおりです。

Page 37: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 37UG472 (v1.11) 2014 年 11 月 19 日

グローバル クロック リソース

• ク ロ ッ ク兼用入力

• ク ロ ッ ク マネージメン ト タイル (CMT) : 各 CMT に含まれる 1 つの MMCM と 1 つの PLLがデバイスの同じ側にある BUFG を駆動

• 隣接するグローバル ク ロ ッ ク バッファー出力 (BUFG)

• 汎用インターコネク ト

• リージ ョナル ク ロ ッ ク バッファー (BUFR)

• ギガビッ ト ト ランシーバー

7 シ リーズ FPGA のクロ ッ ク兼用入力は、 ク ロ ッ ク バッ クボーンにある垂直クロ ッ ク ネッ ト ワー

クを介して間接的にグローバル ク ロ ッ ク バッファーを駆動できます。32 個の BUFG はデバイス上

下にそれぞれ 16 個ずつの 2 つのグループに分かれています。 BUFG に直接接続する リ ソース

(GTX ト ランシーバーなど) にはすべて、 上半分/下半分の制限があ り ます。 たとえば、 上半分にあ

る MMCM は同じデバイスの上半分にある 16 個の BUFG しか駆動できません。同様に、下半分の

MMCM で駆動できるのは下半分の 16 個の BUFG にのみ限られます。

すべてのグローバル ク ロ ッ ク バッファーは、 7 シ リーズ デバイスの全クロ ッ ク領域を駆動可能で

す。 ただし、 1 つのク ロ ッ ク領域で駆動できる ク ロ ッ クは 12 までです。 ク ロ ッ ク領域はク ロ ッ ク

ツ リーのブランチであ り、上下 25 個ずつの CLB 行で構成されています (高さは CLB 50 個分)。そ

の幅はデバイスの半分です。

クロ ッ ク バッファーは、 2 つのクロ ッ ク入力を備えた同期または非同期のグ リ ッチのない 2:1 マル

チプレクサーと して使用できるよ うに設計されています。 BUFG のカスケード接続に専用パス (配線リ ソース) があ り、 2 つ以上のク ロ ッ ク入力を選択できます。 7 シ リーズ デバイスの制御ピンに

は幅広い機能があ り、 効率的な入力切り替えが可能です。

7 シ リーズ FPGA のクロ ッキング構造では、BUFGCTRL マルチプレクサーおよびその派生リ ソー

スは、デバイスの上半分または下半分にある 16 個の隣接クロ ッ ク バッファーとカスケード接続で

き るため、 デバイスの上下それぞれに 16 個の BUFGMUX リ ング (BUFGCTRL マルチプレ ク

サー ) を作成できます。 図 2-2 に、 カスケード接続された BUFG のブロ ッ ク図を示します。

X-Ref Target - Figure 2-2

図 2-2 : カスケード接続された BUFG

UG472_c1_03_091010

Page 38: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

38 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

次のセクシ ョ ン以降では、 7 シ リーズ FPGA のクロ ッ ク バッファーの各種構成、プリ ミ ティブ、使

用モデルについて説明しています。

グローバル クロック バッファーのプリ ミテ ィブ

表 2-2 に、 グローバル ク ロ ッ ク バッファーのプリ ミ ティブを示します。 ISE® または Vivado® デザイン ツールは、 これらすべてのプリ ミ ティブのコンフ ィギュレーシ ョ ンを管理します。 LOC 制約については、 『制約ガイ ド』 を参照してください。

BUFGCTRL

BUFGCTRL プリ ミ ティブ (図 2-3 参照) では、 2 つの非同期クロ ッ クの切り替えが可能です。 その

他のグローバル ク ロ ッ ク バッファー プリ ミ ティブはすべて BUFGCTRL のコンフ ィギュレーシ ョ

ンから派生したものです。

BUFGCTRL には、 S0、 S1、 CE0、 CE1 の 4 つのセレク ト ラインと IGNORE0 および IGNORE1の 2 つの制御ラインがあ り ます。これらの 6 つの制御ラインを使用して入力 I0 と I1 を制御します。

表 2-2 : グローバル クロック バッファーのプリ ミテ ィブ

プリ ミテ ィブ 入力 出力 制御

BUFGCTRL I0、 I1 O CE0、 CE1、 IGNORE0、 IGNORE1、 S0、 S1

BUFG I O –

BUFGCE I O CE

BUFGCE_1 I O CE

BUFGMUX I0、 I1 O S

BUFGMUX_1 I0、 I1 O S

BUFGMUX_CTRL I0、 I1 O S

注記 :

1. すべてのプリ ミ ティブは、 BUFGCTRL のソフ ト ウェア プリセッ トから派生したものです。

X-Ref Target - Figure 2-3

図 2-3 : BUFGCTRL プリ ミテ ィブ

IGNORE1

IGNORE0

CE1

CE0

S1

S0

I1

I0

O

BUFGCTRL

UG472_c1_03_061310

Page 39: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 39UG472 (v1.11) 2014 年 11 月 19 日

グローバル クロック リソース

BUFGCTRL は、2 つのクロ ッ ク入力をグ リ ッチなしで切り替えるよ う設計されています。S0 と S1の変化後に選択されているクロ ッ クが High から Low に切り替わる と、出力はも う 1 つのクロ ッ ク

が High から Low に遷移するまで Low を維持します。 その後、 新しいクロ ッ クが出力を駆動し始

めます。デフォルトのコンフ ィギュレーシ ョ ンでは BUFGCTRL は立ち下がりエッジを認識し、入

力が切り替わる前は Low に保持されるよ うになっています。 INIT_OUT 属性を使用するこ とで、

立ち上がりエッジを認識して、 入力が切り替わるまで High を保持するよ うにも設定できます。

アプリ ケーシ ョ ンによっては、上記のよ うな切り替え条件が適さない場合もあ り ます。その場合は、

IGNORE ピンをアサートする と BUFGCTRL は 2 つのクロ ッ ク入力の切り替え条件を検出しな く

な り ます。 つま り、 IGNORE をアサートする とセレク ト ピンの変化と同時にマルチプレクサーの

入力が切り替わり ます。 IGNORE0 をアサートする とセレク ト ピンの変化と同時に I0 入力から切

り替わり、 IGNORE1 をアサートする とセレク ト ピンの変化と同時に I1 入力から切り替わり ます。

入力クロ ッ クは、 S0 と CE0 または S1 と CE1 のペアのどちらかが High にアサート されなければ

選択できません。 S か CE のどちらかが High にアサート されていないと きは、 必要な入力が選択

されません。 通常は、 両方のペア (4 つのすべてのセレク ト ライン) が同時に High にアサート され

るこ とはあ り ません。 セレク ト ラインと して使用されるのは、ペアの一方のピンだけで、 も う一方

は High に固定されます。 表 2-3 はその真理値表です。

S と CE はどちら も出力を選択するために使用しますが、 グ リ ッチのない切り替えを実行できる Sの使用を推奨します。 CE を使用してク ロ ッ ク切り替えを行う と、 S を使用した場合よ り も ク ロ ッ

ク選択が高速で変更されます。 CE ピンでセッ ト アップ/ホールド タイム違反がある と、 ク ロ ッ ク出

力でグ リ ッチが発生します。一方、 S ピンの使用では、 セッ ト アップ/ホールド タイムと無関係に 2つのクロ ッ ク入力を切り替えるこ とができます。 このため、 S ピンを使用してクロ ッ クを切り替え

てもグ リ ッチは発生しません。「BUFGMUX_CTRL」 を参照してください。CE ピンは従来の Virtexアーキテクチャ との互換性があ り ます。

表 2-3 : クロック リソースの真理値表

CE0 S0 CE1 S1 O

1 1 0 X I0

1 1 X 0 I0

0 X 1 1 I1

X 0 1 1 I1

1 1 1 1 前の入力 (1)

注記 :

1. 前の入力とは、 このステートに移行する前の有効な入力クロ ッ クのこ とです。

2. これ以外のステートではすべて、 出力が INIT_OUT 値になり、 ト グルしません。

Page 40: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

40 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

図 2-4 のタイ ミ ング図は、 BUFGCTRL プリ ミ ティブを使用した場合のクロ ッ クの切り替わり を示

しています。 正確なタイ ミ ング値は、 スピード ファ イルから求めるこ とができます。

• タイム イベン ト 1 の前は、 出力 O に入力 I0 が選択されています。

• タイム イベン ト 1 の立ち上がりエッジよ り TBCCCK_CE 前に、CE0 と S0 が Low にディアサー

ト されます。 これとほぼ同時に、 CE1 と S1 が High にアサート されます。

• タイム イベン ト 3 よ り TBCCKO_O 後に、 出力 O が入力 I1 に切り替わり ます。 これは、 I0 がHigh から Low になり (イベン ト 2)、 I1 が High から Low になる と発生します。

• タイム イベン ト 4 で IGNORE1 がアサート されます。

• タイム イベン ト 5 で CE0 と S0 が High にアサート され、 CE1 と S1 が Low にディアサート

されます。 タイム イベン ト 6 よ り TBCCKO_O 後に、 出力 O の入力が I1 から I0 に切り替わり

ます。 このと き、 I1 が High から Low に切り替わる必要はあ り ません。

BUFGCTRL には、 ほかに次のよ うな機能があ り ます。

• I0 と I1 入力は、 コンフ ィギュレーシ ョ ン後、 デバイスが動作を開始する前にあらかじめ選択

されます。

• コンフ ィギュレーシ ョ ン後の 初の出力には、 High または Low のどちらでも選択できます。

• CE0 と CE1 のみを使用してクロ ッ クを選択 (S0 と S1 を High に固定) した場合、 前に選択さ

れたクロ ッ クの High から Low への遷移を待つこ とな く別のクロ ッ クを選択できます。

X-Ref Target - Figure 2-4

図 2-4 : BUFGCTRL のタイ ミング図

I0

I1

S0

S1

IGNORE0

IGNORE1

O

CE0

CE1

1 2 3 4 5 6

TBCCCK_CE

UG472_c1_04_033030

TBCCKO_O TBCCKO_O TBCCKO_O

at I0 Begin I1 Begin I0

Page 41: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 41UG472 (v1.11) 2014 年 11 月 19 日

グローバル クロック リソース

表 2-4 に、 BUFGCTRL プリ ミ ティブの属性を示します。

BUFG

BUFG は、 ク ロ ッ ク入力と ク ロ ッ ク出力を各 1 つ持つ入力クロ ッ ク バッファーです。 このプ リ ミ

テ ィブは BUFGCTRL に対して、 一部のピンをロジッ ク High または Low に固定したものです。

図 2-5 に、BUFG と BUFGCTRL の関係を示します。BUFG の手動配置には LOC 制約を使用でき

ます。 詳細は、 『制約ガイ ド』 を参照してください。

図 2-6 のタイ ミ ング図に示すよ うに、 出力には入力と同じ波形が現れます。

表 2-4 : BUFGCTRL 属性

属性名 説明 設定可能な値

INIT_OUT コンフ ィ ギュ レーシ ョ ン後に BUFGCTRL 出力を特

定の値に初期化します。 立ち上がりエッジと立ち下が

り エッジのいずれを使用するかを設定します。 また、

ク ロ ッ ク選択を切り替える と きの出力レベルを設定し

ます。

0 (デフォルト )、 1

PRESELECT_I0 TRUE の場合、 コ ン フ ィ ギ ュ レーシ ョ ン後の

BUFGCTRL の出力に I0 入力が選択されます。 (1)FALSE (デフ ォル

ト )、 TRUE

PRESELECT_I1 TRUE の場合、 コ ン フ ィ ギ ュ レーシ ョ ン後の

BUFGCTRL の出力に I1 入力が選択されます。 (1)FALSE (デフ ォル

ト )、 TRUE

注記 :

1. 2 つの PRESELECT 属性を同時に TRUE にはできません。

X-Ref Target - Figure 2-5

図 2-5 : BUFG と BUFGCTRL の関係

X-Ref Target - Figure 2-6

図 2-6 : BUFG のタイ ミング図

IGNORE1

IGNORE0

CE1

CE0

S1

S0

I1

I0

O

BUFG

UG472_c1_05_112310

VDDGND

VDD

VDD

VDD

I

OI

GND

GND

BUFG(I)

BUFG(O)

TBCCKO_OUG472_c1_06_061310

Page 42: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

42 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

BUFGCE および BUFGCE_1

BUFG とは異なり、BUFGCE はクロ ッ ク入力、 ク ロ ッ ク出力、 ク ロ ッ ク イネーブル ラインを 1 つずつ持つクロ ッ ク バッファーです。 このプ リ ミ テ ィブは BUFGCTRL に対して、 一部のピンをロ

ジッ ク High または Low に固定したものです。図 2-7 に、 BUFGCE と BUFGCTRL の関係を示し

ます。 BUFGCE と BUFGCE_1 の手動配置には LOC 制約を使用できます。詳細は、 『制約ガイ ド』

を参照して ください。

BUFGCE の切り替え条件は BUFGCTRL と同様です。 入力ク ロ ッ クの立ち上がりエッジ前に CE入力が Low になる場合は、その後の入力クロ ッ ク パルスは出力に送信されず、出力は Low に保た

れます。入力クロ ッ クが High の間に CE が変化しても、 ク ロ ッ クが Low になるまで出力に影響は

あ り ません。 ク ロ ッ クが無効になる と出力は Low のまま とな り ます。 ただし、 ク ロ ッ クが無効に

なる時点でのクロ ッ ク High パルスは出力されます。

ク ロ ッ ク イネーブル ラ インに BUFGCTRL の CE ピンを使用するため、セレク ト信号はセッ ト アッ

プ タイム要件を満たす必要があ り ます。この条件に違反する とグ リ ッチが発生する可能性があ り ま

す。 図 2-8 に、 BUFGCE のタイ ミ ング図を示します。

BUFGCE_1 は BUFGCE と類似していますが、 入力の切り替え条件のみ異なり ます。 入力ク ロ ッ

クの立ち下がりエッジ前に CE 入力が Low になる場合は、 その後の入力クロ ッ ク パルスは出力に

送信されず、出力は High に保たれます。入力クロ ッ クが Low の間に CE が変化しても、 ク ロ ッ ク

が High になるまで出力に影響はあ り ません。ク ロ ッ クが無効になる と出力は High のまま とな り ま

す。 ただし、 ク ロ ッ クが無効になる時点でのクロ ッ ク Low パルスは出力されます。

X-Ref Target - Figure 2-7

図 2-7 : BUFGCE と BUFGCTRL の関係

X-Ref Target - Figure 2-8

図 2-8 : BUFGCE のタイ ミング図

IGNORE1

IGNORE0

CE1

CE0

S1

S0

I1

I0

O

BUFGCE

BUFGCE as BUFGCTRL

UG472_c1_07_061310

VDDGND

VDD

CE

VDDO

II

CEGND

GND

BUFGCE(I)

BUFGCE(CE)

BUFGCE(O)

UG472_c1_08_061310TBCCKO_O

TBCCCK_CE

Page 43: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 43UG472 (v1.11) 2014 年 11 月 19 日

グローバル クロック リソース

図 2-9 に、 BUFGCE_1 のタイ ミ ング図を示します。

BUFGMUX および BUFGMUX_1

BUFGMUX はク ロ ッ ク入力 2 つと、 ク ロ ッ ク出力、 ク ロ ッ ク イネーブル ラ インを 1 つずつ持つ

クロ ッ ク バッファーです。このプリ ミ ティブは BUFGCTRL に対して、一部のピンをロジッ ク Highまたは Low に固定したものです。 図 2-10 に、 BUFGMUX と BUFGCTRL の関係を示します。

BUFGMUX と BUFGCTRL の手動配置には LOC 制約を使用できます。 詳細は、 『制約ガイ ド』 を参照して ください。

BUFGMUX は CE ピンをセレク ト ピンと して使用するため、 セレク ト を使用する場合は、 セッ ト

アップ タイム要件を満たしている必要があ り ます。この条件に違反する とグ リ ッチが発生する可能

性があ り ます。

X-Ref Target - Figure 2-9

図 2-9 : BUFGCE_1 のタイ ミング図

X-Ref Target - Figure 2-10

図 2-10 : BUFGMUX と BUFGCTRL の関係

BUFGCE_1(I)

BUFGCE_1(CE)

BUFGCE_1(O)

UG472_c1_09_061310TBCCKO_O

TBCCCK_CE

IGNORE1

IGNORE0

CE1S

CE0

S1

S0

I1

I0

O

BUFGMUX

UG472_c1_10_061310

VDD

VDD

OI1

I0

S

GND

GND

Page 44: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

44 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

BUFGMUX の入力切り替え条件は BUFGCTRL の CE ピンと同様です。 図 2-11 に、 BUFGMUXのタイ ミ ング図を示します。

図 2-11 の説明

• 現在のクロ ッ クは I0 です。

• S が High に変化します。

• I0 が現在 High の場合、 マルチプレクサーは I0 が Low にディアサート されるのを待ちます。

• I0 が Low になる と、 I1 が High から Low に切り替わるまでマルチプレクサーの出力は Lowに保たれます。

• I1 が High から Low に切り替わる と、 出力は I1 に切り替わり ます。

• セッ ト アップ/ホールド タイム要件が満たされている場合、 出力にグ リ ッチやシ ョート パルス

は発生しません。

BUFGMUX_1 は立ち上がりエッジを認識し、入力が切り替わるまで High に保持されます。図 2-12に、 BUFGMUX_1 のタ イ ミ ング図を示し ます。 BUFGMUX と BUFGMUX_1 の手動配置には

LOC 制約を使用できます。 詳細は、 『制約ガイ ド』 を参照して ください。

図 2-12 の説明

• 現在のクロ ッ クは I0 です。

• S が High に変化します。

• I0 が現在 Low の場合、 マルチプレクサーは I0 が High にアサート されるのを待ちます。

X-Ref Target - Figure 2-11

図 2-11 : BUFGMUX のタイ ミング図

X-Ref Target - Figure 2-12

図 2-12 : BUFGMUX_1 のタイ ミング図

S

I0

I1

OTBCCKO_O

ug472_c1_11_112310

TBCCKO_O

TBCCCK_CE

beginswitching using I1

S

I0

I1

O

ug472_c1_12_061310

TBCCCK_CE

TBCCKO_O

Page 45: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 45UG472 (v1.11) 2014 年 11 月 19 日

グローバル クロック リソース

• I0 が High になる と、 I1 が Low から High になるまでマルチプレクサーの出力は High に保た

れます。

• I1 が Low から High に切り替わる と、 出力は I1 に切り替わり ます。

• セッ ト アップ/ホールド タイム要件が満たされている場合、 出力にグ リ ッチやシ ョート パルス

は発生しません。

BUFGMUX_CTRL

BUFGMUX_CTRL は、 従来の BUFGMUX_VIRTEX4 プ リ ミ テ ィ ブに代わる ものです。

BUFGMUX_CTRL は 2 つのクロ ッ ク入力と 1 つのクロ ッ ク出力、 そして 1 つのセレク ト ライン

を持つクロ ッ ク バッファーです。このプリ ミ ティブは BUFGCTRL に対して、一部のピンをロジッ

ク High または Low に固定したものです。図 2-13 に、BUFGMUX_CTRL と BUFGCTRL の関係

を示します。

BUFGMUX_CTRL では、 S ピンがセレク ト ピンと して使用されます。 S はグ リ ッチを発生させず

に常に切り替え可能です。S のセッ ト アップ/ホールド タイムによって、新しいクロ ッ クへの切り替

え前に、 その前のク ロ ッ クの余分なパルスが出力されるかが決ま り ます。 図 2-14 のよ うに、 セッ

ト アップ タイム TBCCCK_S よ り前、 I0 が High から Low になる前に S が変化した場合、 I0 の余分

なパルスは出力されません。 S がホールド タイムの後に変化した場合は、 余分なパルスが出力され

ます。S がセッ ト アップ/ホールド タイムに違反する と、余分なパルスが出力されるこ と もあ り ます

が、 グ リ ッチは発生しません。 いずれの場合でも、 出力は遅い方のクロ ッ クの 3 サイクル以内に新

しいクロ ッ クに変わり ます。

S0 と S1 のセッ ト アップ/ホールド タイムの要件は、 CE0 と CE1 のよ うに立ち上がりエッジでは

なく、 立ち下がりエッジが基準となっています。

表 2-5 : BUFGMUX 属性

属性名 説明 設定可能な値

CLK_SEL_TYPE ク ロ ッ ク切り替えの同期または非同期を指定し

ます。

SYNC (デフ ォル ト )、ASYNC

X-Ref Target - Figure 2-13

図 2-13 : BUFGMUX_CTRL と BUFGCTRL の関係

IGNORE1

IGNORE0

CE1

S

CE0

S1

S0

I1

I0

O

BUFGMUX_CTRL

ug472_c1_13_061310

VDD

VDD

OI1

I0

S

GND

GND

Page 46: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

46 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

BUFGMUX_CTRL の入力切 り 替え条件は BUFGCTRL の S ピン と同様です。 図 2-14 に、

BUFGMUX_CTRL のタイ ミ ング図を示します。

BUFGMUX_CTRL には、 ほかに次のよ うな機能があ り ます。

• コンフ ィギュレーシ ョ ン後の I0 および I1 入力をあらかじめ選択できます。

• コンフ ィギュレーシ ョ ン後の 初の出力は、 High または Low のどちらにでも設定できます。

その他の使用モデル

BUFGCTRL を使用した非同期 MUX

アプリ ケーシ ョ ンによっては、ク ロ ッ ク入力の即座の切り替えや BUFGCTRL のエッジ認識の無視

が必要と な り ます。 た と えば、 ク ロ ッ ク入力の 1 つが ト グルを停止し ている よ う な場合、

BUFGCTRL ではクロ ッ ク エッジが認識されないため、ク ロ ッ ク出力の切り替え条件は成立しませ

ん。 このよ うな場合には非同期 MUX を使用します。 図 2-15 に、 BUFGCTRL を使用した非同期

MUX のサンプル デザインを示します。 図 2-16 は、 非同期 MUX のタイ ミ ング図です。

X-Ref Target - Figure 2-14

図 2-14 : BUFGMUX_CTRL のタイ ミング図

S

I0

I1

O

ug472_c1_14_061310

TBCCKO_O TBCCKO_O

X-Ref Target - Figure 2-15

図 2-15 : BUFGCTRL を使用した非同期マルチプレクサー

IGNORE1

IGNORE0

CE1S

CE0

S1

S0

I1

I0

S

I1

I0

O

Asynchronous MUXDesign Example

ug472_c1_15_061310

VDDVDD

VDD

VDD

O

Page 47: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 47UG472 (v1.11) 2014 年 11 月 19 日

グローバル クロック リソース

図 2-16 の説明

• 現在のクロ ッ クは I0 です。

• S が High に変化します。

• 直後にクロ ッ ク出力が I1 に切り替わり ます。

• IGNORE 信号が High にアサート される と、グ リ ッチを発生させないよ うにする機能は無効に

なり ます。

クロック イネーブル付き BUFGMUX_CTRL

BUFGCTRL コ ンフ ィ ギ ュ レーシ ョ ンから派生し た ク ロ ッ ク イネーブル付きの

BUFGMUX_CTRL を使用する と、 入力クロ ッ クを選択できます。 ク ロ ッ ク イネーブルを使用し、

出力を無効にするこ と も可能です。 図 2-17 に、 BUFGCTRL を使用したサンプル デザインを示し

ます。 図 2-18 は、 そのタイ ミ ング図です。

X-Ref Target - Figure 2-16

図 2-16 : 非同期マルチプレクサーのタイ ミング図

X-Ref Target - Figure 2-17

図 2-17 : CE 付き BUFGMUX_CTRL と BUFGCTRL

UG472_c1_16_033011

TBCCKO_O TBCCKO_O

I0

I1

S

O

at I0 Begin I1

IGNORE1

IGNORE0

CE1

S

CE

CECE0

S1

S0

I1

I0

O

BUFGMUX_CTRL+CEDesign Example

ug472_c1_17_061310

OI1

I0

S

GND

GND

Page 48: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

48 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

図 2-18 の説明

• タイム イベン ト 1 で出力 O に入力 I0 が選択されます。

• タイム イベン ト 2 の前に S が High にアサート されます。

• タイム イベン ト 2 よ り TBCCKO_O 後に、 出力 O が入力 I1 に切り替わり ます。 これは、 I0 がHigh から Low になり、 I1 が High から Low になる と発生します。

• タイム イベン ト 3 よ り TBCCCK_CE 前に、CE が Low にアサート されます。グ リ ッチ発生を回

避するため、 ク ロ ッ ク出力は Low に切り替わり、 I1 が High から Low に切り替わった後も

Low に保たれます。

リージ ョナル クロック リソース

リージ ョナル ク ロ ッ ク ネッ ト ワークは、 グローバル ク ロ ッ ク ネッ ト ワークから独立したクロ ッ ク

ネッ ト ワークです。 グローバル ク ロ ッ ク とは異なり、 リージ ョナル ク ロ ッ ク信号 (BUFR) がアク

セスできる範囲は 1 つのクロ ッ ク領域内に限られ、 1 つの I/O ク ロ ッ ク信号が 1 つのバンクを駆動

します。 これらのネッ ト ワークはソース同期インターフェイス デザインで有用です。7 シ リーズ デバイスの I/O バンクのサイズは、 ク ロ ッ ク領域のサイズと同一です。

リージ ョナル ク ロ ッ クを理解するには、 まずリージ ョナル ク ロ ッ ク信号パスについての理解が必

要です。 7 シ リーズ デバイスの リージ ョナル ク ロ ッ ク リ ソースおよびネッ ト ワークは、 次のパス

と コンポーネン トで構成されています。

• 「ク ロ ッ ク兼用 I/O」

• 「I/O ク ロ ッ ク バッファー —BUFIO」

• 「 リージ ョナル ク ロ ッ ク バッファー — BUFR」

• 「 リージ ョナル ク ロ ッ ク ネッ ト 」

• 「マルチ リージ ョナル ク ロ ッ ク バッファー — BUFMR/BUFMRCE」

• 「水平クロ ッ ク バッファー — BUFH、 BUFHCE」

• 「高性能クロ ッ ク」

X-Ref Target - Figure 2-18

図 2-18 : CE 付き BUFGMUX_CTRL のタイ ミング図

ug472_c1_18_033011

TBCCKO_O

TBCCCK_CE

TBCCKO_O

at I0 Clock Off

I0

I1

S

CE

O

Begin I1

1 2 3

Page 49: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 49UG472 (v1.11) 2014 年 11 月 19 日

リージ ョナル クロック リソース

クロック兼用 I/Oいずれのク ロ ッ ク領域にも、各 I/O カラムの I/O バンクごとに 4 つの CCIO ピン ペアがあ り ます。

CCIO ペアは限定された場所にある特殊な I/O ペアであ り、 近くの リージ ョナル ク ロ ッ ク リ ソー

スやその他のク ロ ッ ク リ ソースへ特別にハード ウェア接続可能です。 また、 通常の I/O ペアと し

て使用できます。各バンクには、 CCIO 専用のサイ トが 4 つあ り ます。 CCIO ピンをク ロ ッ ク入力

と して使用する場合は、 BUFIO、 BUFMR、 および BUFR を駆動できます。 各 I/O カラムはリー

ジ ョナル ク ロ ッ ク バッファー (BUFR) をサポート します。各デバイスには 2 つの I/O カラムがあ

り ます。

シングルエンド ク ロ ッ ク ピンと して使用する場合は、 「グローバル ク ロ ッ クバッファー」 で説明し

ているよ うに、 ピン ペアの P 側を使用する必要があ り ます。 これは、 P 側のピンしか直接接続され

ていないためです。

CCIO はその領域にある任意の BUFR を駆動できますが、ある CCIO が駆動できる BUFIO は 1 つに特定されます。 また、 MRCC は 1:1 の関係で BUFMR を駆動できます。 つま り、 CCIO は特定

の BUFIO または BUFMR に対して単一接続のみ可能です。

I/O クロック バッファー —BUFIOI/O ク ロ ッ ク バッファー (BUFIO) は、7 シ リーズ デバイスで使用可能なクロ ッ ク バッファーです。

BUFIO は、 I/O バンク内の専用クロ ッ ク ネッ ト を駆動し、 グローバル ク ロ ッ ク リ ソースからは独

立しています。 このため、 BUFIO はソース同期データ キャプチャ (ク ロ ッ クを転送/受信して分配

する) に 適です。 BUFIO は、 同じバンク内にあるクロ ッ ク兼用 I/O、 MMCM の HPC、 または同

一および隣接領域の BUFMR で駆動されます。1 つのクロ ッ ク領域では、各バンクに 4 つの BUFIOがあ り ます。 各 BUFIO は同じ領域/バンクにある 1 つの I/O ク ロ ッ ク ネッ ト ワークを駆動できま

す。I/O ク ロ ッ ク ネッ ト ワークの範囲は同じバンク /ク ロ ッ ク領域の I/O カラムまでなので、BUFIOでロジッ ク リ ソース (CLB、 ブロ ッ ク RAM、 DSP など) は駆動できません。 複数の領域における

バンク サポートについては、「マルチ リージ ョナル ク ロ ッ ク バッファー — BUFMR/BUFMRCE」を参照して ください。

BUFIO を駆動するクロ ッ クを次に示します。

• 同じクロ ッ ク領域内の SRCC および MRCC

• BUFMR を使用する場合は、 隣接するクロ ッ ク領域の MRCC

• 同じクロ ッ ク領域内の HPC を駆動する場合は、 MMCM ク ロ ッ ク出力 0 ~ 3

Page 50: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

50 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

BUFIO プリ ミテ ィブ

BUFIO はク ロ ッ ク信号を入力して出力するバッファーです。 入力と出力の間には位相遅延があ り

ます。 図 2-19 に BUFIO を示します。 表 2-6 には BUFIO ポートが リ ス ト されています。 BUFIOには配置制約を使用できます。

BUFIO の使用モデル

図 2-20 では、BUFIO が CC I/O を使用して I/O ロジッ クを駆動しています。このインプリ メンテー

シ ョ ンは、 転送クロ ッ クを使用して入力データをキャプチャするソース同期アプリ ケーシ ョ ンに

適です。

X-Ref Target - Figure 2-19

図 2-19 : BUFIO プリ ミテ ィブ

表 2-6 : BUFIO プリ ミテ ィブ BUFIO のポート と定義

ポート名 タイプ 幅 定義

O 出力 1 ク ロ ッ ク出力ポート

I 入力 1 ク ロ ッ ク入力ポート

BUFIO

ug472_c1_19_061310

OI

Page 51: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 51UG472 (v1.11) 2014 年 11 月 19 日

リージ ョナル クロック リソース

X-Ref Target - Figure 2-20

図 2-20 : BUFIO による I/O ロジックの駆動

I/O

I/O

I/O

I/O

I/O

I/O

I/O

BUFIO

BUFIO

BUFR

BUFR

ug472_c1_20_030311

To FPGALogic

I/O

I/O

I/O

I/O

I/O

I/O

I/O

I/O

I/O

I/O

I/O

I/O

I/O

P

N

P

N

P

N

P

N

Not all available BUFIOs and BUFRs are shown.

Page 52: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

52 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

リージ ョナル クロック バッファー — BUFRリージ ョナル ク ロ ッ ク バッファー (BUFR) も、 7 シ リーズ デバイスで使用可能なク ロ ッ ク バッ

ファーです。 BUFR は、 グローバル ク ロ ッ ク ツ リーから独立しており、 ク ロ ッ ク領域内の専用ク

ロ ッ ク ネッ トにクロ ッ ク信号を送信します。各 BUFR は、それぞれの領域内にある 4 つのリージ ョ

ナル ク ロ ッ クを駆動できます。 BUFIO とは異なり、 BUFR は I/O ロジッ クだけでなく、 ロジッ ク

リ ソース (CLB、ブロ ッ ク RAM など) も駆動できます。BUFR は、CCIO ピン、 ローカル インター

コネク ト、MMCM の HPC (CLKOUT0 ~ CLKOUT3)、 または同一および隣接領域の BUFMR で駆動できます。 また、 ク ロ ッ ク入力信号を分周したクロ ッ ク も出力できます。 分周値は 1 ~ 8 の整

数です。 BUFR はク ロ ッ ク乗せ換えやシ リ アルからパラレルへの変換が必要なソース同期アプ リ

ケーシ ョ ンに適しています。

BUFR を駆動するクロ ッ クを次に示します。

• 同じクロ ッ ク領域内の SRCC および MRCC

• BUFMR を使用する場合は、 隣接するクロ ッ ク領域の MRCC

• 同じクロ ッ ク領域内の HPC を駆動する場合は、 MMCM ク ロ ッ ク出力 0 ~ 3

• MMCM ク ロ ッ ク出力 0 ~ 3

• 汎用インターコネク ト

各 I/O カラムはリージ ョナル ク ロ ッ ク バッファーをサポート しています。 各デバイスには 2 つの

I/O カラムがあ り ます。BUFR は、MMCM ク ロ ッ ク入力と BUFG を直接駆動するこ と もできます。

BUFR プリ ミテ ィブ

BUFR (図 2-21、表 2-7 参照) はクロ ッ ク入力と クロ ッ ク出力があるバッファーで、入力クロ ッ ク周

波数を分周できます。 7 シ リーズ FPGA の BUFR は、 MMCM ク ロ ッ ク入力と BUFG を直接駆動

するこ と もできます。

X-Ref Target - Figure 2-21

図 2-21 : BUFR プリ ミテ ィブ

表 2-7 : BUFR プリ ミテ ィブ BUFIO のポート と定義

ポート名 タイプ 幅 定義

O 出力 1 ク ロ ッ ク出力ポート

CE(1)入力 1 非同期出力クロ ッ ク イネーブル ポート。

バイパス モードでは使用不可

CLR 入力 1 分周ロジッ クの非同期ク リ アで、 出力を

Low にします。バイパス モードでは使用

不可

CLRCE

I O

ug472_c1_21_061310

Page 53: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 53UG472 (v1.11) 2014 年 11 月 19 日

リージ ョナル クロック リソース

CE ピンに関する追加記述

CE がアサート /ディアサート される と、出力クロ ッ ク信号はオン/オフになり ます。グローバル セッ

ト / リセッ ト (GSR) 信号が High の場合、BUFR 出力は CE が High に保持されていても リセッ ト状

態を継続します。 ク ロ ッ クが BUFR 入力ポートにつながっている場合、 BUFR 出力は GSR 信号の

ディアサート後に ト グルします。

BUFR の属性とモード

BUFR でのクロ ッ ク分周は、ソフ ト ウェアで BUFR_DIVIDE 属性を設定するこ とで指定できます。

表 2-8 に、 BUFR_DIVIDE 属性で設定可能な値を示します。

BUFR の伝搬遅延は、 BUFR_DIVIDE = 1 と BUFR_DIVIDE = BYPASS で異なり ます。 1 に設定

した場合の遅延は BYPASS よ り もわずかに多く な り ます。 その他の分周値を設定した場合も、

BUFR_DIVIDE = 1 のと き と同じ伝搬遅延とな り ます。 入力ク ロ ッ ク と出力ク ロ ッ クの位相関係

も、 BYPASS 以外のすべての分周値で同じです。

BUFR_DIVIDE 属性を使用した場合の BUFR の入力と出力のタイ ミ ング関係は、図 2-22 を参照し

て ください。 この例では、 BUFR_DIVIDE = 3 に設定しています。 この図の前の時点で、 CLR がアサート されています。

図 2-22 の説明

• ク ロ ッ ク イベン ト 1 の前に CE が High にアサート されます。

I 入力 1 ク ロ ッ ク入力ポート

1. CE は、 信号のアクティブな切り替えを目的とするものではなく、 ISE または Vivado デザイン ツールでの

タイ ミ ング制約の対象ではあ り ません。

表 2-7 : BUFR プリ ミテ ィブ BUFIO のポート と定義 (続き)

ポート名 タイプ 幅 定義

表 2-8 : BUFR_DIVIDE 属性

属性名 説明 設定可能な値

BUFR_DIVIDE 入力クロ ッ クを分周する値を指定 1、 2、 3、 4、 5、 6、 7、 8BYPASS (デフォルト )

注記 :

1. BUFR には配置制約を使用できます。

X-Ref Target - Figure 2-22

図 2-22 : BUFR_DIVIDE の属性値を使用した BUFR のタイ ミング図

ug472_c1_22_033011

TBRCKO_O TBRCKO_OTBRDO_CLRO

I

CE

CLR

O

1 2 3 4

Page 54: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

54 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

• CE がアサート され、 TBRCKO_O 後に出力 O が入力 I を 3 分周した周波数でト グルを開始しま

す。TBRCKO_O およびその他のタイ ミ ング値は、スピード ファ イルに正確に記載されています。

注記 : 奇数で分周した場合のデューティ サイ クルは 50/50 になり ません。Low パルスの方が Iの 1 サイ クル分だけ長くな り ます。

• タイム イベン ト 2 で CLR がアサート されます。 タイム イベン ト 2 の TBRDO_CLRO 後、 O のト グルが停止します。

• タイム イベン ト 3 で CLR がディアサート されます。

• タイム イベン ト 4 の TBRCKO_O 後に、I を 3 で割った周波数で O が再びト グルを開始します。

注記 : 正し く動作させるには、 BUFR へのクロ ッ クが停止している場合、 クロ ッ クが戻ってきた後

にリセッ ト (CLR) を適用する必要があ り ます。

BUFR の使用モデル

BUFR は、 クロ ッ ク乗せ換えやシ リ アルからパラレルへの変換が必要なソース同期アプリ ケーシ ョ

ンに適しています。BUFIO とは異なり、BUFR は IOB 以外の FPGA のロジッ ク リ ソースにクロ ッ

クを供給できます。 図 2-23 に、 BUFR のサンプル デザインを示します。

リージ ョナル クロック ネッ ト

7 シ リーズ デバイスには、 グローバル ク ロ ッ ク ツ リーとグローバル ク ロ ッ ク ネッ トだけでなく、

リージ ョナル ク ロ ッ ク ツ リーと リージ ョナル ク ロ ッ ク ネッ ト も含まれます。リージ ョナル ク ロ ッ

ク ツ リーは、 スキューおよび消費電力が少なくなるよ う設計されています。未使用ブランチの接続

は切断されます。 また、 リージ ョナル ク ロ ッ ク ツ リーは、 ロジッ ク リ ソースすべてが使用される

場合にロードおよびファンアウ ト を制御するよ うにも設計されています。

X-Ref Target - Figure 2-23

図 2-23 : BUFR による各種ロジック リソースの駆動

ug472_c1_23_032111

CLBs

CLBs

CLBs

CLBs

CLBs

CLBs

CLBs

CLBs

BlockRAM

BlockRAM

DSPTile

DSPTile

BUFR

To moreFPGA logic resources

I/O

I/O

I/O

I/O

I/O

P

N

P

N

I/O

I/O

I/O

BUFIO

Clock Capable I/O

Clock Capable I/O

Page 55: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 55UG472 (v1.11) 2014 年 11 月 19 日

リージ ョナル クロック リソース

リージ ョナル ク ロ ッ ク ネッ トは 7 シ リーズ デバイス全体ではなく、 1 つのクロ ッ ク領域内でのみ

伝搬できます。 1 つのクロ ッ ク領域には 4 つの独立した リージ ョナル ク ロ ッ ク ネッ トがあ り ます。

リージ ョナル ク ロ ッ ク ネッ トへアクセスするには、 BUFR をインスタンシエートする必要があ り

ます。 複数領域のサポート については、 「マルチ リージ ョナル ク ロ ッ ク バッファー — BUFMR/BUFMRCE」 を参照してください。

マルチ リージ ョナル クロック バッファー — BUFMR/BUFMRCEBUFMR は、 以前の Virtex アーキテクチャの BUFR および BUFIO の複数領域/バンクのサポート

と同じ役割を果たします。各バンクには 2 つの BUFMR があ り、各バッファーはそれぞれのバンク

内にある特定の MRCC によって駆動可能です。 各 MRCC ピンには、 P 側または N 側を示すピン

名が付けられています (例 :IO_L12P_T1_MRCC_12 または IO_L12N_T1_MRCC_12)。 BUFMR は、 同

じ領域/バンク内および上下領域/バンク内の BUFIO や BUFR を駆動します。 BUFR プリ ミ ティブ

と BUFIO プ リ ミ テ ィブは個別にインスタンシエートする必要があ り ます。 BUFR で分周器 (バイ

パス モード以外) を使用する場合は、 CE ピンをディアサート して BUFMR を無効にし、 BUFR をリセッ ト (CLR をアサート してク リ ア) した後に CE 信号をアサート して ください。この順序によっ

て、すべての BUFR 出力クロ ッ クの位相が揃えられます。BUFR で分周器を使用しない場合は、回

路トポロジに BUFMR のみ必要です。

BUFMR には次が含まれます。

• 同じバンク内の MRCC

• 同じ領域内の GT ク ロ ッ ク

BUFMR プリ ミテ ィブ

MR (図 2-24、 表 2-9、 表 2-10 参照) はクロ ッ ク イネーブル (CE) があるクロ ッ ク入力/ク ロ ッ ク出

力バッファーです。 CE をディアサートする と出力クロ ッ クが停止します。 また、 同じ領域/バンク

および隣接する領域/バンクへ配線するために、 BUFR および BUFIO を駆動する必要があ り ます。

BUFMR は同じ領域内の MRCC または GT ク ロ ッ クで駆動されます。

X-Ref Target - Figure 2-24

図 2-24 : BUFMR および BUFMRCE プリ ミテ ィブ

I O

BUFMR

ug472_c1_24_062210

CE

I O

BUFMRCE

Page 56: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

56 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

BUFIO に BUFMR または BUFMRCE を使用する場合は、 3 つのバンク内にインターフェイス ピンが収まる必要があ り ます。 同様に、 BUFR に BUFMR または BUFMRCE を使用する場合は、 3つの領域内にロジッ クが含まれなければなり ません (BUFR を 3 つ使用する場合)。メモ リ インター

フェイスが BUFR/BUFIO と同じバンクまたは領域に配置されている場合、 BUFMR からそのバン

クまたは領域にある BUFH/BUFIO への接続が制限されるかもしれません。図 2-25 に、BUFMRCEのトポロジを示します。

ク ロ ッ ク出力がグ リ ッチなし となるよ うに、CE_TYPE 属性を常に SYNC に設定しておく必要があ

り ます。 BUFMRCE の ク ロ ッ ク出力が停止し ている場合 (CE のデ ィ アサー ト などによ り )、BUFMRE が再度有効になった後に BUFR を リセッ ト (CLR) する必要があ り ます。BUFMRCE のCE の主な目的は、 同期かつ位相が揃えられたクロ ッ クを BUFMR および BUFIO に提供するこ と

です。 BUFR および BUFIO の駆動に際して BUFMR を使用する場合の詳細は、 付録 A 「複数の

領域におけるクロ ッキング」 を参照して ください。

表 2-9 : BUFMR および BUFMRCE のポート と定義

ポート タイプ 幅 定義

O 出力 1 ク ロ ッ ク出力ポート

CE 入力 1 出力クロ ッ ク イネーブル ポート

I 入力 1 ク ロ ッ ク入力ポート

表 2-10 : BUFMR および BUFMRCE の属性

属性名 説明 設定可能な値

INIT_OUT コンフ ィ ギュ レーシ ョ ン後に BUFGCTRL 出力を特

定の値に初期化します。 立ち上がりエッジと立ち下が

り エッジのいずれを使用するかを設定し ます。 また、

ク ロ ッ ク選択を切り替える と きの出力レベルを設定し

ます。

0 (デフォルト )、 1

CE_TYPE CE を SYNC に設定して、入力から出力までを同期させ

ます。 または ASYNC に設定して非同期にします。

SYNC (デフォル ト )、ASYNC

X-Ref Target - Figure 2-25

図 2-25 : マルチ リージ ョナル バッファーのトポロジ

CLRBUFR

BUFMRCE

BUFIORegion/Bank

Region/Bank MRCC

Region/Bank

ug472_c1_25_030111

CLR CE

CLR

Page 57: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 57UG472 (v1.11) 2014 年 11 月 19 日

リージ ョナル クロック リソース

水平クロック バッファー — BUFH、 BUFHCE水平クロ ッ ク バッファー (BUFH) は、 1 つの領域内で水平グローバル ク ロ ッ ク ツ リー スパイン

を駆動します (図 2-26 参照)。 1 つの領域には 12 の BUFH があ り ます。 どの BUFH にも ク ロ ッ

ク イネーブル (CE) ピンがあ り、 ク ロ ッ クを動作中にオフにできます。 BUFH は次の方法で駆動

できます。

• 同じ領域内の MMCM/PLL 出力

• BUFG 出力

• 同じクロ ッ ク領域または左右に隣接したクロ ッ ク領域の GT 出力クロ ッ ク

• ローカル インターコネク ト

• 左右に隣接した同じ領域/バンクにある、左側または右側のいずれかの I/O バンクからのクロ ッ

ク兼用入力

X-Ref Target - Figure 2-26

図 2-26 : BUFH および BUFHCE プリ ミテ ィブ

表 2-11 : BUFH および BUFHCE のポート と定義

ポート名 タイプ 幅 定義

O 出力 1 ク ロ ッ ク出力ポート

CE 入力 1 出力クロ ッ ク イネーブル ポート

I 入力 1 ク ロ ッ ク入力ポート

表 2-12 : BUFH および BUFHCE の属性

属性名 説明 設定可能な値

INIT_OUT コンフ ィギュレーシ ョ ン後に BUFH 出力を特定の値に

初期化します。立ち上がりエッジと立ち下がりエッジの

いずれを使用するかを設定します。また、 ク ロ ッ ク選択

を切り替える と きの出力レベルを設定します。

0 (デフォルト )、 1

CE_TYPE CE を SYNC に設定して、 入力から出力までを同期さ

せます。 または ASYNC に設定して非同期にします。

SYNC (デフ ォル ト )、ASYNC

I O

ug472_c1_24_061310

CE

I O

BUFH

BUFHCE

Page 58: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

58 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

BUFH を使用するには、 図 2-27 に示すよ うに互いに横に隣接する左右 2 つの領域にロジッ クが収

まっていなければなり ません。ク ロ ッ ク イネーブル ピンは電力の供給を完全に遮断できるため、消

費電力の削減に役立ちます。 このため、 BUFG を使用して 2 つの隣接する領域を駆動するよ り、

BUFH を使用した方がジッターと消費電力を抑えるこ とができます。

GTZ ループバック クロック バッファー — BUFG_LB (HT デバイスのみ)BUFG_LB (図 2-28 参照) は、ク ロ ッ ク入力と ク ロ ッ ク出力を各 1 つ持つ入力クロ ッ ク バッファー

です。 このループバッ ク バッファーが必要になるのは、 TXOUTCLK または RXOUTCLK (ある

いはその両方) がファブ リ ッ ク内で MMCM を使用せずにユーザー ロジッ クを直接駆動する場合

です。 このク ロ ッ ク バッファーは、対応する TXUSRCLK および RXUSRCLK も駆動します。通

常、 これらは、 GTZ ギガビッ ト ト ランシーバーの 7 シ リーズ ト ランシーバー ウ ィザードに含ま

れています。 BUFG_LB は、 XC7VH580T と XC7VH870T では GTZ SLR に隣接する ク ロ ッ ク

バッ クボーンの上部に、 XC7VH870T では下部にあ り ます。 XC7VH870T の場合、 下部に 16 個(X3Y0 ~ X3Y15)、上部に 16 個 (X1Y16 ~ X1Y31) の BUFG_LB があ り ます。XC7VH580T の場合、 上部に 16 個 (X1Y0 ~ X1Y15) の BUFG_LB があ り ます。 これらは、 表 2-13 に示すよ う

に、 GTZ オク タル (8 レーン) に対して固定の相対的位置にあ り、 GTZ オク タル ク ロ ッ クに接続

されています。

注記 : これらのク ロ ッ ク バッファーすべてが使用されるわけではあ り ません。

X-Ref Target - Figure 2-27

図 2-27 : 水平クロック バッファーの例

ug472_c1_27_020812

Clo

ck B

ackb

one

BUFH BUFH

ClockRegion

12 12

I/O B

ank

I/O B

ank

and

Qua

d G

T T

rans

ceiv

ers

X-Ref Target - Figure 2-28

図 2-28 : BUFG_LB プリ ミテ ィブ

Page 59: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 59UG472 (v1.11) 2014 年 11 月 19 日

高性能クロック

詳細は、 『7 シ リーズ FPGA GTZ ト ランシーバー Advance 仕様ユーザー ガイ ド』 (UG478) を参照

して ください。

高性能クロック

7 シ リーズ FPGA には、各 I/O バンクに 4 つの HPC があ り ます。 これらのクロ ッ クは、BUFIO やI/O 内の BUFR へ直接かつ短い差動接続に使用されます。 したがって、 非常にジッ ターが少な く、

デューティ サイ クルの歪みも 小限に抑えられています。 HPC は I/O カラム内の BUFIO/BUFRに接続し、 I/O ロジッ クを駆動します。CMT カラムは I/O カラムの横に配置されているため、HPCは CMT に隣接する I/O バンクを直接駆動します。

HPC は、 MMCM の CLKOUT[3:0] によって駆動されます (このクロ ッ クのみ)。

クロック ゲーテ ィングによる電力節約

7 シ リーズ FPGA のク ロ ッ ク アーキテクチャでは、 デザインへの電力供給を部分的に停止するク

ロ ッ ク ゲーティングを簡単な方法で実装できます。ほとんどのデザインには未使用の BUFGCE または BUFHCE リ ソースが存在します。1 つのクロ ッ クが BUFGCE または BUFHCE 入力を駆動可

能であ り、 BUFGCE 出力は複数のロジッ ク領域を、 BUFHCE は単一領域を駆動できます。 たとえ

ば、常時動作させる必要のあるロジッ クをすべて少数のクロ ッ ク領域に制約しておけば、BUFGCE出力によってこれらの領域を駆動できます。 あるいは、 BUFHCE が単一領域に収まっているイン

ターフェイスを駆動する場合、 インターフェイスの動作が不要なと きはこれをシャ ッ ト ダウン可能

です。 このよ うに BUFGCE や BUFHCE のイネーブル信号を ト グルするこ とで、 ロジッ ク領域の

ダイナミ ッ ク消費電力を完全に停止できるため、 消費電力を簡単に削減できます。

表 2-13 : BUFG_LB の位置

TX/RX OUTCLK ~ TX/RX USERCLK

XC7VH580T での BUFG_LB の位置

XC7VH870T での BUFG_LB の位置

上部 GTZ オクタル

TXOUTCLK0 BUFG_LB_X1Y0 BUFG_LB_X1Y16

TXOUTCLK1 BUFG_LB_X1Y4 BUFG_LB_X1Y20

RXOUTCLK0 BUFG_LB_X1Y12 BUFG_LB_X1Y28

RXOUTCLK1 BUFG_LB_X1Y2 BUFG_LB_X1Y18

RXOUTCLK2 BUFG_LB_X1Y6 BUFG_LB_X1Y22

RXOUTCLK3 BUFG_LB_X1Y10 BUFG_LB_X1Y26

下部 GTZ オクタル

TXOUTCLK0 N/A BUFG_LB_X3Y15

TXOUTCLK1 N/A BUFG_LB_X3Y11

RXOUTCLK0 N/A BUFG_LB_X3Y3

RXOUTCLK1 N/A BUFG_LB_X3Y13

RXOUTCLK2 N/A BUFG_LB_X3Y9

RXOUTCLK3 N/A BUFG_LB_X3Y5

Page 60: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

60 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

消費電力の削減量は、 XPE (Xilinx Power Estimator) ツールを使用して概算されます。 電力の差分

は対応するクロ ッ ク ネッ トの周波数を 0MHz に設定するか、 ツールに適切なスティ ミ ュ ラスを入

力して算出します。

スタ ック ド シリコン インターコネク ト クロッキング

スタ ッ ク ド シ リ コン インターコネク ト (SSI) テク ノ ロジを採用した Virtex-7 FPGA のクロ ッキン

グ構造の大部分は、ほかのモノ リ シッ ク 7 シ リーズ デバイスと同じです (図 2-29 および図 2-30 参照)。 32 個のグローバル ク ロ ッ ク バッファー (BUFG) および 32 本のグローバル ク ロ ッ ク ラ イン

(ク ロ ッ ク バッ クボーン) が各 SLR (Super Logic Region) にあ り、 高速で、 ト ラ イステート可能な

専用の垂直配線を介して隣接する SLR ク ロ ッ ク バッ クボーンへ接続します。 インターポーザー クロ ッ ク バッ クボーンは、各 SLR のクロ ッ ク バッ クボーンの接続に使用されるクロ ッ ク バッ クボー

ンを延長したものです。32 本のグローバル ク ロ ッ ク ラインはインターポーザーを経由できるため、

デバイスの高さ分広がり を持ちます。結果、各 SLR の BUFG は FPGA のどのコンポーネン トへも

クロ ッ クを供給できます。 インターポーザー ク ロ ッ ク バッ クボーンは、 SLR 全体に広がる唯一の

専用のクロ ッ ク リ ソースです。

SLR の BUFG は、 ほかの全 SLR にある専用のグローバル ク ロ ッ ク ト ラ ッ クを駆動できます。 す

べての SSI SLR は、 利用可能なグローバル ク ロ ッ ク (BUFG) を 32 個備え、 その他のクロ ッ ク リソースについてはモノ リ シッ ク デバイス と同じ数を備えています。 SSI デバイス内で使用できる

BUFG の総数には、下のクロ ッ ク配置のセクシ ョ ンで説明する制限があ り ます。BUFG を駆動でき

るのは、 それらが配置されている SLR 内のソースのみです。 各 SLR は、 クロ ッ ク領域 3 つ分の高

さです。1 つの SLR から隣接した SLR へ渡るクロ ッ クには、 1 つの SLR 内にとどまるクロ ッ ク よ

り もスキューが生じる可能性があ り ます。 関連する BUFG のクロ ッキング構造を同じ SLR に置い

てクロ ッ ク ネッ ト ワークを配置する場合、 スキューを 小にするこ とに注意を払う必要があ り、外

部クロ ッ クの複製が必要となるこ とがあ り ます。

Page 61: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 61UG472 (v1.11) 2014 年 11 月 19 日

スタ ック ド シリコン インターコネク ト クロッキング

X-Ref Target - Figure 2-29

図 2-29 : Virtex-7 XT デバイスの SSI テク ノロジの例

Super Logic Region

To SLR GlobalClock Network

Bidirectional 3-StateableInterposer Connection

SLR Clock Backbone32

32 Interposer ClockBackbone Connections

To SLR GlobalClock Network

HROW

12 BUFHCEs

16 BUFGs

16 BUFGs

From Local SLRClock Connection

Super Logic Region

To SLR GlobalClock Network

Bidirectional 3 StateableInterposer Connection

SLR Clock Backbone32

32 Interposer ClockBackbone Connections

To SLR GlobalClock Network

HROW

12 BUFHCEs

16 BUFGs

16 BUFGs

From Local SLRClock Connection

Super Logic Region

UG472_c1_28_020712

Interposer

To SLR GlobalClock Network

Bidirectional 3-StateableInterposer Connection

SLR Clock Backbone32

To SLR GlobalClock Network

HROW

12 BUFHCEs

16 BUFGs

16 BUFGs

From Local SLRClock Connection

Page 62: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

62 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

ク ロ ッ ク リ ソースの中には、SLR 間の境界をまたぐこ とができないものがあ り ます。CCIO は、別

の SLR にある CMT または BUFG を駆動できません。 同様に、 BUFMR は隣接した SLR にある

BUFR や BUFIO を駆動できません。 BUFG および CMT は、 インターポーザーを介してカスケー

ド接続できません。 SSI デバイスおよびモノ リ シッ ク デバイス間でデバイスを移行させる場合、 こ

れらの制限を理解しておく必要があ り ます。ク ロ ッ ク ピン配置に関する特定のガイ ド ラインは、『高

集積度 FPGA 設計手法ガイ ド』 (UG872) を参照して ください。

クロッキング構造の配置

可能な限り、 I/O ク ロ ッキング (I/O インターフェイス)、MMCM、PLL、およびグローバル ク ロ ッ

クなどの関連する ク ロ ッキング構造を同じ SLR に配置して ください。 これらの構造を SLR に配

置する際は、 モノ リ シッ ク デバイス と同様の規則に従います。 ク ロ ッキング ロジッ クが SLR レベルに制約されている場合、 ソフ ト ウェア ツールが相反する規則を自動的に回避します。 しかし、

1 SLR 内にク ロ ッキング構造を配置するこ とで、 スキューの影響を 小限に抑えるこ とができま

す。1 つのグローバル ク ロ ッ クが 2 つの別の SLR にある リ ソースを駆動する場合、それら 2 つの

駆動先 SLR の中間となる SLR に駆動 BUFG を配置して ください。 これによ り、 上下 SLR が駆

動されます。

X-Ref Target - Figure 2-30

図 2-30 : Virtex-7 HT デバイスの SSI テク ノロジの例 (上側の図)

Super Logic Region

To SLR GlobalClock Network

Bidirectional 3-StateableInterposer Connection

SLR Clock Backbone32

32 Interposer ClockBackbone Connections

To SLR GlobalClock Network

HROW

12 BUFHCEs

16 BUFGs

16 BUFGs

From Local SLRClock Connection

32 Interposer ClockBackbone Connections

More SLRsand Bottom GTZ Quads

GTZ Quad GTZ Quad

UG472_c2_19_061212

Interposer

Page 63: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 63UG472 (v1.11) 2014 年 11 月 19 日

スタ ック ド シリコン インターコネク ト クロッキング

クロック バッファーの配置

1 つのグローバル ク ロ ッ ク バッファーは、SLR にあるクロ ッ ク ポイン ト をどれでも駆動できます。

グローバル ク ロ ッ ク バッファーは、 32 個の利用可能なインターポーザー ク ロ ッ ク バッ クボーン

ト ラ ッ クを競合します。ある SLR (1 つ) の BUFG によって駆動される ト ラ ッ クは、同じ SLR また

はその他の SLR にある別の BUFG で駆動できません。各 SLR ク ロ ッ ク ト ラ ッ クは、 SLR 境界で

分割可能です。そのため、 1 つの SLR の BUFG は、 クロ ッ クが SLR に対してローカルで隣接する

SLR に接続する必要がない限り、 隣接する SLR の BUFG と同じ ト ラ ッ クを使用できます。

た と えば、 大規模な SSI デバイ ス (XC7V2000T) では、 各 SLR に 32 個の BUFG があ り ます。

BUFG とそれらに関連する ク ロ ッ ク ネッ ト は、 下の SLR から上の SLR までで 4 つのグループ

(0–31、32–63、64–95、96–127) と見なすこ とができます。32 の倍数をと る (32 の指数の差) BUFGおよびク ロ ッ ク ネッ ト が同じ インターポーザー バッ クボーン リ ソースを競い合います。 この

XC7V2000T の例では、 SLR ク ロ ッ ク ネッ ト 0、 32、 64、 および 96 とな り、 1、 33、 65、 および

97 とな り、 以降同様に続きます。 4 つの SLR の場合、 BUFG の X0Y0、 X0Y32、 X0Y64、 および

X0Y96 (図 2-31 ではすべて BUFG0) は、インターポーザー バッ クボーンの同じ ト ラ ッ ク 0 へ接続

します。 したがって、 これらのバッ フ ァーの う ち 1 つしかデザインで使用できません。 同様に、

BUFG X0Y1、 X0Y33、 X0Y65、 および X0Y97 (すべて BUFG1) がインターポーザー バッ クボー

ン ト ラ ッ ク 1 を競合します。

Page 64: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

64 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 2 章 : クロック配線のリソース

X-Ref Target - Figure 2-31

図 2-31 : インターポーザー バックボーン全体における BUFG の接続

Interposer

Interposer Clock Backbone tracks 31

BUFG0 (X0Y0)

BUFG1 (X0Y1)

BUFG2 (X0Y2)

BUFG31 (X0Y31)

SLR0

0 1 3 31 2

0 1 3 2

BUFG0 (X0Y32)

BUFG1 (X0Y33)

BUFG2 (X0Y34)

BUFG31 (X0Y63)

SLR1

31 0 1 3 2

31 0 1 3 2

SLR Clock Backbone

BUFG0 (X0Y64)

BUFG1 (X0Y65)

BUFG2 (X0Y66)

SLR2

BUFG0 (X0Y96)

BUFG1 (X0Y97)

BUFG2 (X0Y98)

SLR3

BUFG31 (X0Y95)

BUFG31 (X0Y127)

UG472_c2_18_011712

63 32 33 35 34

95 64 65 67 66

12

7

96 97 99 98

Interposer Clock Backbone Tracks

Interposer Clock Backbone Tracks

Interposer

Page 65: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 65UG472 (v1.11) 2014 年 11 月 19 日

第 3 章

クロック マネージメン ト タイル

はじめに

7 シ リーズ FPGA のクロ ッ ク マネージメン ト タイルには MMCM ( ミ ッ クス ド モード ク ロ ッ ク マネージャー ) と PLL (位相ロッ ク ループ) があ り ます。 PLL には、 MMCM 機能のサブセッ トが含

まれています。7 シ リーズ FPGA CMT の中心となっているのは Virtex-5 や Virtex-6 と同様のアー

キテクチャですが、 機能と性能は大幅に強化されています。 CMT のク ロ ッ ク機能は CMT バッ ク

ボーンを使用してチェーン接続できますが、 配置、 距離、 接続リ ソースにはいくつかの制約があ り

ます。 付録 B 「ク ロ ッ ク領域のクロ ッ ク リ ソースおよび接続」 を参照してください。

図 3-1 の CMT ブロ ッ ク図に、 各種ク ロ ッ ク入力ソース と MMCM/PLL の概略を示します。 7 シリーズ FPGA ではクロ ッ ク入力の接続によって、 複数のリ ソースから MMCM/PLL に基準クロ ッ

クを供給できます。 出力カウンター (分周器) は 8 つあり、 その一部は 180° 位相シフ ト した反転ク

ロ ッ ク出力を駆動可能です。また、DCM と互換性があるため、9 つの独立した出力を選択して DCMの出力を MMCM に直接マップできるよ うになっています。 7 シ リーズ FPGA の MMCM には、

ファ イン (高精度な) 位相シフ ト機能がいずれの方向にも無制限で追加されており、 これはダイナ

ミ ッ ク (可変) 位相シフ ト モードで使用できます。 ファ イン位相シフ トの分解能は、 VCO の周波数

によって異なり ます。 CLKFBOUT および CLKOUT0 には、 1/8 (0.125) 単位でのインク リ メ ン ト

が可能な分数分周機能があ り、合成できるクロ ッ ク周波数の幅が広がっています。7 シ リーズ FPGAでは、 MMCM にスペク ト ラム拡散機能が追加されています。 MMCM のスペク ト ラム拡散機能が

使用されない場合、 外部からの入力クロ ッ クのスペク ト ラム拡散はフ ィルターされないため、 出力

クロ ッ クに渡されません。

Page 66: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

66 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

MMCM および PLL7 シ リーズ デバイスには 大 24 の CMT タイルがあ り ます。 MMCM と PLL は幅広い周波数に対

応する周波数合成回路や外部または内部クロ ッ ク用のジッター フ ィルターと して機能し、ク ロ ッ ク

のスキュー調整にも活用できます。

MMCM 機能のサブセッ トである 7 シ リーズ FPGA の PLL は、 MMCM をベースと しており、 必

ずしも以前の PLL デザインに基づく ものではあ り ません。MMCM でサポート されている追加機能

は次のとおりです。

• CLKOUT[0:3] を使用する BUFR または BUFIO への直接 HPC 接続

• 反転クロ ッ ク出力 (CLKOUT[0:3]B)

• CLKOUT6

• CLKOUT4_CASCADE

• CLKOUT0_DIVIDE_F で分数分周

• CLKFBOUT_MULT_F で分数乗算

• ファ イン位相シフ ト

• ダイナミ ッ ク位相シフ ト

入力マルチプレクサーは、 IBUFG、 BUFG、 BUFR、 BUFH、 GT (CLKIN のみ)、 インターコネク

ト (推奨しない) の 1 つから基準クロ ッ クおよびフ ィードバッ ク ク ロ ッ クを選択します。 各クロ ッ

ク入力にはプログラマブルなカウンター分周器 (D) があ り ます。 位相周波数検出器 (PFD) は入力 (基準) ク ロ ッ ク とフ ィードバッ ク ク ロ ッ クの立ち上がりエッジの位相と周波数を比較します。 小

限の High/Low のパルスが維持されていれば、 デューティ サイ クルはそれほど重要ではあ り ませ

X-Ref Target - Figure 3-1

図 3-1 : 7 シリーズ FPGA の CMT のブロック図

GTBUFG

IBUFG (CC)BUFR

BUFHLocal Routing

(not recommended) PLL

MMCM BUFGBUFH

BUFGBUFH

ug472_c2_01_032511

CLKIN1CLKIN2

CLKIN1CLKIN2

CLKFB

CLKFB

Page 67: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 67UG472 (v1.11) 2014 年 11 月 19 日

はじめに

ん。 PFD を使用して、 2 つのクロ ッ ク間の位相と周波数に比例した信号が生成されます。 この信号

でチャージ ポンプ (CP) とループ フ ィルター (LF) を駆動し、 VCO に対する基準電圧を生成しま

す。 また、 PFD は、 VCO 周波数の増加/減少を決定するアップ信号またはダウン信号を CP および

LF に送り ます。 VCO の動作周波数が高すぎる場合は PFD がダウン信号を有効にし、 これによっ

て制御電圧が減圧されて、 VCO の動作周波数が低くな り ます。 VCO の動作周波数が低すぎる場合

はアップ信号によって電圧が増圧されます。 VCO からは 8 つの出力位相と、 ファ イン位相シフ ト

用の可変位相が 1 つ生成されます。 どの出力位相も、 出力カウンターに対する基準クロ ッ ク と して

選択できます (図 3-2 および図 3-3 参照)。カウンターは、カスタム デザインに応じてそれぞれ独立

してプログラムできます。 これ以外に、特別なカウンター M も用意されています。 このカウンター

は MMCM と PLL のフ ィードバッ ク ク ロ ッ クを制御し、 幅広い周波数合成を可能にします。

整数分周出力カウンターに加え、 MMCM には CLKOUT0 と CLKFBOUT の分数カウンターがあ

り ます。

X-Ref Target - Figure 3-2

図 3-2 : MMCM の詳細なブロック図

D

ClockSwitchCircuit

PFD

Lock DetectLock

Lock Monitor

CLKIN1

GeneralRouting

CLKIN2

CLKFB

CLKFBOUT

CLKOUT6

CLKOUT5

CLKOUT4

CLKOUT3BCLKOUT3

CLKOUT2BCLKOUT2

CLKOUT1BCLKOUT1

CLKOUT0BCLKOUT0

CLKFBOUTB

CP LF VCO

8-phase taps + 1 variable phase tap

9 O0Fractional Divide

M(Fractional Divide)

O1

O2

O3

O4

O5

O6

ug472_c2_02_020712

Page 68: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

68 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

X-Ref Target - Figure 3-3

図 3-3 : PLL の詳細なブロック図

D

ClockSwitchCircuit

PFD

Lock DetectLock

Lock Monitor

CLKIN1

GeneralRouting

CLKIN2

CLKFB

CLKFBOUT

CLKOUT5

CLKOUT4

CLKOUT3

CLKOUT2

CLKOUT1

CLKOUT0CP LF VCO

8-phase taps

O0

M

O1

O2

O3

O4

O5

ug472_c2_03_030211

8

Page 69: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 69UG472 (v1.11) 2014 年 11 月 19 日

一般的な使用法について

一般的な使用法について

MMCM および PLL プリ ミテ ィブ

図 3-4 に、 7 シ リ ーズ FPGA の 2 つの MMCM プ リ ミ テ ィ ブ、 MMCME2_BASE および

MMCME2_ADV を示します。

X-Ref Target - Figure 3-4

図 3-4 : MMCM プリ ミテ ィブ

CLKIN1

CLKFBIN

RST

CLKOUT0

CLKOUT0B

CLKOUT1

CLKOUT1B

CLKOUT2

CLKOUT2B

CLKOUT3

CLKOUT3B

CLKOUT4

CLKOUT5

CLKOUT6

CLKFBOUT

CLKFBOUTB

LOCKED

MMCME2_BASE

PWRDWN

ug472_c2_04_062210

CLKIN1

CLKIN2

CLKFBIN

CLKINSEL

PWRDWN

DADDR[6:0]

DI[15:0]

DWE

DEN

DCLK

PSINCDEC

PSEN

PSCLK

RST

CLKOUT0

CLKOUT0B

CLKOUT1

CLKOUT1B

CLKOUT2

CLKOUT2B

CLKOUT3

CLKOUT3B

CLKOUT4

CLKOUT5

CLKOUT6

CLKFBOUT

CLKFBOUTB

LOCKED

DO[15:0]

DRDY

PSDONE

CLKINSTOPPED

CLKFBSTOPPED

MMCME2_ADV

Page 70: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

70 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

図 3-5 に、 7 シ リーズ FPGA の 2 つの PLL プリ ミ ティブ、 PLLE2_BASE および PLLE2_ADV を示します。

MMCME2_BASE および PLLE2_BASE プリ ミテ ィブ

MMCME2_BASE プリ ミ ティブでは、 スタンドアロンの MMCM で も高頻度に使用される機能

を利用できます。 ク ロ ッ ク スキュー調整、 周波数合成、 コース位相シフ ト、 およびデューティ サイクル プログラ ミ ングは、 MMCME2_BASE で使用できる機能です。 表 3-1 に、 ポートの一覧を

示します。

X-Ref Target - Figure 3-5

図 3-5 : PLL プリ ミテ ィブ

CLKIN1

CLKFBIN

RST

CLKOUT0

CLKOUT1

CLKOUT2

CLKOUT3

CLKOUT4

CLKOUT5

CLKFBOUT

LOCKED

PLLE2_BASE

PWRDWN

UG472_c2_05_112310

CLKIN1

CLKIN2

CLKFBIN

CLKINSEL

DADDR[4:0]

DI[15:0]

DWE

DEN

DCLK

PWRDWN

RST

CLKOUT0

CLKOUT1

CLKOUT2

CLKOUT3

CLKOUT4

CLKOUT5

CLKFBOUT

LOCKED

DO[15:0]

DRDY

PLLE2_ADV

表 3-1 : MMCME2_BASE のポート

説明 ポート

ク ロ ッ ク入力 CLKIN1、 CLKFBIN

制御入力 RST

ク ロ ッ ク出力 CLKOUT0 ~ CLKOUT6、 CLKOUT0B ~ CLKOUT3B、

CLKFBOUT、 CLKFBOUTB

ステータスおよびデータ出力 LOCKED

電圧制御 PWRDWN

Page 71: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 71UG472 (v1.11) 2014 年 11 月 19 日

一般的な使用法について

PLLE2_BASE プリ ミ ティブでは、スタンドアロンの PLL で も高頻度に使用される機能を利用で

きます。 ク ロ ッ ク スキュー調整、 周波数合成、 コース位相シフ ト、 およびデューティ サイ クル プログラ ミ ングは、 PLLE2_BASE で使用できる機能です。 表 3-2 に、 ポートの一覧を示します。

MMCME2_ADV および PLLE2_ADV プリ ミテ ィブ

MMCME2_ADV プリ ミ ティブには、MMCME2_BASE の全機能に加え、クロ ッ ク切り替え用ポー

ト、 「ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート 」 (DRP) へのアクセス、 ダイナミ ッ ク ファ イ

ン位相シフ ト機能を提供するポート を備えています。 表 3-3 に、 ポートの一覧を示します。

PLLE2_ADV プリ ミ ティブには、PLLE2_BASE の全機能に加え、 クロ ッ ク切り替え用ポート、「ダ

イナ ミ ッ ク リ コンフ ィギュレーシ ョ ン ポート 」 へのアクセスを提供するポート を備えています。

表 3-4 に、 ポートの一覧を示します。

表 3-2 : PLLE2_BASE のポート

説明 ポート

ク ロ ッ ク入力 CLKIN1、 CLKFBIN

制御入力 RST

ク ロ ッ ク出力 CLKOUT0 ~ CLKOUT5、 CLKFBOUT

ステータスおよびデータ出力 LOCKED

表 3-3 : MMCME2_ADV のポート

説明 ポート

ク ロ ッ ク入力 CLKIN1、 CLKIN2、 CLKFBIN、 DCLK、 PSCLK

制御およびデータ入力 RST、 CLKINSEL、 DWE、 DEN、 DADDR、 DI、 PSINCDEC、

PSEN

ク ロ ッ ク出力 CLKOUT0 ~ CLKOUT6、 CLKOUT0B ~ CLKOUT3B、

CLKFBOUT、 CLKFBOUTB

ステータスおよびデータ出力 LOCKED、 DO、 DRDY、 PSDONE、 CLKINSTOPPED、

CLKFBSTOPPED

電圧制御 PWRDWN

表 3-4 : PLLE2_ADV のポート

説明 ポート

ク ロ ッ ク入力 CLKIN1、 CLKIN2、 CLKFBIN、 DCLK

制御およびデータ入力 RST、 CLKINSEL、 DWE、 DEN、 DADDR、 DI

ク ロ ッ ク出力 CLKOUT0 ~ CLKOUT5、 CLKFBOUT

ステータスおよびデータ出力 LOCKED、 DO、 DRDY

電圧制御 PWRDWN

Page 72: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

72 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

7 シ リーズ FPGA の MMCM と PLL はミ ッ クス ド シグナル ブロ ッ クであ り、ク ロ ッ ク ネッ ト ワー

クのスキュー調整、 周波数合成、 ジッ ター削減機能をサポートするよ う設計されています。 これら

3 つの動作モードの詳細は後述します。VCO (Voltage Controlled Oscillator) の動作周波数は、次の

関係によって決定されます。

式 3-1

式 3-2

こ こで、M、D、O は図 3-2 に示したカウンターです。M の値は CLKFBOUT_MULT_F の設定に、

D の値は DIVCLK_DIVIDE の設定に、 O の値は CLKOUT_DIVIDE の設定にそれぞれ対応して

います。

7 個の O カウンターは個別にプログラム可能です。 たとえば、 O0 を 2 分周用にプログラムし、 O1を 3 分周用にプログラムできます。 ただし、 1 つの VCO ド ラ イバーがすべてのカウンターを駆動

するため、 VCO 動作周波数はすべての出力カウンターに対して同一でなければなり ません。

クロック ネッ トワークのスキュー調整

多くの場合、I/O タイ ミ ング バジェッ トの制限がある中でクロ ッ ク ネッ ト ワークでの遅延は望まし

くないため、 MMCM/PLL を使用してこの遅延を調整します。 7 シ リーズ FPGA は、 この遅延調整

機能をサポー ト しています。 基準ク ロ ッ ク CLKIN の周波数と同じ周波数のク ロ ッ ク出力 (常に

CLKFBOUT) がデバイスの同じ側にある BUFG に接続され、 MMCM/PLL の CLKFBIN ピンに

フ ィードバッ ク入力されます。 それ以外の出力はクロ ッ ク分周に使用でき、 周波数合成を行う こ と

ができます。 このよ うな場合、 すべての出力クロ ッ クには、 入力基準クロ ッ クに対して定義済みの

位相関係があ り ます。 MMCM または PLL の CLKOUT0 ~ CLKOUT3 を使用し て、 ほかの

MMCM/PLL とカスケード接続できますが、 カスケード接続された MMCM/PLL 間の出力クロ ッ

クには位相オフセッ トがあ り ます。

整数分周のみを使用した周波数合成

MMCM および PLL をスタンドアロンで使用し、周波数合成を実行できます。 この場合、 MMCM/PLL でク ロ ッ ク ネッ ト ワークのスキュー調整ではな く、 ほかのブロ ッ クで使用される出力ク ロ ッ

ク周波数を生成します。 このモードでは、 MMCM/PLL のフ ィードバッ ク パスは内部となるため、

すべてがローカル配線とな り、 ジッ ターが 小限に抑えられます。 図 3-6 に、 周波数合成回路と し

て構成した MMCM を示します。 この例では、 33MHz で動作する外部基準クロ ッ クが使用可能で

す。 基準ク ロ ッ クには、 ク リ スタル オシレーターまたはも う一方の MMCM の出力を使用できま

す。 M カウンターを 32 に設定する と、 VCO オシレーターは 1056MHz (33MHz x 32) で動作しま

す。 たとえば MMCM の出力は、 プロセッサ用の 528MHz ク ロ ッ ク、 ガスケッ ト用の 264MHz クロ ッ ク、 176MHz ク ロ ッ ク、 メモ リ インターフェイス用の 132MHz ク ロ ッ ク、 66MHz インター

フェイス ク ロ ッ ク、および 33MHz インターフェイス ク ロ ッ クなどにプログラムできます。 こ こで

は、 基準クロ ッ ク と出力クロ ッ ク間の位相関係は不要ですが、 出力クロ ッ ク間の位相は一致する必

要があ り ます。

FVCO FCLKINMD-----=

FOUT FCLKINM

D O---------------=

Page 73: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 73UG472 (v1.11) 2014 年 11 月 19 日

一般的な使用法について

MMCM で分数分周を使用した周波数合成

7 シ リーズ FPGA は、CLKOUT0 出力パスにおいて分数分周をサポート しています。分数分周の分

解能は 1/8 (0.125)° で、 合成可能な周波数の数は 8 倍に増えます。 たとえば、 CLKIN の周波数が

100MHz で M の分周値を 8 に設定した場合、 VCO の周波数は 800MHz とな り ます。 800MHz のVCO 周波数を CLKOUT0 でさらに分数分周するこ と もできます (たとえば CLKOUT0_DIVIDE =2.5 とする と 320MHz の出力周波数が得られる)。

分数分周モードの出力では、 デューティ サイクルはプログラムできません。

ジッ ター フ ィルター

MMCM と PLL には、 基準クロ ッ クに内在するジッ ターを常に除去する機能があ り ます。 MMCMと PLL は、 外部ク ロ ッ クが別のブロ ッ クに駆動される前にそのクロ ッ クのジッ ターをフ ィルタ リ

ングするスタンドアロンの機能と してインスタンシエートできます。 ジッ ターのフ ィルターと して

使用する場合、MMCM と PLL はバッファーのよ うに動作し、入力周波数と同じ周波数の出力を再

生成します(たとえば FIN = 100MHz の場合、FOUT = 100MHz)。一般に、MMCM の BANDWIDTH属性を Low に設定する と、 ジッ ターのフ ィルタ リ ング効果が大き くな り ます。 ただし、 この属性

を Low に設定する と MMCM のスタティ ッ ク オフセッ トが増加するこ とがあ り ます。

制限

MMCM と PLL の使用には、 いくつかの制約があ り ます。 これらの制約については、 『7 シ リーズ

FPGA データ シー ト 』 (http://japan.xilinx.com/support/documentation/7_series.htm#156339) の

MMCM と PLL の電気的仕様に記載されています。 一般的な主な制約と しては、 VCO 動作範囲、

入力周波数、デューティ サイ クルのプログラム、位相シフ トがあ り ます。 また、ほかのクロ ッ ク エレ メン ト (ピン、 GT、 ク ロ ッ ク バッファー ) への接続にはいくつかの制約があ り ます (付録 B 「ク

ロ ッ ク領域のクロ ッ ク リ ソースおよび接続」 参照)。 MMCM/PLL のカスケード接続は、 隣接する

CMT との間でのみ可能です。

VCO 動作範囲

VCO の 大および 小動作周波数は、 『7 シ リーズ FPGA データシート 』 (http://japan.xilinx.com/support/documentation/7_series.htm#156339) の電気的仕様に定義されています。 これらの値はス

ピード仕様からも得るこ とができます。

X-Ref Target - Figure 3-6

図 3-6 : 周波数シンセサイザーとして使用した MMCM

D = 133 MHz

ReferenceClock

Processor

Gasket

CLB/Fabric

Memory Interface

66 MHz Interface

not used

PFD, CP,LF, VCO

M = 32

O0 = 2

ug472_c2_06_062711

O1 = 4

O2 = 6

O3 = 8

O4 = 16

O6 = 1

33 MHz InterfaceO5 = 32

Page 74: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

74 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

小および 大入力周波数

CLKIN の 大および 小入力周波数は、『7 シ リーズ FPGA データシート 』 (http://japan.xilinx.com/support/documentation/7_series.htm#156339) の電気的仕様に定義されています。

デューティ サイクルのプログラム

1 つの VCO 動作周波数に対しては、 個別のデューティ サイクルのみ可能です。 小値から 大値

までの範囲、 およびステ ッ プ サイ ズは CLKOUT_DIVIDE の値によ って決定し ます。

CLKOUT_DIVIDE で設定可能な値は、 クロ ッキング ウ ィザードに示されます。

位相シフ ト

多くの場合、 クロ ッ ク間の位相をシフ ト させる必要があ り ます。 MMCM には、 位相シフ トのイン

プリ メンテーシ ョ ンに関して多くのオプシ ョ ンがあ り ます。 また、 PLL にはスタティ ッ ク位相シフ

ト機能もあ り ます。 スタティ ッ ク位相シフ トは、 CLKOUT の分周値に応じた CLKOUT 出力カウ

ンターのファ イン位相シフ トで利用可能な 8 つの VCO 出力位相のいずれかを選択して行います。

また、7 シ リーズ FPGA には固定またはダイナミ ッ ク モードのいずれにも対応した補間型位相シフ

ト機能もあ り ます。 MMCM の位相シフ ト機能は非常に優れている と同時に、 使用方法が複雑にな

るこ とが考えられます。 ク ロ ッキング ウ ィザードを使用する と、 MMCM のコンフ ィギュレーシ ョ

ンに基づいて許容される位相シフ ト値が計算されます。

スタテ ィ ッ ク位相シフ ト モード

スタティ ッ ク位相シフ ト (SPS) の時間単位の分解能は次のよ うに定義されます。

式 3-3

VCO からは 45° ずつシフ ト した 8 つのク ロ ッ クを生成でき、 0°、 45°、 90°、 135°、 180°、 225°、270°、 315° の位相シフ ト設定が常に可能です。 VCO の周波数が高くなるほど、 位相シフ トの分解

能は細かくな り ます。 VCO には固有の動作周波数範囲があるため、 次の範囲を使用して位相シフ

トの分解能を制限するこ と も可能です。

CLKOUT 出力カウンターは個別にプログラム可能で、VCO の出力位相と CLKOUT カウンターの

分周値に基づいて個別の位相シフ ト分解能 (度数) を持つこ とができます。 CLKOUT 位相シフ トの

分解能は、 45°/CLKOUT_DIVIDE の値と して求める こ とができます。 位相シフ ト の 大範囲も

CLKOUT_DIVIDE の値によって決定します。CLKOUT_DIVIDE 64 の場合、 大位相シフ トは

360° です。 CLKOUT_DIVIDE > 64 の場合、 大位相シフ トは次で求められます。

式 3-4

CLKFBOUT フ ィードバッ ク ク ロ ッ クの位相をシフ ト させるこ と もできます。その場合、すべての

CLKOUT 出力クロ ッ クが CLKIN に対して負の方向に位相シフ ト します。

2 つの分数カウンター (CLKFBOUT と CLKOUT0) にもスタティ ッ ク位相シフ ト機能があ り ます。

位相シフ トのステップは次のよ うに定義されます。

式 3-5

たとえば、 分数分周値が 2.125 の場合、 スタティ ッ ク位相シフ トのステップは次式のとおりです。 360/(2.125 x 8) = 21.176°

SPS 18FVCO------------------period or= D

8MFIN------------------period

18FVCOMIN---------------------------- to 1

8FVCOMAX------------------------------

Maximum Phase Shift63

CLKOUT_ DIVIDE----------------------------------------------------- 360 7 Phase Shift Value +=

SPS frac 3608 fractional_divide_value----------------------------------------------------------------------or= 45

fractional_divide_value-------------------------------------------------------------

Page 75: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 75UG472 (v1.11) 2014 年 11 月 19 日

一般的な使用法について

MMCM における固定またはダイナミ ック モードの補間型ファイン位相シフ ト

補間型ファ イン位相シフ ト (IFPS) モードの MMCM では、 CLKOUT_DIVIDE の値に関係なく一

定の比率で位相がシフ ト し、 位相シフ トの分解能は VCO の周波数にのみ依存します。 このモード

では、 出力クロ ッ クの位相を

ずつ増加させて、 ラウンド ロビン方式で 360°回転できます。

VCO の動作周波数が 600MHz の場合、 位相分解能は約 30ps (端数切り捨て) で、 動作周波数が

1.6GHz なら約 11ps (端数切り捨て) とな り ます。

位相シフ ト値は、 コンフ ィギュレーシ ョ ン時に固定値と してプログラムするこ と も、 コンフ ィギュ

レーシ ョ ン後にアプリ ケーシ ョ ンからの制御によって動作中に増減させるこ と もできます。 ダイナ

ミ ッ ク位相シフ トは MMCME2_ADV の PS インターフェイスによって制御されます。 この位相シ

フ ト モード は、 USE_FINE_PS 属性を TRUE に設定し て このモード用に選択し たすべての

CLKOUT 出力クロ ッ クに同じ影響を与えます。 補間型ファイン位相シフ ト モードでは、 ク ロ ッ ク

は常に MMCM の PSCLK ピンに接続する必要があ り ます。 ク ロ ッ クが補間型ファイン位相シフ ト

モード (固定またはダイナ ミ ッ ク ) にあるかど う かにかかわらず、 ク ロ ッ クは常に MMCM のPSCLK ピンに接続する必要があ り ます。 各 CLKOUT カウンターは、 補間型位相シフ ト モード、

上述のスタティ ッ ク位相シフ ト モード、位相シフ ト なしのいずれかを個別に選択できます。固定ま

たはダイナミ ッ クの補間型ファイン位相シフ ト モードでは、分数分周は利用できません。 フ ィード

バッ ク パスで固定またはダイナミ ッ ク位相シフ ト を行う と、すべての出力クロ ッ クが CLKIN に対

して負の方向に位相シフ ト します。ダイナミ ッ ク位相シフ ト インターフェイスは、位相シフ ト モー

ドが 「固定」 に設定されている場合は使用できません。

MMCM におけるダイナミ ック位相シフ ト インターフェイス

MMCME2_ADV プリ ミ ティブには、ダイナミ ッ ク ファ イン位相シフ ト をサポートするための 3 つの入力と 1 つの出力があ り ます。 CLKOUT と CLKFBOUT の各分周器は、 それぞれ個別に位相シ

フ ト を 選 択 で き ま す。 ど の 出 力 ク ロ ッ ク を 動 的 に 位 相 シ フ ト す る か は、

CLKOUT[0:6]_USE_FINE_PS 属性と CLKFBOUT_USE_FINE_PS 属性で選択し ます。 ダイナ

ミ ッ ク位相シフ ト量は、 選択したすべての出力クロ ッ クで共通です。

可変位相シフ ト は PSEN、 PSINCDEC、 PSCLK、 PSDONE ポー ト で制御し ます (図 3-7 参照)。MMCM がロ ッ ク後の 初の位相は CLKOUT_PHASE 属性で決定されます。 一般的には、 初の

位相シフ ト量は設定しません。 MMCM 出力クロ ッ クの位相は、 初の位相またはダイナミ ッ ク位

相シフ ト後の位相を基準に、 PSEN、 PSINCDEC、 PSCLK、 PSDONE の関係によって増減します。

PSEN、PSINCDEC、および PSDONE は PSCLK に同期しています。PSEN を PSCLK の 1 ク ロ ッ

ク サイクル分アサートする と、位相シフ ト をインク リ メン ト またはデク リ メン トできるよ うになり

ます。 PSINCDEC が High の場合はインク リ メ ン ト され、 Low の場合はデク リ メ ン ト されます。

MMCM ク ロ ッ ク出力の位相シフ ト量は、 1 回のインク リ メン トにつき VCO 周期の 1/56 分増加し

ます。 同様に各デク リ メン トでは VCO 周期の 1/56 分減少します。PSEN は、正確に PSCLK の 1 サイクルの間アサート して くださ

い。 位相シフ トが完了する と、 PSDONE は 1 ク ロ ッ ク周期分 High になり ます。 PSCLK サイクル

の数は確定しており、 常に PSCLK の 12 サイ クル分です。 PSEN のアサートによる位相シフ トの

開始後、 MMCM 出力クロ ッ クの位相は 初の位相から増減して移行します。 インク リ メン ト また

はデク リ メ ン トの完了は、 PSDONE が High になるこ とでわかり ます。 PSDONE が High になる

と、 位相シフ トのインク リ メン ト またはデク リ メン ト を再び開始できます。 位相シフ トの 大値や

オーバーフローはあ り ません。周波数に関係なく、 ク ロ ッ ク周期全体 (360°) を常に位相シフ トでき

ます。 周期の 後まで達したら、 初から位相シフ トが行われます。

156FVCO----------------------

Page 76: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

76 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

MMCM カウンターのカスケード接続

CLKOUT6 分周器 (カウンター) は CLKOUT4 分周器とカスケード接続できます。これによ り、128よ り も大きい出力分周器が可能とな り ます。CLKOUT6 は CLKOUT4 分周器の入力を供給します。

カスケード接続した分周器の出力とそれ以外の出力分周器との間には、 スタテ ィ ッ クな位相オフ

セッ トがあ り ます。

MMCM/PLL のプログラ ミング

安定性とパフォーマンスの確保されたコンフ ィギュレーシ ョ ンを行うには、 一定のフローに従って

MMCM/PLL をプログラムする必要があ り ます。 こ こからは、 具体的なデザイン要件に基づいて

MMCM/PLL のプログラム方法を説明します。デザインをインプリ メン トするには、GUI インター

フェイス (ク ロ ッキング ウ ィザード ) を使用する方法と MMCM/PLL をインスタンシエートする方

法の 2 通りあ り ます。どちらの方法でも、MMCM/PLL をプログラムするには次の情報が必要です。

• 基準クロ ッ ク周期

• 出力クロ ッ ク周波数 ( 大 7 つ)

• 出力クロ ッ ク デューティ サイクル (デフォルト 50%)

• 出力クロ ッ クの位相シフ ト ( 初の位相 0 を基準と した度数で指定)

• MMCM/PLL の帯域幅 (デフォルトは OPTIMIZED。 帯域幅はソフ ト ウェア ツールで選択)

• 補正モード (ソフ ト ウェア ツールで自動的に決定)

• 単位が UI の基準クロ ッ ク ジッ ター (つま り、 基準クロ ッ ク周期の比率)

入力周波数の決定

初に入力周波数を決定します。次に、入力周波数の 小値と 大値から D カウンターの範囲を定

義し、 VCO 動作周波数の範囲から M カウンターの範囲を求め、 出力カウンターの範囲を定義する

と、 可能な出力周波数すべてが決定します。 この時点では、 周波数の数は非常に多くなるこ とが想

定されます。 たとえば整数分周を使用した場合、 多で 106 x 64 x 136 = 868,363 通りの組み合わ

せが考えられます。 実際には、 M および D カウンター範囲すべてを実現するこ とは不可能であ り、

かつ重複した設定もあるため、 周波数の総数は減少します。

例と して、FIN = 100MHz の場合を考えてみます。 小 PFD 周波数が 10MHz である と、D は 1 ~10 のみとな り ます。

X-Ref Target - Figure 3-7

図 3-7 : 位相シフ トのタイ ミング図

PSCLK

PSEN

PSDONE

PSINCDEC

ug472_c2_07_061710

Page 77: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 77UG472 (v1.11) 2014 年 11 月 19 日

一般的な使用法について

• D = 1 の場合、 M の値の範囲は 4 ~ 16 に制限されます。

• D = 2 の場合、 M の値の範囲は 8 ~ 32 に制限されます。

• D = 4 の場合、 M の値は 16 ~ 64 に制限されます。

D = 1 M = 4 は、 D = 2 M = 8、 D = 4 M = 16、 D = 8 M = 32 のサブセッ トであるため、 これらの

ケースは除外できます。 この例では、 D = 1、 3、 5、 6、 7、 9 以外の値はすべてこれらのサブセッ

トであるため、 これら 6 つの値のみを考慮すればよいこ とにな り ます。 こ うする と、 可能な出力周

波数の数は大幅に減少し、 終的に出力周波数が決定していきます。 目的とする出力周波数は、 求

められた可能な出力周波数内であるかを確認する必要があ り ます。 初の出力周波数が決定する と、

M および D の値がさらに制限され、2 番目の出力周波数が制限されるこ とになり ます。すべての出

力周波数が選択されるまで、 このプロセスを繰り返します。

M および D 値の決定に使用する制約は次の式で表されます。

式 3-6

式 3-7

式 3-8

式 3-9

M および D 値の決定

入力周波数が決定する と、M および D 値がある程度決ま り ます。次に、 適な M および D 値を指

定します。 まず M の開始値を決定します。 この値は、 VCO ターゲッ ト周波数、 つま り VCO の理

想的な動作周波数に基づいて決定します。

式 3-10

こ こでは、VCO の理想周波数に も近くなる M 値を決定します。 また、プロセスの開始には D の小値を使用します。 VCO を可能な限り高くする と同時に、 小の D および M 値を指定するよ

うにします。

DMIN roundupfIN

fPFD MAX------------------------=

DMAX rounddownfIN

fPFD MIN-----------------------=

MMIN roundup fVCOMIN

fIN---------------------- DMIN =

MMAX rounddownfVCOMAX

fIN------------------------ DMAX =

MIDEAL

DMIN fVCOMAXfIN

--------------------------------------------=

Page 78: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

78 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

MMCM のポート

表 3-5 に、 MMCM のポート一覧を示します。 表 3-7 には、 MMCM の属性一覧を示します。

表 3-5 : MMCM のポート (1)

ピン名 I/O ピンの説明

CLKIN1 入力通常のクロ ッ ク入力です。 「CLKIN1 – プライマ リ基準クロ ッ ク入力」 を参照して くだ

さい。

CLKIN2 入力MMCM 基準クロ ッ クのセカンダ リ ク ロ ッ ク入力です。「CLKIN2 – セカンダ リ ク ロ ッ

ク入力」 を参照してください。

CLKFBIN 入力フ ィードバッ ク ク ロ ッ ク入力です。 「CLKFBIN – フ ィードバッ ク ク ロ ッ ク入力」 を参

照してください。

CLKINSEL 入力

ク ロ ッ ク入力マルチプレ クサーのステー ト を制御し ます (High=CLKIN1、Low=CLKIN2)。 MMCM 基準クロ ッ クを動的に切り替えます。 「CLKINSEL – ク ロ ッ

ク入力切り替え」 を参照してください。

RST 入力

非同期リセッ ト信号です。 RST 信号は MMCM の非同期リセッ トです。 この信号がリ

リースされる と、MMCM は同期して再び有効になり ます (つま り、MMCM の再イネー

ブル)。入力クロ ッ クの条件 (周波数など) が変更される と リセッ トが必要です。 「RST –非同期リセッ ト信号」 を参照してください。

PWRDWN 入力インスタンシエート された未使用の MMCM への電力供給を停止します。 「PWRDWN– パワー ダウン」 を参照してください。

DADDR[6:0] 入力

ダイナミ ッ ク リ コンフ ィギュレーシ ョ ンのアドレス入力です。使用しない場合はすべて

のビッ ト を 0 にする必要があ り ます。 「DADDR[6:0] – ダイナミ ッ ク リ コンフ ィギュ

レーシ ョ ン アドレス」 を参照してください。

DI[15:0] 入力

ダイナミ ッ ク リ コンフ ィギュレーシ ョ ンのデータ入力です。使用しない場合はすべての

ビッ ト を 0 にする必要があ り ます。 「DI[15:0] – ダイナミ ッ ク リ コンフ ィギュレーシ ョ

ン データ入力」 を参照してください。

DWE 入力

DI データの DADDR アドレスへの書き込みを制御するイネーブル信号です。 使用しな

い場合は Low に接続する必要があ り ます。 「DWE – ダイナミ ッ ク リ コンフ ィギュレー

シ ョ ン書き込みイネーブル」 を参照してください。

DEN 入力

ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン機能を使用するかを制御します。ダイナミ ッ ク

リ コンフ ィギュレーシ ョ ン機能を使用しない場合は、 Low に接続する必要があ り ます。

「DEN – ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン イネーブル ス ト ローブ」 を参照して

ください。

DCLK 入力ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポートの基準クロ ッ クです。「DCLK – ダイナ

ミ ッ ク リ コンフ ィギュレーシ ョ ン基準クロ ッ ク」 を参照して ください。

PSCLK 入力 位相シフ ト ク ロ ッ クです。 「PSCLK – 位相シフ ト ク ロ ッ ク」 を参照してください。

PSEN 入力 位相シフ ト イネーブルです。 「PSEN – 位相シフ ト イネーブル」 を参照してください。

PSINCDEC 入力位相シフ トの増減を制御します。 「PSINCDEC – 位相シフ トの増減制御」 を参照してく

ださい。

Page 79: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 79UG472 (v1.11) 2014 年 11 月 19 日

一般的な使用法について

PLL のポート

表 3-6 に、 PLL のポート一覧を示します。

CLKOUT[0:6] 出力

ユーザー設定可能なクロ ッ ク出力 (0 ~ 6) です。 ユーザー制御可能な VCO 位相出力を

1 (バイパス) ~ 128 の範囲で分周したものです。 出力クロ ッ クは (位相シフ ト しない限

り ) 互いに位相が揃っており、 適切なフ ィードバッ ク構成によって入力クロ ッ ク と も位

相が一致します。

BUFR/BUFIO への直接 HPC 接続は、 CLKOUT[0:3] でのみサポー ト されます。

「CLKOUT[0:6] – 出力クロ ッ ク」 を参照して ください。

CLKOUT[0:3]B 出力反転した CLKOUT[0:3] です。 「CLKOUT[0:3]B – 反転出力クロ ッ ク」 を参照してくだ

さい。

CLKFBOUT 出力専用の MMCM フ ィードバッ ク出力です。「CLKFBOUT – 専用の MMCM および PLLフ ィードバッ ク出力」 を参照してください。

CLKFBOUTB 出力 反転した CLKFBOUT です。「CLKFBOUTB – 反転 CLKFBOUT」を参照してください。

CLKINSTOPPED 出力入力クロ ッ クが停止したこ とを示すステータス ピンです。「CLKINSTOPPED – 入力ク

ロ ッ ク ステータス」 を参照してください。

CLKFBSTOPPED 出力フ ィ ー ド バ ッ ク ク ロ ッ クが停止し た こ と を示すステータ ス ピンです。

「CLKFBSTOPPED – フ ィードバッ ク ク ロ ッ ク ステータス」 を参照してください。

LOCKED 出力

MMCM の位相があらかじめ定義されたウ ィンド ウ内で揃い、周波数があらかじめ定義

された PPM 範囲内で一致した こ と を示す MMCM からの出力です。 電源投入後、

MMCM は自動的にロッ ク し、 追加のリセッ トは不要です。 入力クロ ッ クが停止した場

合や、 位相が揃わなくなった場合 (入力クロ ッ クの位相シフ ト など)、 LOCKED はディ

アサート されます。 LOCKED がディアサート された後は MMCM を リセッ トする必要

があ り ます。

DO[15:0] 出力ダイナ ミ ッ ク リ コンフ ィギュレーシ ョ ン使用時に MMCM のデータ出力が現れます。

「DO[15:0] – ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン出力バス」 を参照してください。

DRDY 出力

DRDY 出力は、 MMCM のダイナミ ッ ク リ コンフ ィギュレーシ ョ ン機能の DEN 信号

に対する応答信号です。「DRDY – ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン レディ」 を

参照してください。

PSDONE 出力 位相シフ ト完了を示します。 「PSDONE – 位相シフ ト完了」 を参照してください。

注記 :

1. PSINCDEC 以外の制御信号およびステータス信号はすべてアクティブ High です。

表 3-5 : MMCM のポート (1) (続き)

ピン名 I/O ピンの説明

表 3-6 : PLL のポート

ピン名 I/O ピンの説明

CLKIN1 入力 通常のクロ ッ ク入力です。

CLKIN2 入力 PLL 基準クロ ッ クを動的に切り替えるためのセカンダ リ ク ロ ッ ク入力です。

CLKFBIN 入力 フ ィードバッ ク ク ロ ッ ク入力です。

Page 80: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

80 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

MMCM および PLL の各ポートの説明

CLKIN1 – プライマリ基準クロック入力

CLKIN1 は、同じ クロ ッ ク領域の SRCC または MRCC I/O で直接駆動されるか、CMT バッ クボー

ンを介して上下のクロ ッ ク領域の SRCC また MRCC I/O で駆動されるか、BUFG、BUFR、BUFH、

インターコネク ト (推奨しない) あるいは高速シ リ アル ト ランシーバーで直接駆動されます。 CMT機能のカス ケード接続のために別の CMT から ク ロ ッ ク入力が供給されている場合は、

CLKOUT[0:3] のみ使用可能です。

CLKINSEL 入力

入力マルチプレクサーのステート を制御します (High = CLKIN1、Low = CLKIN2。PLL基準クロ ッ クを動的に切り替えます。 「CLKINSEL – ク ロ ッ ク入力切り替え」 を参照し

てください。

RST 入力

非同期リセッ ト信号です。 RST 信号は PLL の非同期リセッ トです。 この信号がリ リー

スされる と、 PLL は同期して再び有効になり ます (つま り、 PLL の再イネーブル)。 入

力クロ ッ クの条件 (周波数など) が変更される と リセッ トが必要です。

PWRDWN 入力インスタンシエート された未使用の PLL への電力供給を停止します。「PWRDWN – パワー ダウン」 を参照してください。

DADDR[6:0] 入力ダイナミ ッ ク リ コンフ ィギュレーシ ョ ンのアドレス入力です。使用しない場合はすべて

のビッ ト を 0 にする必要があ り ます。

DI[15:0] 入力ダイナミ ッ ク リ コンフ ィギュレーシ ョ ンのデータ入力です。使用しない場合はすべての

ビッ ト を 0 にする必要があ り ます。

DWE 入力DI データの DADDR アドレスへの書き込みを制御するイネーブル信号です。 使用しな

い場合は Low に接続する必要があ り ます。

DEN 入力ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン機能を使用するかを制御します。ダイナミ ッ ク

リ コンフ ィギュレーシ ョ ン機能を使用しない場合は、 Low に接続する必要があ り ます。

DCLK 入力 ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポートの基準クロ ッ クです。

CLKOUT[0:5](1)出力

ユーザー設定可能なクロ ッ ク出力 (0 ~ 5) です。 ユーザー制御可能な VCO 位相出力を

1 (バイパス) ~ 128 の範囲で分周したものです。入力クロ ッ ク と出力クロ ッ クの位相は

揃えられます。

CLKFBOUT 出力 専用の PLL フ ィードバッ ク出力です。

LOCKED 出力

PLL の位相があらかじめ定義されたウ ィンド ウ内で揃い、周波数があらかじめ定義され

た PPM 範囲内で一致したこ とを示す PLL からの出力です。 電源投入後、 PLL は自動

的にロッ ク し、 追加のリセッ トは不要です。 入力クロ ッ クが停止した場合や、 位相が揃

わなくなった場合 (入力クロ ッ クの位相シフ ト など)、 LOCKED はディアサート されま

す。 LOCKED がディアサート された後は PLL を リセッ トする必要があ り ます。

DO[15:0] 出力ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン使用時に PLL のデータが現れるダイナミ ッ ク

リ コンフ ィギュレーシ ョ ン出力バスです。

DRDY 出力DRDY 出力は、 PLL のダイナミ ッ ク リ コンフ ィギュレーシ ョ ン機能の DEN 信号に対

する応答信号です。

表 3-6 : PLL のポート (続き)

ピン名 I/O ピンの説明

Page 81: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 81UG472 (v1.11) 2014 年 11 月 19 日

一般的な使用法について

CLKIN2 – セカンダリ クロック入力

CLKIN2 はセカンダ リ ク ロ ッ ク入力で、 MMCM/PLL の基準クロ ッ クを動的に切り替えるために

使用します。CLKIN2 は、同じ クロ ッ ク領域の SRCC または MRCC I/O で直接駆動されるか、CMTバッ クボーンを介して上下のク ロ ッ ク領域の SRCC また MRCC I/O で駆動されるか、 BUFG、

BUFR、BUFH、 インターコネク ト (推奨しない) あるいは高速シ リ アル ト ランシーバーで直接駆動

されます。

CLKFBIN – フ ィードバック クロック入力

内部フ ィードバッ ク用に CLKFBOUT へ直接接続するか、IBUFG (外部スキュー調整用に CCIO ピンを経由)、 BUFG、 BUFH、 インターコネク ト (推奨しない) のいずれかに接続する必要があ り ま

す。外部クロ ッ クの位相を揃えるには、フ ィードバッ ク パスのクロ ッ ク バッファーのタイプがフォ

ワード ク ロ ッ ク バッファーのタイプと同じでなければな り ません (BUFR の場合を除く )。 BUFRは補正できません。

CLKFBOUT – 専用の MMCM および PLL フ ィードバック出力

可能な構成については、 「MMCM と PLL の使用モデル」 を参照して ください。 CLKFBOUT は、

Virtex-5 FPGA の DCM の CLK0 と同様にロジッ クを駆動するこ と もできます。

CLKFBOUTB – 反転 CLKFBOUT

この信号はフ ィードバッ クに使用しないでください。この信号はも う 1 つの反転 CLKFBOUT 出力

クロ ッ クを提供します。 CLKFBOUTB は、 Virtex-5 FPGA の DCM の CLK180 ク ロ ッ ク と同様に

ロジッ クを駆動できます。 PLL では使用できません。

CLKINSEL – クロック入力切り替え

CLKINSEL 信号はクロ ッ ク入力の選択を制御します。 High なら CLKIN1、 Low なら CLKIN2 とな り ます ( 「基準クロ ッ クの切り替え」 参照)。 ク ロ ッ ク切り替え中は、 MMCM/PLL を RESET に保持する必要があ り ます。

RST – 非同期リセッ ト信号

RST 信号は MMCM/PLL の非同期リセッ トです。 この信号をディアサートする と、 MMCM/PLLは同期的に再び有効になり ます。

PWRDWN – パワー ダウン

インスタンシエート された現在未使用の MMCM/PLL への電力供給を停止します。このモードを使

用する と、 デザインで一時的に非アクティブになっている部分や、 特定のシステム構成で使用され

ない MMCM/PLL の電力を削減できます。 このモードでは、 MMCM/PLL の消費電力はゼロにな

り ます。

DADDR[6:0] – ダイナミ ック リコンフ ィギュレーシ ョ ン アドレス

ダイナミ ッ ク リ コンフ ィギュレーシ ョ ンのアドレス入力です。 このバスのアドレス値によ り、次の

DCLK サイ クルで書き込みまたは読み出しを行う 16 ビッ トのコンフ ィギュレーシ ョ ン ビッ ト を指

定します。 使用しない場合はすべてのビッ ト を 0 にする必要があ り ます。

DI[15:0] – ダイナミ ック リコンフ ィギュレーシ ョ ン データ入力

ダイナミ ッ ク リ コンフ ィギュレーシ ョ ンのデータ入力です。このバスの値がコンフ ィギュレーシ ョ

ン セルに書き込まれます。このデータは、DEN と DWE がアクティブなサイクルで現れます。デー

タはいったんシャ ド ウ レジスタに取り込まれ、 後で書き込まれます。 DRP ポートに対して次の書

Page 82: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

82 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

き込みが可能になる と、DRDY によって通知されます。使用しない場合はすべてのビッ ト を 0 にす

る必要があ り ます。

DWE – ダイナミ ック リコンフ ィギュレーシ ョ ン書き込みイネーブル

DWE 入力は、 DADDR アドレスに対する DI データの書き込みまたは DO データの読み出しを制

御するイネーブル信号です。 使用しない場合は Low に接続する必要があ り ます。

DEN – ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン イネーブル スト ローブ

DEN 入力は、 ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン機能を使用するかを制御するイネーブル信

号で、 すべての DRP ポートの動作を有効にします。 ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン機能

を使用しない場合は、 Low に接続する必要があ り ます。

DCLK – ダイナミ ック リコンフ ィギュレーシ ョ ン基準クロック

ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポートの基準ク ロ ッ クです。 この信号の立ち上がりエッ

ジが、その他すべてのポートの信号に対するタイ ミ ングの基準となり ます。セッ ト アップ タイムは

データシートに記載されています。ほかの入力信号には、 DCLK の立ち上がりエッジに対するホー

ルド タイムの要件はあ り ません。 このピンは IBUF、 IBUFG、 BUFG、 BUFR、 BUFH のいずれか

で駆動できます。 このクロ ッ ク入力への専用接続はあ り ません。

PSCLK – 位相シフ ト クロック

この入力ピンは、 ダイナミ ッ ク位相シフ ト インターフェイスに対するソース ク ロ ッ クを供給しま

す。 これ以外の入力は、すべてこのクロ ッ クの立ち上がりエッジと同期します。 このピンは IBUF、IBUFG、 BUFG、 BUFR、 BUFH のいずれかで駆動できます。 このクロ ッ ク入力への専用接続はあ

り ません。 PLL では使用できません。

PSEN – 位相シフ ト イネーブル

この信号を同期的にアサートする と、ダイナミ ッ ク位相シフ トが開始します。 この信号は、 PSCLKの 1 サイ クル間アクティブにする必要があ り ます。 アクティブになる と位相が徐々にシフ ト し、 位

相シフ トが完了する と PSDONE が High にな り ます。 位相シフ ト中は、 グ リ ッチや散発的な変化

は発生しません。位相シフ トの開始から終了まで、位相は連続したアナログ量と してシフ ト します。

PLL では使用できません。

PSINCDEC – 位相シフ トの増減制御

この入力信号は、 ダイナミ ッ ク位相シフ トでシフ ト量を増やすのか (正の位相シフ ト ) 減らすのか (負の位相シフ ト ) を同期的に示します。 増加の場合は PSENCDEC が High にアサート され、 減少

の場合は Low にアサート されます。 ダイナミ ッ ク位相シフ トには、 位相シフ トのオーバーフロー

はあ り ません。 360° 以上シフ ト した場合は、 元の位相に戻り ます。 PLL では使用できません。

CLKOUT[0:6] – 出力クロック

ユーザー設定可能なク ロ ッ ク出力 (MMCM の場合は CLKOUT0 ~ CLKOUT6、 PLL の場合は

CLKOUT0 ~ CLKOUT5) で、ユーザー制御可能な VCO 位相出力を 1 (バイパス) ~ 128 の範囲で

分周したものです。 入力クロ ッ ク と出力クロ ッ クは位相を揃えるこ とができます。

BUFR または BUFIO を使用する場合、 クロ ッ ク出力 CLKOUT0 ~ CLKOUT3 から HPC へ直接

接続できるのは MMCM のみです。 また、 これらの出力は、 MMCM や PLL をカスケード接続す

る場合に CMT バッ クボーンへ接続できます。 CCIO ピンを使用して隣接領域の MMCM および

PLL を駆動する場合と同様に ( 「ク ロ ッ ク兼用入力 (CCIO)」 参照)、 カスケードでも CMT バッ ク

ボーンで利用可能な限られた リ ソースをいくつか使用して隣接領域のク ロ ッ ク リ ソースを直接接

Page 83: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 83UG472 (v1.11) 2014 年 11 月 19 日

一般的な使用法について

続します。 このため、 同じカラム内でカスケード接続されたエレ メン ト間には位相オフセッ トが生

じます。

可能な構成については、 「MMCM と PLL の使用モデル」 を参照して く ださい。 MMCM では、

CLKOUT0 と CLKFBOUT を分数分周モードで使用できます。どの CLKOUT 出力も整数分周モー

ドで使用でき、 スタティ ッ クまたはダイナミ ッ ク位相シフ トが可能です。 分数モードでは、 固定位

相シフ ト モード しか利用できません。 詳細は、 「スタティ ッ ク位相シフ ト モード」 を参照してくだ

さい。

CLKOUT[0:3]B – 反転出力クロック

CLKOUT[0:3] を反転 (180° 位相シフ ト ) したものです。 PLL では使用できません。

CLKINSTOPPED – 入力クロック ステータス

入力クロ ッ クが停止したこ とを示すステータス ピンです。 この信号は、 クロ ッ クが停止してから 1ク ロ ッ ク サイクル以内にアサート されます。 ク ロ ッ クが再開して LOCKED の状態になるか、別の

クロ ッ ク入力に切り替わって MMCM が再びロッ クする と、ディアサート されます。PLL では使用

できません。

CLKFBSTOPPED – フ ィードバック クロック ステータス

フ ィードバッ ク ク ロ ッ クが停止したこ とを示すステータス ピンです。 この信号は、 ク ロ ッ クが停

止してから 1 ク ロ ッ ク サイ クル以内にアサー ト されます。 フ ィードバッ ク ク ロ ッ クが再開し、

MMCM が再びロッ クする と、 ディアサート されます。 PLL では使用できません。

LOCKED

MMCM/PLL の基準クロ ッ ク と入力ピンのフ ィードバッ ク ク ロ ッ ク間で位相が揃い、周波数が一致

したこ とを示す MMCM/PLL からの出力です。 位相はあらかじめ定義されたウ ィンド ウ内で揃い、

周波数はあらかじめ定義された PPM 範囲内で一致する必要があ り ます。 電源投入後、 MMCM は自動的にロッ ク し、 追加のリセッ トは不要です。 入力クロ ッ クが停止した場合や、 位相が揃わなく

なった場合 (入力クロ ッ クの位相シフ ト など)、 または周波数が変化した場合は、1 PFD ク ロ ッ ク サイクル以内に LOCKED がディアサート されます。LOCKED がディアサート された場合、MMCM/PLL を リセッ トする必要があ り ます。 LOCKED のアサート前はクロ ッ ク出力は使用できません。

DO[15:0] – ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン出力バス

ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン使用時に MMCM のデータ出力が現れます。 DCLK の立

ち上がりエッジで DEN がアクティブで DWE が非アクティブの場合、このバスは DADDR によっ

て指定されたアドレスのコンフ ィギュレーシ ョ ン セルの内容を保持します。DO バスは、DRDY がアクティブなと きに DCLK の立ち上がりエッジでキャプチャする必要があ り ます。 DO バスの値

は、 次の DRP 動作まで保持されます。

DRDY – ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン レディ

DRDY 出力は、MMCM のダイナミ ッ ク リ コンフ ィギュレーシ ョ ン機能の DEN 信号に対する応答

信号です。 この信号は、 DEN/DCLK の操作が完了したこ とを示します。

PSDONE – 位相シフ ト完了

この信号は、 PSCLK に同期します。現在の位相シフ トが完了する と、 PSDONE 信号が 1 ク ロ ッ ク

サイ クルの間アサート され、 次の位相シフ ト サイ クルを開始できる こ とを示します。 PLL では使

用できません。

Page 84: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

84 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

MMCM の属性

表 3-7 に、 MMCME2_BASE および MMCME2_ADV プリ ミ ティブの属性一覧を示します。

表 3-7 : MMCM の属性

属性 タイプ 許容値 デフォルト 説明

BANDWIDTH 文字列 HIGHLOWOPTIMIZED

OPTIMIZED MMCM のジッター、位相マージン、

そ の 他 の 特 性 に 影 響 を 与 え る

MMCM のプログラ ミ ング アルゴ リ

ズムを指定します。

CLKOUT[1:6]_DIVIDE 整数 1 ~ 128 1 異なる周波数を使用する必要がある

場合、 CLKOUT ク ロ ッ ク出力を分

周する値を指定します。 こ こで指定

した値と CLKFBOUT_MULT_F および DIVCLK_DIVIDE の値の組み

合わせによって出力周波数が決定し

ます。

CLKOUT[0]_DIVIDE_F(2)整数

または

実数

1 ~ 128 または

2.000 ~ 128.000 の範囲

(増分幅 0.125)

1

CLKOUT[0:6]_PHASE 実数 –360.000 ~ 360.000 の範囲。 FVCO の 1/56 または

CLKOUT_DIVIDE に基

づいてインク リ メン ト

0.0 関連する CLKOUT ク ロ ッ ク出力の

出力位相関係を度数で指定できます

(つま り、90 は 90°または 1/4 サイ ク

ルの位相オフセッ ト を示し、 180 は180°または 1/2 サイ クルの位相オフ

セッ ト を示す)。

CLKOUT[0:6]_DUTY_CYCLE

実数 0.01 ~ 0.99 0.50 CLKOUT ク ロ ッ ク出力のデュー

ティ サイ クルをパーセン トで指定し

ます。 つま り、 0.50 の場合、 デュー

ティ サイクルは 50% になり ます。

CLKFBOUT_MULT_F(2)整数

または

実数

2 ~ 64 または

2.000 ~ 64.000 の範囲 (増分幅 0.125)

5 異なる周波数を使用する必要がある

場合、すべての CLKOUT クロッ ク出

力の逓倍値を指定します。ここで指定

し た 値 と 関 連 す る

CLKOUT#_DIVIDE および

DIVCLK_DIVIDE の値の組み合わ

せによって出力周波数が決定します。

DIVCLK_DIVIDE 整数 1 ~ 106 1 すべての出力ク ロ ッ ク の、 入力ク

ロ ッ クに対する分周比を指定し ま

す。 これによ り、 PFD に入力される

CLKIN が分周されます。

CLKFBOUT_PHASE 実数 0.00 ~ 360.00 0.0 ク ロ ッ ク フ ィードバッ ク出力の位

相オフセッ ト を度数で指定します。

フ ィードバッ ク ク ロ ッ ク をシフ ト

する と MMCM へのすべての出力

クロ ッ クが負の方向に位相シフ ト し

ます。

Page 85: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 85UG472 (v1.11) 2014 年 11 月 19 日

一般的な使用法について

REF_JITTER1

REF_JITTER2

実数 0.000 ~ 0.999 0.010 この属性は、 シ ミ ュレーシ ョ ンで使

用するこ とを目的と しています。 シ

ミ ュレーシ ョ ンは、 大許容値に対

してチェ ッ クを行います。 値がわか

る場合は、 入力ク ロ ッ ク上のジ ッ

ター期待値を単位間隔 (UI) ( 大

Peak to Peak 値) で指定する必要が

あ り ます。

CLKIN1_PERIOD 実数 0.938 ~ 100.000 0.000 MMCM の CLKIN1 入力への入力

周期を ns で指定します。 ps 単位ま

で細かく指定可能です。 この値は必

須であ り、 必ず指定する必要があ り

ます。

CLKIN2_PERIOD 実数 0.938 ~ 100.000 0.000 MMCM の CLKIN2 入力への入力

周期を ns で指定します。 ps 単位ま

で細かく指定可能です。 この値は必

須であ り、 必ず指定する必要があ り

ます。

CLKFBOUT_USE_FINE_PS ブール型 FALSE、 TRUE FALSE CLKFBOUT カウンターの可変ファ

イン位相シフ ト イネーブルです。

CLKOUT0_USE_FINE_PS ブール型 FALSE、 TRUE FALSE CLKOUT0 カウンターの可変ファイ

ン位相シフ ト イネーブルです。

CLKOUT0_DIVIDE は整数でなけ

ればならないため、 分数分周は実行

できません。

CLKOUT[1:6]_USE_FINE_PS ブール型 FALSE、 TRUE FALSE CLKOUT[1:6] 可変ファ イン位相シ

フ ト イネーブルです。

STARTUP_WAIT ブール型 FALSE、 TRUE FALSE MMCM がロ ッ ク状態になるまでコ

ンフ ィギュレーシ ョ ン スタート アッ

プ サイクル間待機します。

CLKOUT4_CASCADE ブール型 FALSE、 TRUE FALSE 出力分周器 (カウンター) CLKOUT6を CLKOUT4 分周器の入力にカス

ケード接続して 128 を超える出力ク

ロ ッ ク分周器を得ます。これによ り、

分周値は合計で 16,384 とな り ます。

表 3-7 : MMCM の属性 (続き)

属性 タイプ 許容値 デフォルト 説明

Page 86: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

86 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

COMPENSATION 文字列 ZHOLD(1)、

EXTERNAL、INTERNAL、BUF_IN

ZHOLD ク ロ ッ ク 入 力 補 正 で す。 必 ず

ZHOLD に設定します。 MMCM のフ ィードバッ ク構成を定義します。

ZHOLD : MMCM が I/O レジスタで

負のホールド タイムを提供するよ う

にコンフ ィギュレーシ ョ ンされてい

るこ とを示します。

EXTERNAL : FPGA 外部のネッ ト

ワークが補正されているこ とを示し

ます。

INTERNAL : MMCM 内部のフ ィー

ドバッ ク パスを使用しており、 遅延

の補正が行われていないこ とを示し

ます。

BUF_IN : コンフ ィギュレーシ ョ ン

とほかの補正モードが一致しておら

ず、 遅延の補正が行われないこ とを

示し ます。 ク ロ ッ ク入力が BUFG/BUFH/BUFR または GTX/GTH/GTP によって駆動されている場合

がこれに該当します。

SS_EN ブール型 FALSE、 TRUE FALSE スペク ト ラム拡散の生成を有効にし

ます。

SS_MODE 文字列 DOWN_LOW、

DOWN_HIGH、

CENTER_LOW、

CENTER_HIGH

CENTER_HIGH

スペク ト ラム拡散の周波数偏差およ

び拡散タイプを管理します。

SS_MOD_PERIOD 整数 4000 ~ 40000 10000 スペク ト ラム拡散の変調周期 (ns) を指定します。

注記 :

1. COMPENSATION 属性の値は、 現在の補正方法を表示する目的でのみ使用されます。 補正方法は実際の回路トポロジに 適なものが

ISE または Vivado デザイン ツールによって自動的に選択されます。 したがって、 COMPENSATION 属性の値は手動で設定せず、 デ

フォルトのまま と して ください。

2. 値が正確に 1/8 と して指定されていない場合、ISE または Vivado デザイン ツールによって も近い 0.125 の倍数に切り上げまたは切り

下げられます。

表 3-7 : MMCM の属性 (続き)

属性 タイプ 許容値 デフォルト 説明

Page 87: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 87UG472 (v1.11) 2014 年 11 月 19 日

一般的な使用法について

PLL の属性

表 3-8 に、 PLLE2_BASE プリ ミ ティブおよび PLLE2_ADV プリ ミ ティブの属性一覧を示します。

表 3-8 : PLL の属性

属性 タイプ 許容値 デフォルト 説明

COMPENSATION 文字列 ZHOLD(1)、

EXTERNAL、 INTERNAL、BUF_IN

ZHOLD ク ロ ッ ク 入 力 補 正 で す。 必 ず

ZHOLD に設定し ます。 PLL の

フ ィードバッ ク構成を定義します。

ZHOLD : PLL が I/O レジスタで負

のホールド タ イムを提供する よ う

にコンフ ィ ギュ レーシ ョ ン されて

いるこ とを示します。

EXTERNAL : FPGA 外部のネッ ト

ワークが補正されている こ と を示

します。

INTERNAL : MMCM 内部の

フ ィードバッ ク パスを使用してお

り、 遅延の補正が行われていないこ

とを示します。

BUF_IN : コンフ ィギュレーシ ョ ン

と ほかの補正モードが一致してお

らず、 遅延の補正が行われないこ と

を示します。ク ロ ッ ク入力が BUFG/BUFH/BUFR または GTX/GTH/GTP によって駆動されている場合

がこれに該当します。

BANDWIDTH 文字列 HIGHLOWOPTIMIZED

OPTIMIZED PLL のジッター、位相マージン、そ

の他の特性に影響を与える PLL のプログラ ミ ング アルゴ リ ズムを指

定します。

CLKOUT[0:5]_DIVIDE 整数 1 ~ 128 1 異なる周波数を使用する必要があ

る場合、 CLKOUT ク ロ ッ ク出力を

分周する値を指定します。 こ こで指

定した値と CLKFBOUT_MULT および DIVCLK_DIVIDE の値の組み

合わせによ って出力周波数が決定

します。

CLKOUT[0:5]_PHASE 実数 -360.0 ~ 360.0 0.0 関連する CLKOUT ク ロ ッ ク出力の

出力位相関係を度数で指定でき ま

す (つま り、 90 は 90°または 1/4 サイ ク ルの位相オフセ ッ ト を示し、

180 は 180°または 1/2 サイクルの位

相オフセッ ト を示す)。

Page 88: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

88 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

CLKOUT[0:5]_DUTY_CYCLE

実数 0.01 ~ 0.99 0.50 CLKOUT ク ロ ッ ク出力のデュー

テ ィ サイ クルをパーセン ト で指定

します。つま り、0.50 の場合、デュー

ティ サイクルは 50% になり ます。

CLKFBOUT_MULT 整数 2 ~ 64 5 異なる周波数を使用する必要があ

る場合、すべての CLKOUT ク ロ ッ

ク出力の逓倍値を指定します。こ こ

で 指 定 し た 値 と 関 連 す る

CLKOUT#_DIVIDE および

DIVCLK_DIVIDE の値の組み合

わせによって出力周波数が決定し

ます。

DIVCLK_DIVIDE 整数 1 ~ 56 1 すべての出力クロックの、入力クロッ

クに対する分周比を指定します。

CLKFBOUT_PHASE 実数 0.0 ~ 360.0 0.0 ク ロ ッ ク フ ィードバッ ク出力の位

相オフセッ ト を度数で指定します。

フ ィードバッ ク ク ロ ッ ク をシフ ト

する と PLL へのすべての出力ク

ロ ッ クが負の方向に位相シフ ト し

ます。

REF_JITTER1REF_JITTER2

実数 0.000 ~ 0.999 0.010 この属性は、 シ ミ ュレーシ ョ ンで使

用するこ とを目的と しています。 シ

ミ ュレーシ ョ ンは、 大許容値に対

してチェッ クを行います。 値がわか

る場合は、 入力ク ロ ッ ク上のジ ッ

ター期待値を単位間隔 (UI) ( 大

Peak to Peak 値) で指定する必要が

あ り ます。

CLKIN1_PERIOD 実数 0.938 ~ 52.631 0.000 PLL の CLKIN1 入力への入力周期

を ns で指定します。ps 単位まで細か

く指定可能です。 この値は必須であ

り、 必ず指定する必要があり ます。

CLKIN2_PERIOD 実数 0.938 ~ 52.631 0.000 PLL の CLKIN2 入力への入力周期

を ns で指定します。ps 単位まで細か

く指定可能です。 この値は必須であ

り、 必ず指定する必要があり ます。

STARTUP_WAIT ブール型 FALSE、 TRUE FALSE PLL がロ ッ ク状態になるまでコン

フ ィ ギュレーシ ョ ン スター ト アッ

プ サイクル間待機します。

注記 :

1. COMPENSATION 属性の値は、現在の補正方法を表示する目的でのみ使用されます。補正方法は実際の回路トポロジに 適なものが ISEまたは Vivado デザイン ツールによって自動的に選択されます。 したがって、 COMPENSATION 属性の値は手動で設定せず、デフォルト

のまま と して ください。

表 3-8 : PLL の属性 (続き)

属性 タイプ 許容値 デフォルト 説明

Page 89: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 89UG472 (v1.11) 2014 年 11 月 19 日

一般的な使用法について

MMCM のクロック入力信号

MMCM で利用可能なクロ ッ ク ソースは次のとおりです。

• IBUFG - ク ロ ッ ク兼用入力バッファーです。MMCM は、このパスの遅延を補正します。IBUFGは同じ領域内の CCIO ピンを表します。

• BUFGCTRL または BUFG - 内部グローバル ク ロ ッ ク バッファーです。 MMCM はこのパス

の遅延を補正しません。

• IBUF - 通常の入力バッファーです。この入力バッファーは汎用配線を使用する可能性があるた

め推奨しません。IBUF ク ロ ッ ク入力は、MMCM/PLL に接続する前に BUFG に配線する必要

があ り ます。 MMCM/PLL はこのパスの遅延を補正しません。

• BUFR - リージ ョナル ク ロ ッ ク入力バッファーです。MMCM/PLL はこのパスの遅延を補正し

ません。

• GT - ギガビッ ト ト ランシーバーは MMCM に直接接続できます。

カウンター制御

MMCM/PLL の出力カウンターは、 DIVIDE、 DUTY_CYCLE、 PHASE の組み合わせによって広

範囲な合成クロ ッ クを生成します。 図 3-8 に、 カウンター設定とその出力の関係を示します。

一番上の波形は、 VCO の出力を表しています。

X-Ref Target - Figure 3-8

図 3-8 : 出力カウンターのクロック合成の例

Counter Clock Input(VCO)

DIVIDE = 2DUTY_CYCLE = 0.5

PHASE = 0

DIVIDE = 2DUTY_CYCLE = 0.5

PHASE = 180

DIVIDE = 2DUTY_CYCLE = 0.75

PHASE = 180

DIVIDE = 1DUTY_CYCLE = 0.5

PHASE = 0

DIVIDE = 1DUTY_CYCLE = 0.5

PHASE = 360

DIVIDE = 3DUTY_CYCLE = 0.33

PHASE = 0

DIVIDE = 3DUTY_CYCLE = 0.5

PHASE = 0

UG472_c2_08_061710

Page 90: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

90 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

VCO と出力カウンターの波形についての詳細

図 3-9 に、 8 つの VCO 位相出力と 4 つのそれぞれ異なるカウンター出力を示します。 各 VCO 位相は、 適切なスタート アップ シーケンスで示されています。 位相関係およびスタート アップ シー

ケンスは、適切な位相が維持されるよ う保証されています。つま り、 0° 位相の立ち上がりエッジは

45° 位相の立ち上がりエッジよ り も前にあるこ とにな り ます。O0 カウンターは、基準クロ ッ ク と し

て、位相タ ップが 0° の単純な 2 分周を実行するよ うプログラムされています。 O1 カウンター単純

な 2 分周を実行するよ うプログラムされていますが、VCO からの 180°の位相タップを使用します。

このカウンター設定を使用する と、 基準ク ロ ッ クのエッジに揃えられてデータが送信される DDRインターフェイス用のクロ ッ クが生成できます。 O2 カウンターは 3 分周するよ うプログラムされ

ています。 O3 の出力は O2 の出力と同じよ うにプログラムされていますが、 位相が 1 サイ クル遅

れている点が異なり ます。 VCO の 1 周期を超える位相シフ トが可能です。

MMCM/PLL が特定の位相関係を実現するよ う設定されている と きに入力周波数が変化する と、 こ

の位相関係も変化します。 これは、 VCO の周波数が変わるためにピコ秒単位の絶対位相も変化す

るためです。 MMCM/PLL を使用した設計を行う際は、 この点を考慮する必要があ り ます。 いくつ

かのクロ ッ ク (CLK や CLK90 など) に対する特定の位相関係の維持が重要となるデザインでは、入

力クロ ッ ク周波数にかかわらず、 この関係は保持されます。

すべての 「O」 カウンターはどれも同じ機能を持つため、 O0 でできるこ とは O1 でも可能です。 た

だし、 7 シ リーズ デバイスでは O0 カウンターのみ分数分周モードで使用できる機能が追加されて

います。 このため、 MMCM/PLL の出力をグローバル ク ロ ッ ク ネッ ト ワークに接続する と出力を

柔軟に使用できるよ うにな り ます。通常、このレベルの詳細設定は MMCM/PLL 属性およびクロ ッ

キング ウ ィザードでの入力に従ってソフ ト ウェア ツールやクロ ッキング ウ ィザードで適切に指定

されるため、 設計者が意識するこ とはあ り ません。

X-Ref Target - Figure 3-9

図 3-9 : VCO の位相の選択

One Cycle Delay

CounterOutputs

VCO8 Phases

45°

90°

135°

180°

225°

270°

315°

O0

O1

O2

O3

ug472_02_09_061710

Page 91: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 91UG472 (v1.11) 2014 年 11 月 19 日

基準クロックの切り替え

基準クロックの切り替え

MMCM と PLL の基準クロ ッ クは、 CLKINSEL ピンを使用して動作中に切り替えるこ とができま

す。切り替えは非同期です。クロ ッ クが切り替わる と MMCM/PLL は LOCKED 状態が解除される

と考えられますが、 新しいクロ ッ クに自動的にロ ッ ク します。 したがって、 ク ロ ッ クが切り替わる

と MMCM/PLL はリセッ ト状態にする必要があ り ます。 図 3-10 に、 マルチプレクサーを使用した

MMCM/PLL のクロ ッ ク切り替えを示します。 CLKINSEL 信号は、 マルチプレクサーを直接制御

します。 同期化ロジッ クはあ り ません。

入力クロックまたはフ ィードバック クロックの欠如

入力ク ロ ッ ク またはフ ィ ー ド バ ッ ク ク ロ ッ ク が失われた場合は、 CLKINSTOPPED または

CLKFBSTOPPED ステータス信号がアサート されます。LOCKED 信号は MMCM によってディア

サート されます。 ク ロ ッ クが戻ってく る と、 CLKINSTOPPED 信号がディアサート されて RESETが適用されます。

MMCM と PLL の使用モデル

このセクシ ョ ンの例は MMCM ですが、 PLL にも適用できます。 MMCM および PLL にはいくつ

かの設計方法があ り ます。 ISE または Vivado デザイン ツールのクロ ッキング ウ ィザードを使用す

る と、 MMCM および PLL の各種パラ メーターが簡単に生成できます。 また、 MMCM をコンポー

ネン ト と して手動でインスタンシエートするこ と もできます。MMCM は IP コアとのマージも可能

で、 その場合、 MMCM は IP コアに含まれ、 IP コアによって管理されます。

クロック ネッ トワークのスキュー調整

MMCM の使用法と して も一般的なのが、 ク ロ ッ ク ネッ ト ワークのスキュー調整です。 図 3-11および図 3-12 に、 このモードの MMCM を示します。 CLKOUT カウンターのいずれか 1 つから

のクロ ッ ク出力を用いて、 ファブ リ ッ クや I/O 内のロジッ クを駆動します。 フ ィードバッ ク カウン

ターは、入力クロ ッ ク と出力クロ ッ ク間の正確な位相関係 (90° 位相シフ ト させるなど) を制御する

ために使用されます。 入力クロ ッ ク と出力クロ ッ ク間の位相調整が必要な場合における関連クロ ッ

クの波形を図の右側に示します。 図 3-11 に示すコンフ ィギュレーシ ョ ンが も柔軟性のあるもの

ですが、 2 つのグローバル ク ロ ッ ク ネッ ト ワークが必要とな り ます。

X-Ref Target - Figure 3-10

図 3-10 : 入力クロックの切り替え

BUFGIBUFG (CC)

BUFRGT

BUFHLocal Rounting

(not recommended)

BUFGIBUFG (CC)

BUFRGT

BUFHLocal Rounting

(not recommended)UG472_c2_10_012712

CLKINSEL

CLKIN1

CLKIN2

MMCM/PLLCLKIN

Page 92: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

92 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

X-Ref Target - Figure 3-11

図 3-11 : 2 つの BUFG を使用したグローバル クロック ネッ トワークのスキュー調整

X-Ref Target - Figure 3-12

図 3-12 : 2 つの BUFH を使用した水平クロック ネッ トワークのスキュー調整

CLKIN1

CLKFBIN

RST

MMCM

IBUFG

1 2 4 5

3

BUFG

BUFG

To Logic

6

UG472_c2_11_061710

6

5

4

3

2

1

CLKOUT0

CLKOUT0B

CLKOUT1

CLKOUT1B

CLKOUT2

CLKOUT2B

CLKOUT3

CLKOUT3B

CLKOUT4

CLKOUT5

CLKOUT6

CLKFBOUT

CLKFBOUTB

LOCKED

CLKIN1

CLKFBIN

RST

MMCM

IBUFG

1 2 4 5

3

BUFH

BUFH

To Logic

6

UG472_c2_17_011712

6

5

4

3

2

1

CLKOUT0

CLKOUT0B

CLKOUT1

CLKOUT1B

CLKOUT2

CLKOUT2B

CLKOUT3

CLKOUT3B

CLKOUT4

CLKOUT5

CLKOUT6

CLKFBOUT

CLKFBOUTB

LOCKED

Page 93: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 93UG472 (v1.11) 2014 年 11 月 19 日

MMCM と PLL の使用モデル

フ ィードバッ クのインプリ メン トにはいくつかの制約があ り ます。 CLKFBOUT 出力は、 フ ィード

バッ ク ク ロ ッ ク信号と しても使用できます。MMCM が BUFG および BUFH の両方を駆動してい

る場合、 フ ィードバッ ク パスでも使用される これらのク ロ ッ ク バッファーの う ちの 1 つしかス

キュー調整されません。 基本的な制約と して 2 つの PFD に対する入力の周波数は同一でなければ

なり ません。 したがって、 次の関係を満たす必要があ り ます。

式 3-11

たとえば、 ƒIN = 166MHz、 D = 1、 M = 6、および O = 2 の場合、 VCO = 996MHz でクロ ッ ク出力

周波数は 498MHz とな り ます。 フ ィードバッ ク パスの M 値は 6 であるため、 PFD での 2 つの入

力周波数は 166MHz です。

も う少し複雑な例と して、 入力周波数が 66.66MHz で、 D = 2、 M = 30、 O = 4 の場合を考えてみ

ます。 この場合、 VCO の周波数は 1000MHz で CLKOUT 出力の周波数は 250MHz とな り ます。

したがって、 PFD のフ ィードバッ ク周波数は 1000/30 または 33.33MHz で、 PFD の入力周波数

66.66MHz/2 に一致します。

内部フ ィードバックを使用した MMCM

MMCM を合成回路またはジッター フ ィルターと して使用し、かつ MMCM の入力クロ ッ ク と出力

クロ ッ ク間に特別な位相関係が必要ない場合は、 MMCM 内部でフ ィードバッ ク させるこ とができ

ます。 この場合、 フ ィードバッ ク ク ロ ッ クはコア電源から電力供給を受けているブロ ッ クを通過し

ないため、 この電源のノ イズの影響を受けるこ とがなく、MMCM のパフォーマンスが向上します。

当然のこ とながら、 CLKIN 信号および BUFG のノ イズはあ り ます (図 3-13 参照)。

fIND------- fFB

fVCO

M-------------= =

X-Ref Target - Figure 3-13

図 3-13 : 内部フ ィードバックを使用した MMCM

CLKIN1

CLKFBIN

RST

MMCM

IBUFG BUFG

To LogicCLKOUT0

CLKOUT0B

CLKOUT1

CLKOUT1B

CLKOUT2

CLKOUT2B

CLKOUT3

CLKOUT3B

CLKOUT4

CLKOUT5

CLKOUT6

CLKFBOUT

CLKFBOUTB

LOCKED

UG472_c2_12_061710

Page 94: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

94 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

ゼロ遅延バッファー

MMCM を使用する こ とで、 ゼロ遅延バッファー ク ロ ッ クを生成する こ と もできます。 ゼロ遅延

バッファーは、 1 つのクロ ッ ク信号が、 複数のデスティネーシ ョ ンに低スキューで接続されている

アプリ ケーシ ョ ンで有用です。 このコンフ ィギュレーシ ョ ンを図 3-14 に示します。 こ こでは、チッ

プ外からフ ィードバッ ク信号が入力され、 ボード ト レース フ ィードバッ クが外部コンポーネン ト

への ト レース と一致するよ う設計されています。 このコンフ ィギュレーシ ョ ンでは、 ク ロ ッ ク エッ

ジは FPGA の入力および外部コンポーネン ト の入力で揃 う こ と を想定しています。 CLKIN とCLKFBIN の入力クロ ッ ク バッファーは同じバンクに存在しなければなり ません。

外部コンポーネン トの入力容量と FPGA のフ ィードバッ ク パスの容量間に負荷の差異があるため、

場合によっては、 正確な位相調整ができないこ とがあ り ます。 たとえば、 外部コンポーネン トの入

力容量値は 1pF ~ 4pF の範囲ですが、 FPGA の場合は約 8pF です。 信号の傾きの差、 基本的には

スキューが発生します。 タイ ミ ングを満たすにはこの影響を考慮する必要があ り ます。

2 つの CMT の接続

MMCM および PLL は、 CMT バッ クボーン経由で CLKOUT0 から CLKOUT3 を使用してカス

ケード接続でき、 よ り広範囲のク ロ ッ ク周波数を生成可能です。 CMT バッ クボーンを使用する場

合、バッファーは不要です (図 3-15 および図 3-16 参照)。 バッ クボーンでの遅延は補正されないた

め、 2 つの MMCM の出力クロ ッ ク間には位相オフセッ トがあ り ます。

ただし、 生成できる周波数範囲には制限があ り ます。 2 つの MMCM (図 3-15 および図 3-16 参照)の入力周波数およびカウンター設定と 終的な出力周波数の間には、 式 3-12 に示す関係があ り ま

す。入力クロ ッ ク と 2 つ目の MMCM の出力クロ ッ クの位相関係は未定義です。バッ クボーン接続

は遅延が補正されないため、2 つの MMCM 間には位相オフセッ トが追加されます。2 つの MMCMをカスケード接続するには、1 つ目の MMCM の出力を 2 つ目の MMCM の CLKIN ピンに接続し

ます。 このよ う に接続する と、 デバイ スのジ ッ ターを 小限に抑える こ と ができ ます。 反転

X-Ref Target - Figure 3-14

図 3-14 : ゼロ遅延バッファー

CLKIN1

CLKFBIN

RST

MMCM

IBUFGInside FPGA

OBUF

ToExternalComponents

BUFG

BUFG

CLKOUT0

CLKOUT0B

CLKOUT1

CLKOUT1B

CLKOUT2

CLKOUT2B

CLKOUT3

CLKOUT3B

CLKOUT4

CLKOUT5

CLKOUT6

CLKFBOUT

CLKFBOUTB

LOCKED

ug472_c2_13_061710

Page 95: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 95UG472 (v1.11) 2014 年 11 月 19 日

MMCM と PLL の使用モデル

CLKOUTxB 出力を使用したカスケード接続はできません。

式 3-12fOUTMMCM2 fOUTMMCM1

MMMCM2

DMMCM2 OMMCM2-------------------------------------------------- fIN

MMMCM1

DMMCM1 OMMCM1--------------------------------------------------

MMMCM2

DMMCM2 OMMCM2--------------------------------------------------= =

X-Ref Target - Figure 3-15

図 3-15 : クロック エッジを揃えずにカスケード接続した 2 つの MMCM

X-Ref Target - Figure 3-16

図 3-16 : 可能な限りクロック エッジを揃えてカスケード接続した 2 つの MMCM

CLKIN1

CLKFBIN

RST

CLKIN1

CLKFBIN

RST

MMCM

To Logic

IBUFG BUFG

To Logic

BUFG

ug472_c2_14_061710

CLKOUT0

CLKOUT0B

CLKOUT1

CLKOUT1B

CLKOUT2

CLKOUT2B

CLKOUT3

CLKOUT3B

CLKOUT4

CLKOUT5

CLKOUT6

CLKFBOUT

CLKFBOUTB

LOCKEDMMCM

CLKOUT0

CLKOUT0B

CLKOUT1

CLKOUT1B

CLKOUT2

CLKOUT2B

CLKOUT3

CLKOUT3B

CLKOUT4

CLKOUT5

CLKOUT6

CLKFBOUT

CLKFBOUTB

LOCKED

CLKIN1

CLKFBIN

RST

CLKIN1

CLKFBIN

RST

MMCM

To Logic

IBUFG BUFG

To Logic

BUFG

ug472_c2_15_042611

CLKOUT0

CLKOUT0B

CLKOUT1

CLKOUT1B

CLKOUT2

CLKOUT2B

CLKOUT3

CLKOUT3B

CLKOUT4

CLKOUT5

CLKOUT6

CLKFBOUT

CLKFBOUTB

LOCKEDMMCM

Uncompensated Delay

To Logic

BUFG

CLKOUT0

CLKOUT0B

CLKOUT1

CLKOUT1B

CLKOUT2

CLKOUT2B

CLKOUT3

CLKOUT3B

CLKOUT4

CLKOUT5

CLKOUT6

CLKFBOUT

CLKFBOUTB

LOCKED

Page 96: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

96 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

スペク ト ラム拡散クロック生成

スペク ト ラム拡散クロ ッ ク生成 (SSCG) は、 エレク ト ロニクス デバイスで生成される EMI のスペ

ク トル密度を低減するためその製造者の間で広く使用されています。EMI 放出レベルが近接するほ

かの電子デバイスの動作に影響を与えるレベルにならないよ うにしなければなり ません。たとえば、

電話がビデオ ディ スプレイの隣にあっても通話の質が落ちるよ うなこ とがあってはなり ません。同

様に、 ディ スプレイも電話使用中に影響を受けるこ とがないよ うにします。

電磁適合性 (EMC) に関する規制は、 こ う した電磁妨害を引き起こすノ イズや EMI を抑制するため

のものです。EMC 要件に適合させるための一般的手段と しては、高額なシールド、フェライ ト ビー

ズ、 チ ョークなどを追加する方法があ り ます。 こ う した方法は、 PCB の配線を複雑にしたり、製品

開発サイクルが長くなるなどして、 終製品のコス トに影響する可能性があ り ます。

SSCG は、 電磁エネルギーを幅広い周波数帯域に拡散させ、 狭い周波数の幅の中で計測された電磁

界強度を効果的に抑制します。ある周波数でのピーク電磁エネルギーは SSCG 出力をモジュール化

するこ とで低減されます。

SS_EN が TRUE に設定されている場合、 MMCME2 は固定周波数のオシレーターからスペク ト ラ

ム拡散ク ロ ッ クを生成できます (図 3-17 参照)。 MMCME2 内では、 VCO 周波数は CLKFBOUTおよび CLKOUT[6:4,1,0] と共に変調されます。 ク ロ ッ ク出力 CLKOUT[3:2] は変調周期の制御に

使用されますが、 一般的な使用では利用できません。 ク ロ ッ ク周波数が低速で調整される限り、

MMCME2 の周期ジッターがスペク ト ラム拡散の影響を受けるこ とはあ り ません。

変調周期 SS_MOD_PERIOD を調整する こ と で、 FPGA 設計者は、 ソ フ ト ウ ェ ア ツールが

MMCME2 の設定に基づき も近い変調周期を選択するよ うに指定できます。変調周波数が 30kHzの可聴周波数帯域よ り も高い間は、 スペク ト ラム拡散変調によって EMI が低減されます。 一般に、

設計者は、 スペク ト ラム拡散の影響を 小限に抑えるためによ り低い変調周波数を使用します。

周波数偏差を SS_MODE (CENTER_HIGH または DOWN_HIGH) で大き くする と、相対的な EMIが削減されますが、 周波数帯域が広くなったこ とによってシステム全体の動作へ影響が及ばないよ

うに注意が必要です (図 3-18 参照)。 スペク ト ラム拡散クロ ッ クおよび入力クロ ッ クは異なる周波

数で動作しているため、ク ロ ッ ク ド メ イン間におけるデータ転送でデータが失われないよ うに非同

期 FIFO を使用する必要があ り ます。 周波数偏差を大き くする と、 よ り大きな FIFO が必要とな り

ます。

X-Ref Target - Figure 3-17

図 3-17 : センター拡散変調

Modulation Period

FrequencyDeviation

UG472_c3_01_070212

FIN

Fre

quen

cy

Time

Page 97: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 97UG472 (v1.11) 2014 年 11 月 19 日

MMCM と PLL の使用モデル

デザインにおける別の ト レードオフと して、 センター拡散またはダウン拡散のいずれを使用するか

を決定する こ と が考え られます。 SS_MODE (DOWN_HIGH、 DOWN_LOW) を選択する と、

図 3-19 に示すよ うに低い周波数への広がりが可能です。OWN_HIGH は、CENTER_LOW と類似

した周波数偏差とな り ます。

ダウン拡散を使用する場合、 スペク ト ラム拡散によるタイ ミ ング解析への影響を考慮しなければな

らないこ とが多くあ り ます。 スペク ト ラム拡散クロ ッ クを使用するデザインは、 周波数偏差内で

も高い周波数でタイ ミ ングを満たす必要があ り ます。したがって、SS_MODE (CENTER_LOW) の100MHz ク ロ ッ クによ って 3% (±1.5%) のセン ター拡散が生じ る と きは、 3% センター拡散の

100MHz ク ロ ッ クは、101.5MHz ク ロ ッ ク と してタイ ミ ング解析でエラーが発生しないよ うにしま

す。 しかし、 SS_MODE (DOWN_HIGH) によって 3% のダウン拡散が生じる場合、 入力周波数は

周波数偏差内で も高い周波数とな り ます。結果、 3% のダウン拡散の 100MHz ク ロ ッ クについて

は、 ダウン拡散クロ ッ クはタイ ミ ング解析では 100MHz ク ロ ッ ク と して継続的に解析されます。

周波数帯域を拡散内で実現させるタイ ミ ング制約の調整例と して、 周波数帯域を拡大させた分を加

味するよ うに入力周波数を手動で調整できます (表 3-9 参照)。

X-Ref Target - Figure 3-18

図 3-18 : センター拡散変調

(CENTER_LOW および CENTER_HIGH)

X-Ref Target - Figure 3-19

図 3-19 : ダウン拡散変調

Fre

quen

cy

Time

CENTER_LOW

FIN CENTER_HIGH

UG472_c3_02_070212

Fre

quen

cy

Time

DOWN_LOW

FIN

DOWN_HIGH

UG472_c3_03_070212

Page 98: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

98 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

入力クロ ッ クが 25MHz の場合、 新しいタイ ミ ング制約は次のとおりです。

• SS_MODE(CENTER_HIGH) = 25 x 56/55 = 25.45MHz

• SS_MODE (CENTER_LOW) = 25 x 112/111 = 25.23MHz

• SS_MODE (DOWN_HIGH) = 25MHz

• SS_MODE (DOWN_LOW) = 25MHz

入力クロ ッ クが 80MHz の場合、 新しいタイ ミ ング制約は次のとおりです。

• SS_MODE(CENTER_HIGH) = 80 x 44/43 = 81.86MHz

• SS_MODE (CENTER_LOW) = 80 x 88/87 = 80.92MHz

• SS_MODE (DOWN_HIGH) = 80MHz

• SS_MODE (DOWN_LOW) = 80MHz

ダウン拡散使用時の平均出力周波数は入力周波数よ り も低いため、入力クロ ッ ク ド メ インおよび出

力クロ ッ ク ド メ イン間でのデータ転送には非同期 FIFO を使用する必要があ り ます。MMCME2 内

表 3-9 : 入力周波数を用いたスペク ト ラム拡散タイ ミングの手動による調整

パラメーター 入力周波数 (MHz) M 入力周波数の調整 (FIN_SS)

SS_MODE(CENTER_HIGH)

25 < FIN < 35 M = 28 FIN_SS = FIN x 56/55

35 < FIN < 50M = 21 FIN_SS = FIN x 42/41

M = 22 FIN_SS = FIN x 44/43

50 < FIN < 75 M = 28 FIN_SS = FIN x 56/55

75 < FIN < 150M = 21 FIN_SS = FIN x 42/41

M = 22 FIN_SS = FIN x 44/43

SS_MODE (CENTER_LOW)

25 < FIN < 35 M = 56 FIN_SS = FIN x 112/111

35 < FIN < 50M = 42 FIN_SS = FIN x 84/83

M = 44 FIN_SS = FIN x 88/87

50 < FIN < 75 M = 56 FIN_SS = FIN x 112/111

75 < FIN < 150M = 42 FIN_SS = FIN x 84/83

M = 44 FIN_SS = FIN x 88/87

SS_MODE (DOWN_HIGH)

25 < FIN < 35 M = 28 FIN_SS = FIN

35 < FIN < 50 M = 21、 22 FIN_SS = FIN

50 < FIN < 75 M = 28 FIN_SS = FIN

75 < FIN < 100 M = 21、 22 FIN_SS = FIN

100 < FIN < 150 M = 21、 22 FIN_SS = FIN

SS_MODE (DOWN_LOW)

25 < FIN < 35 M = 56 FIN_SS = FIN

35 < FIN < 50 M = 42、 44 FIN_SS = FIN

50 < FIN < 75 M = 56 FIN_SS = FIN

75 < FIN < 100 M = 42、 44 FIN_SS = FIN

100 < FIN < 150 M = 42、 44 FIN_SS = FIN

Page 99: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 99UG472 (v1.11) 2014 年 11 月 19 日

MMCM と PLL の使用モデル

のロジッ クは、与えられた入力周波数および SS_MOD_PERIOD に基づいてスペク ト ラム拡散変調

を制御します。 表 3-10 に示す制約は、 スペク ト ラム拡散の生成時に適用されます。

表 3-10 : MMCME2 のスペク ト ラム拡散の生成における制約

パラメーター 値

FMODULATION 小 25 [kHz]

大 250 [kHz]

入力クロ ッ ク周波数 小 25 [MHz]

大 150 [MHz]

SS_MODE(CENTER_HIGH)25MHz < FIN < 35MHz

M = 28D = 1

35MHz < FIN < 50MHz M = 21、 22D = 1

50MHz < FIN < 75MHzM = 28D = 2

75MHz < FIN < 100MHz M = 21、 22D = 2

100MHz < FIN < 150MHz M = 21、 22D = 3

SS_MODE (CENTER_LOW)25MHz < FIN < 35MHz

M = 56D = 2

35MHz < FIN < 50MHz M = 42、 44D = 2

50MHz < FIN < 75MHzM = 56D = 4

75MHz < FIN < 100MHz M = 42、 44D = 4

100MHz < FIN < 150MHz M = 42、 44D = 6

SS_MODE (DOWN_HIGH)25MHz < FIN < 35MHz

M = 28D = 1

35MHz < FIN < 50MHz M = 21、 22D = 1

50MHz < FIN < 75MHzM = 28D = 2

75MHz < FIN < 100MHz M = 21、 22D = 2

100MHz < FIN < 150MHz M = 21、 22D = 3

Page 100: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

100 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

スペク ト ラム拡散の生成時、 VCO 周波数は入力周波数および SS_MODE に基づき ク ロ ッキング

ウ ィザードで設定されます。 したがって、 ク ロ ッキング ウ ィザードでは、 CLKOUT[6:4,1,0] の出

力周波数を設定するこ とが推奨されます。

さ らに、 VCO 周波数および SS_MOD_PERIOD に基づいて、変調周波数が SS_MOD_PERIODの 10% 内となるよ うに正しい変調の値も ク ロ ッキング ウ ィザードで決定します。 変調周波数は

VCO 周波数によって異なるため、コンパイルに応じて入力周波数が変わるたびに変調周波数を調

整します。

SS_MODE (DOWN_LOW)25MHz < FIN < 35MHz

M = 56D = 2

35MHz < FIN < 50MHz M = 42、 44D = 2

50MHz < FIN < 75MHzM = 56D = 4

75MHz < FIN < 100MHz M = 42、 44D = 4

100MHz < FIN < 150MHz M = 42、 44D = 6

CLKOUT[3:2]_DIVIDE N/A

CLKOUT[6:4,1,0]_DIVIDE 1 ~ 128

帯域幅 低

表 3-10 : MMCME2 のスペク ト ラム拡散の生成における制約 (続き)

パラメーター 値

Page 101: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 101UG472 (v1.11) 2014 年 11 月 19 日

MMCM と PLL の使用モデル

MMCM のアプリケーシ ョ ン例

次のよ うに MMCM の属性を設定する と、 さまざまな合成クロ ッ クが生成されます。

CLKOUT0_PHASE = 0;CLKOUT0_DUTY_CYCLE = 0.5;CLKOUT0_DIVIDE = 2;CLKOUT1_PHASE = 90;CLKOUT1_DUTY_CYCLE = 0.5;CLKOUT1_DIVIDE = 2;CLKOUT2_PHASE = 0;CLKOUT2_DUTY_CYCLE = 0.25;CLKOUT2_DIVIDE = 4;CLKOUT3_PHASE = 90;CLKOUT3_DUTY_CYCLE = 0.5;CLKOUT3_DIVIDE = 8;CLKOUT4_PHASE = 0;CLKOUT4_DUTY_CYCLE = 0.5;CLKOUT4_DIVIDE = 8;CLKOUT5_PHASE = 135;CLKOUT5_DUTY_CYCLE = 0.5;CLKOUT5_DIVIDE = 8;CLKFBOUT_PHASE = 0;CLKFBOUT_MULT_F = 8;DIVCLK_DIVIDE = 1;CLKIN1_PERIOD = 10.0;

図 3-20 に、 波形を示します。

ダイナミ ック リコンフ ィギュレーシ ョ ン ポート

DRP の使用については、 アプ リ ケーシ ョ ン ノー ト 『MMCM および PLL のダイナミ ッ ク リ コン

フ ィギュレーシ ョ ン』 (XAPP888) および関連する リ ファレンス デザインを参照して ください。

X-Ref Target - Figure 3-20

図 3-20 : 波形の例

REFCLK

VCOCLK

CLKOUT0

CLKOUT1

CLKOUT2

CLKOUT3

CLKOUT4

CLKOUT5

UG472_c2_16_061710

Page 102: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

102 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

第 3 章 : クロック マネージメン ト タイル

VHDL/Verilog テンプレート、 およびクロッキング ウィザード

すべてのクロ ッ ク リ ソース プリ ミ ティブの VHDL/Verilog コード と ISE または Vivado デザイン

ツールの言語テンプレートは 『ラ イブラ リ ガイ ド』 から入手できます。

ク ロ ッキング ウ ィザードを使用する と、 7 シ リーズ の MMCM および PLL リ ソースを適切に設定

できます。 また、 ク ロ ッキング ウ ィザードではジッ ターがレポート され、 位相や周波数合成もサ

ポート されます。

Page 103: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 103UG472 (v1.11) 2014 年 11 月 19 日

付録 A

複数の領域におけるクロッキング

はじめに

7 シ リーズ FPGA における複数のクロ ッ ク領域にまたがるクロ ッキングおよび I/O インターコネク

ト ロジッ クは、従来のザイ リ ンクス FPGA ファ ミ リ とは異なり ます。1 つのクロ ッ ク領域にある I/O と ロジッ ク リ ソースの数が増加したため、複数のクロ ッ ク領域に渡るクロ ッ ク信号の必要性は減

り ましたが、 このよ うな広範な I/O インターフェイスでは複数のクロ ッ ク領域に渡るインターコネ

ク トや I/O ロジッ クを駆動する必要があ り ます。 7 シ リーズ FPGA の BUFMR/BUFMRCE プリ ミ

ティブでは、 CC 入力ピンが同じ領域とその上下領域にある BUFIO や BUFR を駆動できます。 こ

の章では、複数のクロ ッ ク領域にまたがるク ロ ッ ク信号を駆動する BUFIO および BUFR ク ロ ッ ク

バッファーの使用について説明します。

すべての 7 シ リーズ FPGA はクロ ッ ク領域と呼ばれるエリ アに区分されています。1 ク ロ ッ ク領域

の幅は、 デバイスの中央にあるグローバル ク ロ ッキング カラムからデバイスの左端または右端ま

でとな り、 高さは CLB 50 個分です (図 A-1 参照)。

各ク ロ ッ ク領域にはそれぞれのリ ソースがあ り ます。 水平方向のクロ ッ ク列 (図 A-2 参照) は、 ク

ロ ッ ク領域の中央に位置しており、 上下それぞれに CLB が 25 個あり ます。 各クロ ッ ク領域の I/O

X-Ref Target - Figure A-1

図 A-1 : 7 シリーズクロッキング アーキテクチャの例

7 Series FPGAs Architecture 7 Series FPGAs Clock Region

Global C

lock Colum

n

CM

T C

olumn

I/O C

olumn

ug472_aA_01_ 022811

Page 104: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

104 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

付録 A : 複数の領域におけるクロッキング

カラムの高さは、 I/O 50 個分で、 1 個の I/O バンク と同じです。各クロ ッ ク領域の I/O バンクには、

4 つのクロ ッ ク兼用入力 (CCIO) ピンがあ り ます (水平クロ ッ ク列の上と下に 2 つずつ)。

複数の領域に広がるクロッキング

1 つのクロ ッ ク領域にある I/O と ロジッ ク リ ソースの数が増加したため、複数のクロ ッ ク領域に渡

るクロ ッ ク信号の必要性は減り ましたが、デザインによっては複数のクロ ッ ク領域にまたがる 1 つの入力ソースから同じクロ ッ ク信号を駆動する大規模な I/O インターフェイスが必要です。 その他

のプ リ ミ テ ィブを使用せずに CCIO ピンを介してデバイスへ入る ク ロ ッ ク信号は、 同じ領域の

BUFR/BUFIO しか駆動できません。複数のクロ ッ ク領域にあるインターコネク ト ロジッ ク (例 : スラ イス フ リ ップフロ ップ、 ブロ ッ ク RAM、 DSP スラ イス) および I/O ロジッ ク (例 : ISERDES、OSERDES、 ILOGIC、 OLOGIC、 IDELAY、 ODELAY) へクロ ッ クを供給するための入力クロ ッ

ク信号が必要になるデザインもあ り ます。 これには、 BUFMR プリ ミ ティブを使用する必要があ り

ます。

BUFMR プリ ミテ ィブ

BUFMR プリ ミ ティブは、 ク ロ ッ ク信号がデバイスに入る上下領域の BUFR およびBUFIO へアク

セスできるよ うにするマルチ リージ ョナル ク ロ ッ ク バッファーです (図 A-3 参照)。各クロ ッ ク領

域には 2 つの BUFMR があ り ます。 BUFMR は、 CMT カラムにある専用の低スキュー ク ロ ッ ク

リ ソースを駆動し、 ク ロ ッ ク信号を複数の領域へ駆動する場合のスキューを 小限に抑えます。

X-Ref Target - Figure A-2

図 A-2 : クロック領域のリソース

HorizontalClock Row

CMT Column

PLL

MMCM

CCIO

CCIO

I/O Columnug472_aA_02_022811

Page 105: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 105UG472 (v1.11) 2014 年 11 月 19 日

使用モデル

すべての BUFMR は、同一領域とその上下領域の BUFR および BUFIO を駆動できます。BUFMRは、同一クロ ッ ク領域の CCIO またはギガビッ ト ト ランシーバー (GT) 出力で駆動されます。 これ

によ り、 CCIO および GT 出力クロ ッ クは同じ回路ト ポロジを使用して複数の領域に広がるこ とが

できるよ うにな り ます。各クロ ッ ク領域にある 4 つの CCIO のうち、2 つが BUFMR を駆動できま

す。 これらのピン名には MRCC が付き、 複数の領域へアクセス可能である こ と を示しています。

BUFMR を駆動できない 2 つの CCIO は SRCC となっており、 単一領域の CCIO であるこ とを示

しています。 すべての MRCC ピンには、 マスター (P 側) と スレーブ (N 側) があ り ます。 MRCCピンを使用して BUFMR を駆動する場合は、 マスター (P 側) のみ使用します。 マスター (P 側) の認識方法は、 ピン名に P があるかを確認します (例:IO_LxxP_Tx_MRCC_xx)。

7 シ リーズ FPGA アーキテクチャには、Virtex®-6 FPGA が備えていた BUFR への GT 入力があ り

ませんが、 BUFMR はクロ ッ ク領域にある任意の GT ク ロ ッ ク入力信号とできます。 BUFMR はク

ロ ッ ク領域にある任意の GT ク ロ ッ ク入力信号とできます。 これによ り、 GT ク ロ ッ クは図 A-3 で示すよ うな同じ回路トポロジを使用して複数の領域へ渡るこ とができます。 また、 BUFMR は、 ス

タ ッ ク ド シ リ コン インターコネク ト テク ノ ロジを使用してデバイスの SLR (Super-Logic Region)境界を超えるこ とはできません。

使用モデル

BUFMR を使用して複数の領域にあるロジッ クを駆動する場合は、複数の BUFR または BUFIO で駆動されているロジッ クを 大 3 つのサブセッ トにグループ化します。 Vivado デザイン ツールを

使用して、個々の BUFR および BUFIO にロジッ クが割り当てられるよ うにデザインのフロアプラ

ンと制約を指定します。

わかり易く説明するために、 次のク ロ ッキング手法では MRCC を入力と して使用していますが、

GT ク ロ ッ ク も使用可能です。 これらの例では、 BUFR のビルト イン分周機能を使用した場合のト

ポロジを示しています。 BUFR は、 1 ~ 8 までの整数値で分周できます。 分周値は、 設計中に

BUFR_DIVIDE 属性で指定します。 さ らに、 BUFR には分周機能をオフにして、 出力クロ ッ ク イ

X-Ref Target - Figure A-3

図 A-3 : BUFMR プリ ミテ ィブ

HorizontalClock Row

CMT Column

PLL

MMCM

MRCCSRCC

SRCCMRCC

I/O Columnug472_aA_03_022811

Serial TransceiverClocksBUFMR

Dedicated R

outing

Page 106: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

106 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

付録 A : 複数の領域におけるクロッキング

ネーブル (CE) および分周ロジッ クの非同期ク リ ア (CLR) を無効にする BYPASS 設定があ り ます。

BUFR の詳細は、 第 2 章の 「BUFR プリ ミ ティブ」 を参照してください。

クロック領域を超えたクロック アライメン ト

BUFR_DIVIDE = BYPASS または BUFR_DIVIDE = 1 属性を使用した場合の BUFR プリ ミ テ ィ

ブの動作は同一ですが、 BUFR の遅延は BUFR_DIVIDE = BYPASS を使用し た方が

BUFR_DIVIDE = 1 の場合よ り も小さ くな り ます。 ただし BUFR_DIVIDE = 2、 3…8 と した場合

の BUFR の遅延は、 BUFR_DIVIDE = 1 の場合と同じです。 したがって、 分周機能付きの BUFRを使用する場合、 ク ロ ッ ク領域を超えて 良のク ロ ッ ク アラ イ メ ン ト を行 う には、 分周しない

BUFR の BUFR_DIVIDE 属性を BYPASS ではなく 1 に設定する必要があ り ます。

1 つのクロック領域に 1 つのバッファー

複数 BUFIO の駆動

3 つのクロ ッ ク領域で I/O ロジッ クのみを駆動する場合は、 BUFMR が 3 つの BUFIO を駆動でき

ます。 これは BUFR でも可能ですが、 BUFIO によるパフォーマンスが 良であ り、 I/O カラム内

の専用クロ ッ クネッ ト を駆動します。 3 つの BUFIO によって I/O ロジッ クを 3 つのサブセッ トに

グループ化、 各サブセッ トはそれぞれの BUFIO でクロ ッ ク供給されます (図 A-4 参照)。

X-Ref Target - Figure A-4

図 A-4 : 複数 BUFIO の駆動

BUFMR

MRCC

BUFIO

I/O Logic

BUFIO

I/O Logic

BUFIO

I/O Logic

ug472_aA_04_030111

Clock Region Boundary

Clock Region Boundary

Page 107: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 107UG472 (v1.11) 2014 年 11 月 19 日

使用モデル

複数 BUFR の駆動

3 つのクロ ッ ク領域において、 1 つのクロ ッ ク ソースから同じクロ ッ ク レートでインターコネク ト

ロジッ クおよび I/O ロジッ クを駆動する場合は、 BUFMRCE (ク ロ ッ ク イネーブル付きのマルチ

リージ ョナル ク ロ ッ ク バッファー ) プリ ミ ティブを使用します。 BUFMRCE の詳細は、 第 2 章の

「BUFMR プ リ ミ テ ィブ」 を参照して ください。 BUFMRCE は 3 つの BUFR を駆動し、 その先に

あるインターコネク ト ロジッ クおよび I/O ロジッ クの両方を駆動できます (図 A-5 参照)。 3 つの

BUFR によってク ロ ッ ク供給されているロジッ クは 3 つのサブセッ トにグループ化され、 各サブ

セッ トはそれぞれの BUFR で駆動されます。

BUFR の分周値が指定されている場合、 BUFMRCE が無効の間はすべての BUFR インスタンスを

リセッ トする必要があ り ます。 詳細は、 「BUFR アライ メン ト 」 を参照してください。 図 A-4 およ

び図 A-5 で説明した使用モデルでは、配置ツールによってバッファーが自動的に適切な場所に配置

されています。

1 つのクロック領域に複数のバッファー

複数 BUFR (分周あり ) および複数 BUFIO の駆動

ISERDES/OSERDES の CLK および CLKDIV ピンを駆動する場合は、分周機能が有効に設定され

ている BUFR と併用して BUFIO を使用します。 BUFIO は、 ISERDES/OSERDES の CLK ポー

トへク リーンな低スキュー ク ロ ッ クを駆動し、BUFR は低速な CLKDIV 入力を駆動します。図 A-6の例で、 250MHz の入力クロ ッ クが MRCC ピンを介して FPGA に供給された場合、 BUFIO はフ

ルレートの 250MHz で CLK 入力を駆動し、BUFR_DIVIDE=2 に設定された BUFR は半分のレー

トの 125MHz で CLKDIV 入力を駆動します。

このよ うに複数のバッファーを駆動する場合は、LOC 制約を適用してバッファーを手動で配置しま

す。 バッファーで駆動されているロジッ クは、 適切な位置に自動的に配置されます。

X-Ref Target - Figure A-5

図 A-5 : 複数 BUFR の駆動

BUFMRCE

MRCC

BUFR

BUFR Alignment Circuit

BUFR

InterconnectLogic andI/O Logic

InterconnectLogic and I/O Logic

InterconnectLogic andI/O Logic

BUFR

RST

ug472_aA_05_030111

Clock Region Boundary

Software Automatically Places

Clock Region Boundary

Page 108: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

108 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

付録 A : 複数の領域におけるクロッキング

X-Ref Target - Figure A-6

図 A-6 : 複数 BUFR (分周あり ) および複数 BUFIO の駆動

BUFMRCE

MRCC

BUFIO

BUFR

BUFR Alignment CircuitRST

Clock Region BoundaryBUFIO

BUFR

CLK

CLKDIV

CLK

CLKDIV

BUFIO

BUFR

Clock Region Boundary

CLK

CLKDIV

ISERDES/OSERDES

ISERDES/OSERDES

ISERDES/OSERDES

÷

÷

÷

ug472_aA_06_051311

Page 109: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 109UG472 (v1.11) 2014 年 11 月 19 日

使用モデル

複数 BUFR の駆動 (分周あり /分周なし )

図 A-6 と同様の例で、 BUFIO が使用できない (ほかの目的に使用されている) 場合、 ISERDES/OSERDES の CLK 入力は BUFR で駆動可能です (図 A-7 参照)。

BUFMR が 4 つ以上の BUFR を駆動する場合は、 LOC 制約を適用して BUFR を手動で配置しま

す。 BUFR で駆動されるロジッ クは、 適切な位置に自動的に配置されます。

X-Ref Target - Figure A-7

図 A-7 : 複数 BUFR の駆動 (分周あり /分周なし )

BUFMRCE

MRCC

BUFR

BUFR

BUFR Alignment CircuitRST

Clock Region BoundaryBUFR

BUFR

CLK

CLKDIV

CLK

CLKDIV

ISERDES/OSERDES

ISERDES/OSERDES

ISERDES/OSERDES

BUFR

BUFR

Clock Region Boundary

CLK

CLKDIV

÷

÷

÷

ug472_aA_07_051311

Page 110: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

110 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

付録 A : 複数の領域におけるクロッキング

BUFR アライメン ト

BUFR のビルト イン分周機能 (図 A-6 および図 A-7 参照) を使用している際に、 複数のクロ ッ ク領

域にまたがる BUFR 分周カウンターを揃えるには、ク ロ ッ クを BUFMR で停止し、BUFR にリセッ

ト信号を適用する必要があ り ます。 これには、 BUFMRCE プ リ ミ テ ィブを使用して、 リ セッ ト中

に BUFMR の出力を無効にする必要があ り ます。隣接する領域にある複数の BUFR を揃えるには、

次の手順に従ってください。

• BUFMRCE の CE ポートへクロ ッ ク イネーブル信号を接続する

• BUFMRCE の CE ピンを非アクティブ状態に保持し、 BUFMRCE の出力を無効にする

• BUFR の CLR ピンにリセッ ト信号を適用し、 リセッ ト信号を リ リースして、 すべての BUFRを リセッ トする

• BUFR リセッ ト /CLR 信号がリ リースされた後、 BUFMRCE を再度有効にする

回路の動作中、つま り BUFR のリセッ ト /CLR 信号がディアサート された後にクロ ッ クを停止する

場合は、CE ピンを使用して BUFMRCE を無効にします。 これによって、 ク ロ ッ ク信号が復帰した

場合に BUFR が引き続き確実に揃えられるよ うにな り ます。

Page 111: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 111UG472 (v1.11) 2014 年 11 月 19 日

付録 B

クロック領域のクロック リソースおよび接続

この付録の図では、 さまざまなクロ ッ ク領域におけるクロ ッ ク リ ソースおよび接続を示します。

X-Ref Target - Figure B-1

図 B-1 : Virtex-7 FPGA のクロック領域 (右側)

UG472_aB_01_020812

ClockBackbone

BUFHor

CE

Fabric - Multiple Columns of CLB/Block RAM/DSP

BUFG

BUFMR

Left SideClock

Connections

CE

PLL

CLK

10xDSP48Slices

CLKA

CLKB

5x36KBlock RAMs/

FIFOs

MMCM10xDSP48Slices

5x36KBlock RAMs/

FIFOs

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

25CLBs

25CLBs

CLK

10xDSP48Slices

CLKA

CLKB

5x36KBlock RAMs/

FIFOs

10xDSP48Slices

5x36KBlock RAMs/

FIFOs

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

25CLBs

25CLBs

Fabric - Multiple Columns of CLB/Block RAM/DSP

I/O Bank

SelectIO Logic

25 SelectIO

Logic Resources

SelectIO Logic

SelectIO Logic

SelectIO Logic

SelectIO Logic

BUFIO/BUFR

BUFIO/BUFR

Any I/O Clock

Any I/O Clock

SelectIO Logic

SelectIO Logic

SelectIO Logic

CC

CC

BUFRBUFIO

BUFRBUFIO

GT Quad

GTX/GTH

RX/TXUSRCLKs

RX/TXOUTCLKs

IBUFDS O/ODIV2

GTX/GTH

RX/TXUSRCLKs

RX/TXOUTCLKs

IBUFDS O/ODIV2

GTX/GTH

RX/TXUSRCLKs

RX/TXOUTCLKs

IBUFDS O/ODIV2

GTX/GTH

RX/TXUSRCLKs

RX/TXOUTCLKs

IBUFDS O/ODIV2

HROW

HorizontalClocking

Row

25 SelectIO

Logic Resources

Page 112: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

112 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

付録 B : クロック領域のクロック リソースおよび接続

X-Ref Target - Figure B-2

図 B-2 : Kintex-7 FPGA および Artix-7 XC7A15T、 XC7A35T、 XC7A50T、 XC7A75T、 XC7A100T のクロック領域

I/O バンクあり、 GT ト ランシーバーなし (右側)

UG472_aB_02_011713

ClockBackbone

I/O Bank

SelectIO Logic

Horizontal Clocking Row

BUFHor

CE

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

Fabric - Multiple Columns of CLB/Block RAM/DSP

CLKA

CLKB

5x36KBlock RAMs/

FIFOs

5x36KBlock RAMs/

FIFOs

25 CLBs

25 SelectIOLogic Resources

BUFG

CE

CLK

10xDSP48Slices

PLL/PHASER

10xDSP48Slices

MMCM

SelectIO Logic

SelectIO Logic

SelectIO Logic

SelectIO Logic

BUFIO/BUFR

BUFIO/BUFR

Any I/O Clock

Any I/O Clock

SelectIO Logic

SelectIO Logic

SelectIO Logic

25 SelectIOLogic Resources

25 CLBs

CC

CC

BUFR

BUFMR

BUFIO

BUFR BUFIO

Left Side ClockConnections

Page 113: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

7 シリーズ FPGA クロッキング リソース ユーザー ガイド japan.xilinx.com 113UG472 (v1.11) 2014 年 11 月 19 日

X-Ref Target - Figure B-3

図 B-3 : Kintex-7 および Artix-7 XC7A15T、 XC7A35T、 XC7A50T、 XC7A75T、 XC7A100T FPGA のクロック領域、 GT ト ランシーバーあり、 I/O バンクなし (右側)

UG472_aB_03_011713

ClockBackbone

GT Quad

GTX/GTP

RX/TXUSRCLKs

RX/TXOUTCLKs

IBUFDS O/ODIV2

GTX/GTP

RX/TXUSRCLKs

RX/TXOUTCLKs

IBUFDS O/ODIV2

HROW

BUFHor

CE

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

Fabric - Multiple Columns of CLB/Block RAM/DSP

CLKA

CLKB

5x36KBlock RAMs/

FIFOs

5x36KBlock RAMs/

FIFOs

25 CLBs

BUFG

Left Side ClockConnections

CE

CLK

10xDSP48Slices

10xDSP48Slices

GTX/GTP

RX/TXUSRCLKs

RX/TXOUTCLKs

IBUFDS O/ODIV2

GTX/GTP

RX/TXUSRCLKs

RX/TXOUTCLKs

IBUFDS O/ODIV225 CLBs

Page 114: 7 シリーズ FPGA クロッキング リソース ... · 7 シリーズ fpga クロッキング リソース ユーザー ガイド ug472 (v1.11) 2014 年 11 月 19 日 本資料は表記のバージョンの英語版を

114 japan.xilinx.com 7 シリーズ FPGA クロッキング リソース ユーザー ガイド

UG472 (v1.11) 2014 年 11 月 19 日

付録 B : クロック領域のクロック リソースおよび接続

X-Ref Target - Figure B-4

図 B-4 : Artix-7 XC7A200T デバイスのクロック領域、 GTP ト ランシーバーおよび I/O バンクあり (右側)

UG472_aB_04_020812

ClockBackbone

I/O Bank

SelectIO Logic

HROW HorizontalClocking Row

BUFHor

CE

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

CLB

Fabric - Multiple Columns of CLB/Block RAM/DSP

CLKA

CLKB

5x36KBlock RAMs/

FIFOs

5x36KBlock RAMs/

FIFOs

25 CLBs

25 SelectIOLogic Resources

BUFG

Left SideClock

Connections

CE

CLK

10xDSP48Slices

PLL

10xDSP48Slices

MMCM

SelectIO Logic

SelectIO Logic

SelectIO Logic

SelectIO Logic

BUFIO/BUFR

BUFIO/BUFR

Any I/O Clock

Any I/O Clock

SelectIO Logic

SelectIO Logic

SelectIO Logic

25 SelectIOLogic Resources

25 CLBs

CC

CC

BUFR

BUFMR

BUFIO

BUFR BUFIO

GT Quad

GTP

RX/TXUSRCLKs

RX/TXOUTCLKs

IBUFDS O/ODIV2

GTP

RX/TXUSRCLKs

RX/TXOUTCLKs

IBUFDS O/ODIV2

GTP

RX/TXUSRCLKs

RX/TXOUTCLKs

IBUFDS O/ODIV2

GTP

RX/TXUSRCLKs

RX/TXOUTCLKs

IBUFDS O/ODIV2