Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2....

96
Vivado Design Suite ユーザー ガイド リリース ノート、 インストール およびライセンス UG973 (v2015.4) 2015 11 18 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資 料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情 報につきましては、必ず最新英語版をご参照ください。

Transcript of Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2....

Page 1: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite ユーザー ガイド

リ リース ノート、 インス トールおよびライセンス

UG973 (v2015.4) 2015 年 11 月 18 日

本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 2UG973 (v2015.4) 2015 年 11 月 18 日

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 改訂内容

2015 年 11 月 18 日 2015.4 「新機能」 : 2015.4 最新情報を記述 :• 「デバイス サポート 」 を新し く追加

• 「Vivado HL Design Edition ツール」 を新し く追加

• 「Vivado HL System Edition ツール」 を新し く追加

2015 年 9 月 30 日 2015.3 「新機能」 : 2015.3 最新情報を記述 : • 「デバイス サポート 」 を新し く追加

• 「Vivado Design Edition ツール」 を新し く追加

• 「Vivado System Edition ツール」 を新し く追加

「アーキテクチャ サポートおよび要件」 セクシ ョ ンに最新のサポート内容を記述

2015 年 6 月 24 日 2015.2 2015.2 「新機能」 、 「ダウンロードおよびインス トール」 、 「ラ イセンスの取得および管理」 に新しい内容を追加

2015 年 4 月 1 日 2015.1 「新機能」、 「ダウンロードおよびインス トール」、 「ラ イセンスの取得および管理」 に新しい内容を追加

Page 3: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 3UG973 (v2015.4) 2015 年 11 月 18 日

目次

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

第 1 章 : リ リース ノート 2015.4新機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

第 2 章 : アーキテクチャ サポートおよび要件オペレーティング システム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

アーキテクチャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

互換性のあるサードパーティ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

システム要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

第 3 章 : ダウンロードおよびインストールVivado Design Suite ツールのダウンロード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

Vivado Design Suite ツールのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

ケーブル ド ラ イバーのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

その他のツールおよびデバイスの追加 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

ネッ ト ワーク インス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

バッチ モード インス トール フロー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

アップデートの取得 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

Vivado Design Suite ツールのアンインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

第 4 章 : WebTalkWebTalk への参加. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

WebTalk インス トールのプリ ファレンス設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

WebTalk ユーザー プリ ファレンスの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

WebTalk インス トールおよびユーザー プリ ファレンスの確認 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

収集されるデータの種類 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

データの送信 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

第 5 章 : ライセンスの取得および管理ラ イセンス概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

アクティベーシ ョ ン ベース ラ イセンスの生成/インス トール/管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43

証明書ベース ライセンスの生成/インス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

ユーザー マシンでのライセンスの管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

ザイ リ ンクス製品ライセンス サイ トの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

第 6 章 : 以前のバージ ョ ンのリ リース ノートVivado 2015.3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80

Page 4: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 4UG973 (v2015.4) 2015 年 11 月 18 日

既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83Vivado 2015.2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85

既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85Vivado 2015.1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91

既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93

付録 A : その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94Xilinx Documentation Navigator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

ラ イセンスおよびエンド ユーザー ラ イセンス契約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95

ト レーニング リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95

お読みください : 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

Page 5: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 5UG973 (v2015.4) 2015 年 11 月 18 日

第 1 章

リ リース ノート 2015.4

新機能Vivado® Design Suite 2015.4 リ リースから、高位合成ツールである Vivado HLS が無償で提供されるよ うになり、Vivadoのすべてのエディシ ョ ンに含まれるよ うにな り ました。 この変更を示すため、 エディシ ョ ンの名前は Vivado DesignSuite HLx Edition に変更されています。HLx Edition には、HL System Edition、HL Design Edition、および HL WebPACK™Edition があ り ます。 これらのエディシ ョ ンでは、 All Programmable FPGA、 SoC、および再利用可能なプラ ッ ト フォームを高い生産性で設計するための新しい手法がイネーブルになっています。 すべての HLx エディシ ョ ンには Vivado高位合成 (HLS)、 Vivado IP インテグレーター、 LogicCore IP サブシステム、 および Vivado インプ リ メ ンテーシ ョ ンツール スイートすべてが含まれており、生産性が高く、高度な C および IP ベースのデザイン フローを即座に導入できるよ うになっています。

次の一部またはすべてを導入するこ とで、 従来の方法と比較して、 生産性を 10 ~ 15 倍向上できます。

1. C ベース デザインおよび最適化された再利用

2. IP サブシステムの再利用

3. 統合の自動化

4. 高速化されたデザイン ク ロージャ ( 『UltraFast™ 高生産性設計手法ガイ ド』 (UG1197) [参照 1] で説明)

デバイス サポート

この リ リースでは、 次のデバイスが新たにサポート されています。

表 1-1 : Vivado 2015.4 のデバイス サポート

製品レベル Vivado 2015.4 のデバイス サポート

新規デバイス

次の UltraScale+™ デバイスをサポート :• Zynq® UltraScale+ MPSoC デバイス :

° XCZU9EG

• Kintex® UltraScale+ デバイス :

° XCKU9P

パブリ ック アクセス

次のデバイスをプロダクシ ョ ンでサポート (-1 および -2 スピード グレード )• Virtex® UltraScale™ デバイス :

° XCVU125、 XCVU160、 XCVU190、 XCVU440次のデバイスをプロダクシ ョ ンでサポート (-3 および -1L スピード グレード )• Kintex UltraScale デバイス :

° XCKU060、 XCKU085、 XCKU115次のデバイスをプロダクシ ョ ンでサポート (-3 スピード グレード )• Virtex UltraScale デバイス :

° XCVU160、 XCVU190

ビッ トス ト リームの生成 • すべての UltraScale デバイスでビッ ト ス ト リーム生成をイネーブル

Page 6: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 6UG973 (v2015.4) 2015 年 11 月 18 日

第 1 章 : リ リース ノート 2015.4

インストール

Vivado 2015.1 リ リースから、 Linux でのインス トールにルート または sudo 権限は必要なくな り ました。 これまでは、ケーブル ド ラ イバーをイネーブルにするのにこれらの権限が必要でした。 この リ リースでは、 ケーブル ド ラ イバーはルート /sudo コマンド シェルから別のスク リプ ト を実行して手動でインス トールする必要があ り ます。 Linux ケーブル ド ラ イバーのインス トールの詳細は、 「ケーブル ド ラ イバーのインス トール」 を参照してください。

Vivado HL Design Edition ツール

Vivado HLS

Vivado HLS は、 HL WebPACK を含む新しいすべての Vivado HLx Edition に含まれます。

ISE ツールでのみサポート されるデバイスをターゲッ トにできるのは、 この リ リースが最後になり ます。

重要 : Spartan-6 や Virtex-6 などのデバイスをターゲッ ト とするには、このバージ ョ ンの Vivado HLS をアーカイブする必要があ り ます。今後の Vivado HLS リ リースでは、 Vivado IDE でサポート されるデバイスのみがサポート されます。

RTL 合成

• 4 つの RTL ス ト ラテジおよび 5 つの -directive モードを追加

• DSP ブロッ クのパターン検出回路の推論によ り偶数対称丸めをサポート

• -directive オプシ ョ ンの新しい AreaMapLargeShiftRegToBRAM モードによ りシフターを自動的に RAMブロ ッ クに推論

• 新しい UltraScale RAM (UltraRAM) を推論

• カスケード接続された RAMB36E2 および UltraRAM のパイプライン処理によ り、 多重化ロジッ クを節約および消費電力を削減しながら高速パフォーマンスを達成

• 新しい RTL 属性 CASCADE_HEIGHT によ りカスケード接続される RAM ブロ ッ クの数を制御

パーシャル リコンフ ィギュレーシ ョ ン

• UltraScale デバイスのサポート を拡張

° サポート されるすべてのデバイスのプロダクシ ョ ン シ リ コンでパーシャル BIT ファ イルの生成がイネーブルになり、 合計 12 個のデバイスでビッ ト ス ト リーム生成をイネーブル

- すべての ES シ リ コンでは、 ビッ ト ス ト リームの生成はデフォルトでディ スエーブルになっています。

• 詳細は、 『Vivado Design Suite ユーザー ガイ ド : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG909) [参照 5] の 「デバイスのコンフ ィギュレーシ ョ ン」 を参照してください。

Tandem コンフ ィギュレーシ ョ ン

• UltraScale デバイスのサポート を拡張

° サポート されるすべてのデバイスのプロダクシ ョ ン シ リ コンでパーシャル BIT ファ イルの生成がイネーブルになり、 合計 12 個のデバイスでビッ ト ス ト リーム生成をイネーブル

- 検証テス トが完了していない ES シ リ コンおよびプロダクシ ョ ン シ リ コンでは、 ビッ ト ス ト リームの生成はデフォルトでディ スエーブルになっています。

• Tandem コンフ ィギュレーシ ョ ンをサポートするすべてのデバイスでフ ィールド アップデートする Tandem の IP生成をイネーブル。 ただし、 このフローはベータ段階なので、 配置配線はゲーティングされています。 アクセスを希望される場合は、 ザイ リ ンクス サポート までご連絡ください。

Page 7: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 7UG973 (v2015.4) 2015 年 11 月 18 日

第 1 章 : リ リース ノート 2015.4

• Tandem コンフ ィギュレーシ ョ ンの詳細は、 『UltraScale Architecture Gen3 Integrated Block for PCI Express 製品ガイド』 (PG156 : 英語版、 日本語版) を参照してください。

Vivado 物理インプリ メンテーシ ョ ンおよび消費電力ツール

• report_design_analysis コマンドに対応する GUI を Vivado IDE に追加。タイ ミ ング、複雑性、密集解析で、[Device] ウ ィ ン ド ウや [Schematic] ウ ィ ン ド ウへのク ロスプローブがサポート されます。 この GUI を開くには、[Tools] → [Report] メニューまたは Tcl コマンドで -name オプシ ョ ンを使用します。

• report_design_analysis コマンドでは、 現在のデザイン段階または以前の段階でのク リティカル パスをレポート できます。 これによ り、 各段階でツールがどのク リ テ ィカル パスに焦点を置いているのかを確認できます。 config_design_analysis コマンドでこの新しい機能がイネーブルになり ます。

• 新しい report_pipeline_analysis コマンドによ り、デザインにレイテンシ (パイプライン段) を追加した場合のデザイン パフォーマンスの向上を評価し、 新しい Fmax をレポート。 この解析には、 ループ検出、 クロ ッ クごとの解析、 コンテキス トでのモジュール解析が含まれます。

• デザイン run での phys_opt_design の最適化を保存し、 デザイン フローの早期に実行して全体的なデザインパフォーマンスを向上

° ファンアウ ト最適化や BRAM レジスタ最適化などの各最適化は、新しい iphys_opt_design Tcl コマンドによ り表されます。

° 最適化の書き込みおよび読み出しは、 新しい Tcl コマンド write_iphys_opt_tcl および read_iphys_opt_tcl によ り実行されます。

• 密集が発生している UltraScale デザイン用の新しい配置配線 -directive オプシ ョ ンのモードを追加 (Tcl のみ)

注記 : 英語版の 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) では、 これらのモードの分散度が間違って記述されています。 これは、 次のリ リースで修正される予定です。

° place_design コマンドの次のモードでは、 低、 中、 高レベルのロジッ ク分散が実行されます。

- AltSpreadLogic_low

- AltSpreadLogic_medium

- AltSpreadLogic_high

° route_design コマンドには、 AlternateCLBRouting が追加されています。

• 同じ MMCM または PLL をソースとする関連クロッ クに適用可能な新しいネッ ト プロパティ CLOCK_DELAY_GROUPによ り クロッ ク間のタイ ミ ング パスのクロック スキューを削減

• CLOCK_ROOT ネッ ト プロパティを USER_CLOCK_ROOT プロパティに置き換え

° USER_CLOCK_ROOT : ク ロ ッ ク ルート をクロ ッ ク領域に割り当てるプロパティ。CLOCK_ROOT を設定する とUSER_CLOCK_ROOT が設定されますが、 CLOCK_ROOT は廃止予定であるこ とを示す警告メ ッセージが表示されます。

° CLOCK_ROOT は読み出し専用であ り、 ク ロ ッ ク ネッ トのクロ ッ ク ルート を示します。

• UltraScale デバイスをターゲッ ト と した場合に、 opt_design コマンドの一部のブロ ッ ク RAM 消費電力最適化がデフォルトでイネーブル、 power_opt_design コマンドによ り さ らに最適化可能

• UltraScale XPE の [Summary] シートで [Power Optimization] に 3 つのオプシ ョ ンを提供

° [None] : 消費電力最適化なし

° [Default] : opt_design コマンドのデフォルト最適化を使用した場合の BRAM 消費電力予測

° [Power Optimization] : opt_design コマンドのすべての最適化を実行した後 power_opt_design コマンドを実行した場合の BRAM 消費電力予測

• report_synchronizer_mtbf コマンドに FIFO プリ ミ ティブの MTBF を含める

Page 8: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 8UG973 (v2015.4) 2015 年 11 月 18 日

第 1 章 : リ リース ノート 2015.4

PS 消費電力レポート

• 信号の消費電力の精度 :

° Vivado の report_power で信号の消費電力の精度が大幅に改善し、report_power と XPE の相関性が向上

° 信号の消費電力は、 XPE の [Logic] シートの [Routing Complexity] という新しい列を使用して制御されます。この列は、 ロジッ ク セルごとの平均配線リ ソースを示します。 デフォル ト値は 8 で、 複雑性が高い場合は10 です。 値 12 は複雑性が非常に高いこ と を示し、 通常配線が密集しているデザイ ンに使用し ます。report_power から結果をインポートする と、 デザインの配線に基づいて [Routing Complexity] も インポート されます。

• レポート される全体的な PS 消費電力に関して、 Processor Configuration Wizard からの値と電力ツール (XPE および Vivado の [Report Power]) の値に数パーセン トの違いがあ り ます。

• PS の消費電力を正確に予測するには、 電力ツールを使用して ください。 この問題は、 Vivado 2016.1 で修正される予定です。

Vivado IP インテグレーター : PCIe 設計アシスタンス

• KCU105 ハードウェアで XDMA をサポート

• XDMA ライセンスが必要

Vivado IP インテグレーター

• IP インテグレーターから右ク リ ッ クで IP サンプル デザインに簡単にアクセス可能

• コンフ ィギュレーシ ョ ン可能なサンプル デザインを向上 (MicroBlaze をコンフ ィギュレーシ ョ ンするオプシ ョ ンあ り )

Vivado シミ ュレータ

• エラボレーシ ョ ンのランタイム パフォーマンスを最大 3 倍向上

• 波形デバッグの使いやすさを向上

° シ ミ ュレータの再起動機能を向上

- GUI、 ブレークポイン ト、 信号設定、 マーカーを保持

Vivado シミ ュレーシ ョ ン フロー

• IP シ ミ ュレーシ ョ ンを簡単に実行可能

° IP の生成によ りすべてのシ ミ ュレータ用にシ ミ ュレーシ ョ ン スク リプ ト を作成

° IP で再利用されるファイル (スタティ ッ ク ファ イル) を明確に区別

Vivado デバッグ

• ILA プローブ値に対して列挙型をサポート

• ILA プローブ値に対してデータ、 ト リガー、 またはその両方をサポート

• インスタンス名の保持

• 安定性の高いハードウェア接続

• DDR4/DDR3 のマージン解析サポート を向上

Page 9: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 9UG973 (v2015.4) 2015 年 11 月 18 日

第 1 章 : リ リース ノート 2015.4

Vivado デバイス プログラマ

• SVF (Serial Vector Format) ファ イルを生成可能

• コンフ ィギュレーシ ョ ン メモ リ デバイスのチェッ クサムを検証可能

• ハードウェア接続の安定性を向上

Vivado HL System Edition ツール

Vivado System Generator for DSP

• MATLAB 2015B をサポート : よ り緊密な統合によ り、HDL Corder で高位 RTL およびターゲッ ト最適化 IP を含む結合モデルを自動生成可能

• 簡略化された IP によ り、 アップコンバージ ョ ン、 ダウンコンバージ ョ ン、 および標準 DSP デジタル信号処理デザインで、IP をコンフ ィギュレーシ ョ ンするために必要なインターフェイスおよびパラ メーター数を最小限に抑えながら高い結果の質 (QoR) およびパフォーマンスを達成可能。 新しい IP には、 Digital FIR Filter、 Sine WaveGenerator、 Product、 Requantize ブロ ッ クなどがあ り ます。

• Virtex-7、 Kintex-7、 Artix-7、 および Zynq-7000 ファ ミ リの JTAG 協調シ ミ ュレーシ ョ ンサポート を向上、 バースト モードを使用してパフォーマンスを 45 倍向上可能

• 波形ビューアーと タイ ミ ング解析の起動時間およびクロスプローブを向上 (ロジッ クのデバッグおよびタイ ミ ング ク リ ティカル パスの表示に貢献)

重要な情報

既存 IP のアップデート

次の表に、 2015.4 リ リースでの既存の IP のアップデート を示します。

表 1-2 : アップデートの内容

既存の IP アップデートの内容

10G/25G Ethernet Subsystem • Supports UltraScale+ をサポート

• 25G および 50G コンソーシアム仕様のリ ビジ ョ ン 1.6 にアップデート

PCI Express® • Gen3 PCI Express ハード ブロ ッ クの AXI-MM サポート (Virtex-7 XT/HT)• UltraScale FPGA デバイスのユーザー ガイ ドのパッケージ移行セクシ ョ ン

• UltraScale FPGA デバイスの Tandem PCIe®/PROM サポート (ベータ )• GT ウ ィザードをアップグレード

• PLL およびコア ク ロ ッ クを選択する GUI オプシ ョ ンをアップデート

• Tandem PCIe で追加のデバイス /パッケージをサポート

Page 10: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 10UG973 (v2015.4) 2015 年 11 月 18 日

第 1 章 : リ リース ノート 2015.4

デバイス サポート

次のデバイスは、 シ リ コンの提供計画に基づき、 Vivado のこのリ リースから削除されています。

• Virtex UltraScale : VU095 ES1 パーツは、 このリ リース以降ではサポート されません。

VIPP• ビデオ テス ト パターン ジェネレーター用の新しいコア (バージ ョ ン 7)

° 4K60 までの精度

° バージ ョ ン 6 に置き換わるバージ ョ ン

• ビデオ処理サブシステムのプリプロダクシ ョ ン リ リース

° ビデオ フォーマッ ト を変換する新しい IP サブシステム将来的に VIPP コアに置き換わる

° 4k60 までのビデオ処理の標準画質に対応可能

° インターレース解除、 スケーリ ング、色空間変換、訂正、色差の再サンプリ ング、 フレーム レート変換などの機能を含む

• ビデオ入力およびビデオ出力ブリ ッジ

° 2 画素幅モードの 4K60 をサポートするためタイ ミ ングを向上

DisplayPort LogiCORE V6.1• DP159 制御を追加

物理インプリ メンテーシ ョ ンおよび消費電力ツール : 既知の問題

• Tcl コンソールまたは IDE で report_design_analysis を使用して密集を解析する際、デザインまたはチェックポイン ト を保存して開き直すと、 配線密集データが失われます。 この結果、 レポートに配線密集が含まれません。 この問題を回避するには、 report_design_analysis コマン ドを route_design コマン ド と同じセッシ ョ ンで実行し、 メモ リに配線密集データが保持されるよ うにします。 この問題は、 今後のリ リースで修正される予定です。

• report_pipeline_analysis コマンドで、アクセス不可能なザイ リ ンクス IP 境界内にパイプライン段を追加するよ う推奨されるこ とがあ り ます。 この問題を回避するには、 top_level_cell またはクロ ッ ク オプシ ョ ンを使用してパイプライン解析の範囲を制限します。 この問題は、 今後のリ リースで修正される予定です。

Aurora • 追加の UltraScale FPGA デバイスをサポート

• ラボ ツールをイネーブルにしたシ ミ ュレーシ ョ ンのサポート

• AXI4-Lite から DRP へのインターフェイスに準拠 (Aurora 64B/66B)• ライン レートのサポート を 16.375G に拡張

• フロー制御インターフェイスをグループ化し、 AXI4-ST に準拠

• GUI でレーン位置を選択可能

IBERT for UltraScale • すべての UltraScale IBERT コアでエラーがすべてレポート されない問題を修正

• 非常に長いテス ト または深いスキャンを実行する場合は、IP を再生成する必要があ り ます。

• その他すべての場合、 アップグレードを強くお勧めします (ザイ リ ンクスアンサー 63768 を参照)。

表 1-2 : アップデートの内容 (続き)

既存の IP アップデートの内容

Page 11: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 11UG973 (v2015.4) 2015 年 11 月 18 日

第 1 章 : リ リース ノート 2015.4

Vivado Design Suite 資料のアップデート

Vivado Design Suite 2015.4 では、ツールのリ リース時にリ リースされない資料があ り ます。DocNav で [Update Catalog]ボタンをク リ ッ ク し、 2015.4 の最新の資料を確認してください。

ライセンス

アクティベーシ ョ ン ライセンスは、 USB ドングル、 SOLARIS OS 上で実行しているフローティング サーバー、 またはト リプル リ ダンダン ト サーバー コンフ ィギュレーシ ョ ンではサポート されていません。

IP の既知の問題および変更リスト

IP の既知の問題は、 『IP リ リース ノート ガイ ド』 (XTP025) [参照 9] を参照してください。

32 ビッ ト OS サポートの削除

Vivado 2015.1 から、32 ビッ ト OS およびアプリ ケーシ ョ ンのサポートは、デザイン入力およびインプ リ メンテーシ ョン フローすべてで削除されています。 Windows 7 および Red Hat Enterprise Linux 6 の 32 ビッ トは、 Vivado 2015.1 LabEdition ではサポート されます。 Lab Edition は、 プログラムおよびデバッグ ツールの無償のエディシ ョ ンです。

既知の問題Vivado Design Suite の既知の問題は、 アンサー 63538 を参照してください。

Page 12: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 12UG973 (v2015.4) 2015 年 11 月 18 日

第 2 章

アーキテクチャ サポートおよび要件

オペレーティング システムザイ リ ンクスでサポート される OS は、 x86 および x86-64 プロセッサ アーキテクチャの OS のみです。

Microsoft Windows サポート

• Windows 7 および 7 SP1 Professional (64 ビッ ト )、 英語版/日本語版

• Windows 8.1 Professional (64 ビッ ト )、 英語版/日本語版

• Vivado® Lab Edition には、Windows 7 SP1 Professional、32 ビッ ト オペレーティング システムをサポートするザイリ ンクス ツールセッ トのみが含まれます。 Lab Edition では、 64 ビッ ト システムもサポート されます。

Linux サポート

• Red Hat Enterprise Workstation 7.0 (64 ビッ ト )

• Red Hat Enterprise Workstation 6.5-6.6 (64 ビッ ト )

• Red Hat Enterprise Workstation 5.10 (64 ビッ ト )

• SUSE Linux Enterprise 11.3 および 12.0 (64 ビッ ト )

• Cent OS 6.6 および 7.0 (64 ビッ ト )

• Ubuntu Linux 14.04.2 LTS (64 ビッ ト )

• Vivado Lab Edition には、 Red Hat Enterprise Workstation 6.5-6.6、 32 ビッ ト オペレーティング システムをサポートするザイ リ ンクス ツールセッ トのみが含まれます。 Lab Edition では、 64 ビッ ト システムもサポート されます。

Page 13: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 13UG973 (v2015.4) 2015 年 11 月 18 日

第 2 章 : アーキテクチャ サポートおよび要件

アーキテクチャ次の表は、 Vivado® Design Suite WebPACK™ ツールとその他すべての Vivado Design Suite エディシ ョ ンのコマーシャル製品のアーキテクチャ サポート を リ ス ト しています。

コマーシャル製品以外 :

• ザイ リ ンクス オートモーティブ デバイスはすべて Vivado Design Suite WebPACK ツールでサポート されます。

• ディフェンス グレードのザイ リ ンクス FPGA デバイスは、 同等のコマーシャル パーツ サイズのサポート されるエディシ ョ ンでサポート されます。

表 2-1 : アーキテクチャ サポート

Vivado WebPACK ツールVivado Design Suite(全エディシ ョ ン)

Zynq® デバイス Zynq®-7000 AP SoC デバイス

• XC7Z010、XC7Z015、XC7Z020、XC7Z030Zynq-7000 AP SoC デバイス

• すべて

Virtex® FPGA Virtex-7 FPGA

• なしVirtex UltraScale FPGA

• なし

Virtex-7 FPGA

• すべてVirtex UltraScale FPGA

• すべて

Kintex® FPGA Kintex-7 FPGA

• XC7K70T、 XC7K160TKintex UltraScale FPGA

• なし

Kintex-7 FPGA

• すべてKintex UltraScale FPGA

• すべて

Artix® FPGA Artix-7 FPGA

• X C 7 A 1 5 T、 X C 7 A 3 5 T、 X C 7 A 5 0 T、XC7A75T、 XC7A100T、 XC7A200T

Artix-7 FPGA

• すべて

Page 14: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 14UG973 (v2015.4) 2015 年 11 月 18 日

第 2 章 : アーキテクチャ サポートおよび要件

互換性のあるサードパーティ ツール

表 2-2 : 互換性のあるサードパーティ ツール

サードパーティ ツールRed Hat

LinuxRed Hat Linux-64 SUSE Linux Windows-7 32

ビッ ト

Windows-7 64 ビッ ト

Ubuntu

シミ ュレーシ ョ ン

Mentor Graphic ModelSim SE/DE/PE (10.4b) あ り あ り あ り あ り あ り なし

Mentor Graphics Questa Advanced Simulator(10.4b) あ り あ り あ り あ り あ り なし

Cadence Incisive Enterprise Simulator (IES) (14.20.006) あ り あ り あ り なし なし なし

Synopsys VCS and VCS MX (J-2014.12-SP2) あ り あ り あ り なし なし なし

The MathWorks MATLAB® および Simulink® with Fixed-Point Toolbox (2014a、2014b、 2015a、 2015b)

あ り あ り なし あ り あ り あ り

Aldec Active-HDL (10.2 SP2)a

a. Aldec シ ミ ュレータのサポートは Aldec 社よ り提供されています。

なし なし なし あ り あ り なし

Aldec Riviera-PRO (2015.06) あ り あ り あ り あ り あ り なし

合成b

b. RTL ソースには暗号化されたファイルが含まれる可能性があるので、ほとんどの Vivado IP は Vivado 合成でのみ合成できます。 これらの IP をサードパーティ合成フローで使用するには、 Vivado ツールから合成済みネッ ト リ ス トを最適な形式でエクスポート して、 サードパーティ合成プロジェク トで使用できるよ うにします。

Synopsys Synplify/Synplify Pro (K-2015.09-1)c

c. Synplify Overlay またはサービス パッ クの利用については、 Synopsys 社にお問い合わせください。

あ り あ り あ り あ り あ り なし

Mentor Graphics Precision RTL/Plus (2015.1) あ り あ り あ り あ り あ り なし

等価性チェ ック

Cadence Encounter Conformal (9.1)d

d. Cadence Encounter Conformal は、 Synopsys 社の Synplify を使用した場合にのみ RTL2Gate 用にサポート されます。

System Generator のサポートは、The MathWorks MATLAB および Simulink ツールと互換性のあるオペレーティング システムに制限されます。

あ り あ り あ り なし なし なし

OneSpin 360 (2014_12) あ り あ り あ り なし なし なし

Page 15: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 15UG973 (v2015.4) 2015 年 11 月 18 日

第 2 章 : アーキテクチャ サポートおよび要件

システム要件このセクシ ョ ンでは、 システム メモ リ要件、 ケーブル インス トールおよびその他の要件および推奨事項について説明します。

演習には、 MATLAB 2014a (またはそれ以降) および Vivado Design Suite 2014.2 (またはそれ以降) が必要です。

推奨されるシステム メモリ

Vivado Design Suite ツールの推奨メモ リについては、 http://japan.xilinx.com/design-tools/vivado/memory.htm を参照してください。

OS と使用可能なメモリ

Microsoft Windows および Linux OS のアーキテクチャ場合、ザイ リ ンクスプ ログラムに使用できる メモ リの最大容量に制限があ り、大規模デバイスおよび複雑なデバイスを作成する際に問題となるこ とがあ り ます。Vivado Design Suiteには最適化メモ リが含まれており、 ザイ リ ンクス ツール用に RAM を増加できるよ うになっています。

Linux

32 ビッ トの Red Hat Enterprise Linux では、 大規模メモ リのカーネルを使用して各プロセスに 4GB 割り当てるこ とができます。 詳細については、 Red Hat のサポート サイ ト (http://www.redhat.com/docs/manuals/enterprise/) を参照して ください。

ケーブル インストール要件

ザイ リ ンクス デザイン ツールでターゲッ ト ハードウェアをプログラムおよびコンフ ィギュレーシ ョ ンするには、 ハイ パフォーマンスなケーブル、 Platform Cable USB II が必要です。

注記 : デバッグまたはプログラムに使用されていた Xilinx Parallel Cable IV は、 サポート されなくなっています。

推奨 : Platform Cable USB II をインス ト ールする場合は、 少な く と も USB 1.1 ポー ト が必要にな り ます。 最適なパフォーマンスには、 USB 2.0 ポートで Platform Cable USB II を使用するこ とをお勧めします。

ケーブルは、 Windows 7、 Red Hat Linux Enterprise、 SUSE Linux Enterprise 12 の 32 ビッ トおよび 64 ビッ ト バージ ョ ンで公式にサポート されています。 その他の注意点は、 次を参照してください。

• ルート ディレク ト リへの権限が必要です。

• SUSE Linux Enterprise 11 :Platform Cable USB II が正し く動作するためには、 fxload ソフ ト ウェアパ ッ ケージが必要です。 fxload パッケージは SUSE Linux Enterprise 11 には自動的にインス トールされないので、 そのユーザーまたはシステム管理者がインス トールしておく必要があ り ます。

ザイ リ ンクス ケーブルに関するその他の情報は、 次のマニュアルを参照して ください。

• 『USB ケーブル インス トール ガイ ド』 (UG344) [参照 10]

• 『Platform Cable USB II データシート 』 (DS593) [参照 11]

Page 16: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 16UG973 (v2015.4) 2015 年 11 月 18 日

第 2 章 : アーキテクチャ サポートおよび要件

装置とアクセス権

次の表は、 関連装置、 アクセス権、 ネッ ト ワーク接続の条件を示しています。

注記 : Exceed、 ReflectionX、 XWin32 のよ うな X サーバー / リモート デスク ト ップ サーバーはサポート されません。

ネッ トワーク時刻の同期化

ソフ ト ウェアがインス トールされたコンピュータ とは別のネッ ト ワーク コンピュータにユーザーのデザイン ファ イルを保存する場合、 どちらのコンピュータも同時刻に設定する必要があ り ます。 ソフ ト ウェアのファンクシ ョ ンを最適にするため、 時刻は定期的に合わせてください。

表 2-3 : 装置とアクセス権の要件

項目 要件

ディ レク ト リのアクセス権 編集するデザインフ ァ イルが含まれるディ レ ク ト リすべての書き込み権が必要です。

モニター 解像度 1024x768 ピクセル以上の 16 ビッ ト カ ラー VGA をお勧めします。

ド ラ イブ Vivado Design Suite には DVD-ROMが必要です (Web からのダウンロードではな く、DVD を請求してインス トールする場合)。

ポート デバイスのプログラムには、 ザイ リ ンクス プログラム ケーブル用にパラレル ポート、USB ポートのいずれかが必要です。ポートの仕様については、ケーブルのマニュアルを参照してください。

注記 : ケーブル ド ラ イバー ソフ ト ウェアのインス トールには、 Windows 7 が必要です。 これらの OS のいずれかを使用していない場合、ケーブルが正し く動作しないことがあ り ます。

Page 17: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 17UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章

ダウンロードおよびインストールこの章では、 Vivado® Integrated Design Environment (IDE)、 Vivado HLS および System Generator for DSP などを含むVivado Design Suite のダウンロードおよびインス トール方法について説明します。

Vivado Design Suite ツールのダウンロードザイ リ ンクス デザイン ツールには、 複数のダウンロードおよびインス トール方法があ り ます。

2015.1 からは、 新たに Vivado Lab Edition も リ リースされ、 ラボ設定でデバイスをプログラムおよびデバッグする専用の効率的な環境が提供されるよ うになっています。

ヒン ト : Vivado Lab Edition にはライセンスは必要あ り ません。

フル Vivado エディシ ョ ンのいずれかをインス トールする場合は、 2 つのインス トールのいずれかを選択できます。

• 単一ファイルのフル製品インス トーラー

• 軽量インス トーラー (ザイ リ ンクス ウェブサイ ト を使用して必要なファイルだけをダウンロード可能。 軽量のウェブベースのインス トーラーを使用する と、 ダウンロード容量が削減でき、 ダウンロードおよびインス トールプロセスをかなり高速にできます)

すべてのエディシ ョ ンおよびダウンロード オプシ ョ ンは、 ザイ リ ンクス ウェブサイ ト (http://japan.xilinx.com/support/download/index.htm) を参照して ください。

ザイ リ ンク ス ダウンロード センターのファ イルはほとんどが Akamai ダウンロード マネージャを使用してダウンロード されます。 ダウンロード前に、 次を設定しておいてください。

• http://xilinx.entitlenow.com からのポップアップを許可する

• 保護項目もそ うでない項目も同じページに表示されるよ うにセキュ リ ティ設定をする

• Java をインス トールして Akamai マネージャを実行できるよ うにする

Page 18: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 18UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

Vivado Design Suite のフル エディシ ョ ンをダウンロードするには、 次を実行します。

1. ダウンロード サイ トで [Vivado] タブをク リ ッ ク

2. 左側からダウンロードするツールのバージ ョ ンをク リ ッ ク

3. ダウンロードするインス トーラーのリ ンクをク リ ッ ク

Vivado Lab Edition ツールをダウンロードするには、 [Vivado] タブで 2015.2 以降のバージ ョ ンをク リ ッ ク して、 VivadoLab Edition に関するファイルをダウンロード します。

注記 : Lab Edition インス トーラーは、 32 ビッ ト または 64 ビッ ト マシンで実行できます。 フル エディシ ョ ン インストーラーは、 64 ビッ ト マシンでのみ実行できます。

Vivado Design Suite ツールのインストールこのセクシ ョ ンでは、 すべての OS に共通する Vivado Design Suite のインス トール方法を示します。

インストールの準備

重要 : インス トールを開始する前に、 次を実行しておきます。

• システムまたは製品オプシ ョ ンに伴う インス トールの問題については、 第 1 章の 「重要情報」 セクシ ョ ンを参照してください。

• システムが第 2 章 「アーキテクチャ サポートおよび要件」 に示されている必要条件を満たしているかど うか確認します。

• インス トール時間を削減するため、 アンチウイルス ソフ ト ウェアをオフにします。

• インス トールを開始する前に、 すべてのプログラムを終了します。

• Vivado Design Suite のインス トーラーは、 Windows では XILINX のよ うなグローバル環境変数を設定しません。

Page 19: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 19UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

Lab Edition、 フル製品ダウンロード、 または DVDLab Edition またはフル製品をインス トールするには、ダウンロード したファ イルを解凍して、xsetup (Linux の場合) または xsetup.exe (Windows の場合) を実行し ます。 フル エデ ィ シ ョ ン製品のみを含む DVD を受け取った場合は、xsetup(.exe) を直接ク リ ッ ク します。

推奨 : ダウンロード した tar.gz ファ イルを解凍するには、 7-zip または WinZip (v.15.0 以降) の使用をお勧めします。

X-Ref Target - Figure 3-1

図 3-1 : Vivado Design Suite のインストール - ウェルカム画面

Page 20: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 20UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

軽量インス トーラーのダウンロード

軽量インス トーラーのリ ンクをク リ ッ ク し、ザイ リ ンクスのアカウン トにサインインして、 アカウン ト情報の変更が必要な場合は変更し、 [次へ] をク リ ッ クする と、 次が表示されます。 [実行] をク リ ッ ク してインス トーラーを実行します (または、 [保存] をク リ ッ ク してファ イルを任意のディ レク ト リに保存してから、 実行します)。 ログイン画面が表示されたら、 通常のザイ リ ンクス ログイン ID を使用してログインします。

ログイン ID を入れたら、従来のウェブベースのインス トールか、 フル インス トール イ メージのダウンロードかを選択できます。

• [Download and Install Now] を選択する と、次の画面に特定のツールおよびデバイス ファ ミ リ を選択でき、 その選択に関連するファイルのみがダウンロード され、 インス トールされます。

• [Download Full Image] を選択した場合は、 ダウンロード ディ レク ト リ をユーザーが指定して、 Windows のみかLinux のみか、 両方のオペレーテ ィ ング システムをサポー トするインス トールかを選択する必要があ り ます。[Download Full Image] を選択した場合は、 これ以上オプシ ョ ンを選択する必要はなく、 ダウンロード ディ レク トリから xsetup アプリ ケーシ ョ ンを実行して別々にインス トールを実行する必要があ り ます。

注記 : Lab Edition は、軽量インス トーラーではサポート されません。 Lan Edition 用の単一のイ メージ ファ イルをダウンロード して ください。

X-Ref Target - Figure 3-2

図 3-2 : Vivado Design Suite のインストール - インストール ソースの選択

Page 21: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 21UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

インターネッ ト接続

インス トーラーは、 Windows のシステム プロキシ設定を使用してインターネッ トに接続します。 接続には、 [コン トロール パネル] → [インターネッ ト オプシ ョ ン] での設定が使用されます。 Linux ユーザーの場合は、 Firefox ブラウザーのプロキシ設定が接続に使用されます。

接続に問題がある場合は、 次を確認してください。

1. 別のプロキシ設定を使用する場合は、 [Manual Proxy Configuration] オプシ ョ ンで設定を指定します。‘

2. 会社のファ イアウォールにユーザー名とパスワードを使用したプロキシ認証が必要かど うかを確認して ください。 必要であれば、 上記のダイアログ ボッ クスで [Manual Proxy Configuration] で設定します。

3. Linux ユーザーが Firefox ブラウザで [Use system settings] または [Auto-detect settings] のいずれかを選択した場合は、 インス トーラーでプロキシを手動で設定する必要があ り ます。

X-Ref Target - Figure 3-3

図 3-3 : Vivado Design Suite のインストール - 接続

X-Ref Target - Figure 3-4

図 3-4 : Vivado Design Suite のインストール - プロキシ設定の変更

Page 22: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 22UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

使用許諾契約

インス トールを続行する前に、 使用許諾契約をお読みください。 契約条件を許諾できない場合は、 インス トールをキャンセルしてザイ リ ンクスまでお問い合わせください。

X-Ref Target - Figure 3-5

図 3-5 : Vivado Design Suite のインストール - 使用許諾契約

Page 23: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 23UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

エディシ ョ ンの選択

必要なエディシ ョ ンまたはスタンドアロン ツールを選択します。ソフ ト ウェア開発キッ ト (SDK) は、Vivado のエディシ ョ ン (WebPACK、 System、 Design) の一部と してインス トールするこ と もできます。

Vivado の WebPACK および Design エディシ ョ ンは、 インス トール後に System エディシ ョ ンにアップグレードすること もできます。 詳細は、 30 ページの 「その他のツールおよびデバイスの追加」 を参照してください。

X-Ref Target - Figure 3-6

図 3-6 : Vivado Design Suite のインストール - 製品の選択

Page 24: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 24UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

ツール、 デバイス、 オプシ ョ ン

デザイン ツール、デバイス ファ ミ リおよびインス トール オプシ ョ ンを選択してインス トールをカスタマイズします。必要なものだけを選択するこ とで、製品のダウンロードおよびインス トールにかかる時間を削減できます。後から追加するには、 OS の [スタート ] メニューか Vivado の [Help] メニューのいずれかで [Add Design Tools or Devices] をクリ ッ ク します。

X-Ref Target - Figure 3-7

図 3-7 : Vivado Design Suite のインストール - Vivado System Edition

Page 25: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 25UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

シ ョート カッ トおよびファイルの関連付け

プログラム グループ入力 ([スタート ] メニュー ) とデスク ト ップ シ ョート カッ トの作成はカスタマイズできます。 オプシ ョ ンで、 この Vivado バージ ョ ンを使用して Vivado プロジェク ト ファ イルを開始するためのファイルの関連付けを作成するこ と もできます。 シ ョート カッ ト作成およびファイルの関連付けオプシ ョ ンは、現在のユーザーまたはすべてのユーザーに適用できます。

X-Ref Target - Figure 3-8

図 3-8 : Vivado Design Suite のインストール - インストール ディレク ト リ とシ ョート カッ ト

Page 26: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 26UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

インス トール サマリの確認

最後にインス トール サマリ を確認して、 [Install] をク リ ッ ク します。ユーザー ID とパスワードが尋ねられるので、ザイ リ ンクス アカウン トのユーザー ID とパスワードを入力してログインしてください。

残りのインストール プロセス

次が表示されます。 ザイ リ ンクス プラ ッ ト フォーム ケーブルまたは評価版プラ ッ ト フォーム JTAG が接続されている場合は、 はずして、 [OK] をク リ ッ ク します。

X-Ref Target - Figure 3-9

図 3-9 : Vivado Design Suite のインストール - サマリ ページ

X-Ref Target - Figure 3-10

図 3-10 : ケーブル ド ライバー インス トーラー

Page 27: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 27UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

WinPcap のインス トールが必要な場合は、インス トーラーが起動されます。 [Next] をク リ ッ ク して、[I Agree] をク リ ック してインス トールをしてください。

WinPcap の必要なバージ ョ ンが既にインス トールされている場合は、 次のよ う な メ ッセージが表示されますので、[Cancel] をク リ ッ ク して、 WinPcap のインス トールをキャンセルできます。

X-Ref Target - Figure 3-11

図 3-11 : WinPcap インス トーラー

X-Ref Target - Figure 3-12

図 3-12 : WinPCap の警告メ ッセージ

Page 28: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 28UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

MATLAB が認識されなかった場合は次が表示されるので、MATLAB を使用する場合は [Find MATLAB] をク リ ッ ク してインス トール ディレク ト リ を指定します。 使用しない場合は、 [OK] をク リ ッ ク します。

次が表示されたら、 インス トールは終了です。

X-Ref Target - Figure 3-13

図 3-13 : MATLAB インス トール ディ レク ト リの選択

X-Ref Target - Figure 3-14

図 3-14 : インス トール終了メ ッセージ

Page 29: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 29UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

Vivado License Manager が起動されます。

詳細は、 「ラ イセンスの取得および管理」 の章を参照してください。

ケーブル ド ライバーのインストールWindows の場合、 インス トーラーに [Install Cable Drivers] がオプシ ョ ンで表示されます。

Linux の場合、 ド ライバーのインス トールにルート または sudo アクセスが必要なので、 Vivado 2015.4 の Linux インストーラーからは削除されています。 通常の Vivado インス トーラーは、 ルート または sudo 権限なしに Linux で実行できるよ うになり ました。Linux にケーブル ド ラ イバーをインス トールするには、ルート または sudo ポス ト インス トールと して実行する必要のあるスク リプ ト を使用できます。

スク リプ ト ディレク ト リ : <Vivado Install Dir>/data/xicom/cable_drivers/lin64/install_script/install_drivers/

スク リプ ト名 : install_drivers

X-Ref Target - Figure 3-15

図 3-15 : Vivado License Manager

Page 30: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 30UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

その他のツールおよびデバイスの追加インス トール後にその他のツール、デバイスを後から追加したり、Vivado エディシ ョ ンをアップグレードするこ と もできます。 これは、 デバイスおよびツールの一部だけをインス トールするよ うに選択した場合に便利です。

新しいツールまたはデバイスを追加するには、 次をク リ ッ ク します。

• [スタート ] → [Xilinx Design Tools] →> [Vivado <version>] → [Add Design Tools or Devices]

• Vivado で [Help] → [Add Design Tools or Devices]

Vivado の WebPACK または Design Edition をインス トールした場合は、 エディシ ョ ンをアップグレードするオプシ ョンも選択できます。

上記の選択に基づいて、 現在のインス トールに追加可能なツールおよびデバイスすべてが表示されます。

Xilinx Information Center (XIC) からツールまたはデバイスを追加するこ と もできます。 このフローを使用する場合は、「アップデートの取得」 を参照してください。

X-Ref Target - Figure 3-16

図 3-16 : Vivado Design Suite のインストール - エディシ ョ ンの選択

Page 31: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 31UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

ネッ トワーク インストールネッ ト ワーク上にソフ ト ウェアをインス トールする と、そのネッ ト ワーク ド ラ イブのソフ ト ウェアに複数のク ライアン ト マシンからアクセスできます。 ネッ ト ワーク上のソフ ト ウェアを使用する場合、環境変数、 レジス ト リ、 プログラム グループなどすべてにネッ ト ワークのディ レク ト リが指定されている必要があ り ます。 次のセクシ ョ ンでは、ネッ ト ワークを設定する手順について説明します。

Linux クライアン ト

ソフ ト ウェアがインス トールされたディ レク ト リの settings32.(c)sh または settings64.(c)sh (使用しているシェルによって異なる ) を source コマンドで実行します。 これによ り、 環境がこのインス トール ディ レク ト リ を指定するよ うに設定されます。

ネッ ト ワーク上のインス トール ディレク ト リからデザイン ツールを実行するには、 X Windows Display Manager を起動し、 DISPLAY 環境変数を設定する必要があ り ます。 ディ スプレイの名前に DISPLAY と定義します。 DISPLAY は通常 unix:0.0 にします。 たとえば、 次の構文は bigben という ホス ト上のツールを実行し、 mynode という ク ライアン ト マシンのモニターにグラフ ィ ッ クを表示します。

setenv DISPLAY mynode:0.0 xhost = bigbenPC Clients

Microsoft Windows クライアン ト

1. デザイン ツールを PC ネッ ト ワーク サーバーにインス トールします。 この際、 ユーザーがデザイン ツールのインス トール ディレク ト リの場所を知っているこ と、またユーザーにそのディ レク ト リへのアクセス権があるこ とを確認してください。

2. ローカル ク ライアン ト マシンから、 ネッ ト ワーク上の network_install_location\.xinstall\Vivado_<version> ディ レク ト リにある networkShortcutSetup.exe ファ イルを実行します。

このプログラムを実行する と、 Windows 設定のバッチ フ ァ イルとプログラム グループまたはデス ク ト ップシ ョート カッ トが設定され、 リモート ディレク ト リからザイ リ ンクス ツールが実行されます。

3. ローカル マシンから Vivado Design Suite ツールを起動するには、[スタート ] → [プログラム] から該当するツールを選択するか、 デスク ト ップのシ ョート カッ ト をダブルク リ ッ ク します。

割り当て済みネッ トワーク ド ライブへのインストール

ザイ リ ンクス デザイン ツールは、ルート ディレク ト リの下のフォルダ (C: \Xilinx) にインス トールされるよ うに設定されています。ローカル ド ラ イブにインス トールする場合は、通常インス トーラーでこのディ レク ト リになっています。

この問題を回避するには、 UNC パス (たとえば \\network_loc\Xilinx\) を指定するか、 ネッ ト ワーク ディ レクト リの下にインス トール ディレク ト リ \Xilinx を作成します (例 : N:\Xilinx)。

Windows 7 のデフォルトのセキュ リ テ ィ レベルのままでは、 割り当てたネッ ト ワーク ド ラ イブを選択するこ とができません。 ザイ リ ンクス デザイン ツールを割り当てたネッ ト ワーク ド ラ イブにインス トールするには、 次の手順でアカウン ト制御設定を変更する必要があ り ます。

1. Windows の [スタート ] メニューからコン ト ロール パネルを開き、 [ユーザー アカウン ト ] をク リ ッ ク します。 コン ト ロール パネルがカテゴ リ表示になっている場合は、 [ユーザー アカウン ト ] を 2 画面続けてク リ ッ ク します。

2. [ユーザー アカウン ト制御設定の変更] をク リ ッ ク し、 変更できるよ うにします。

3. スライダーの位置を次の図のよ うに下から 2 つ目に移動します。

Page 32: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 32UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

4. [OK] をク リ ッ ク します。

推奨 : インス トール後は、 同様の方法でユーザー アカウン ト の設定を設定前の状態に戻しておく こ とをお勧めします。

注記 : ザイ リ ンクス インス トーラーを使用してネッ ト ワーク ド ラ イブを指定するこ とはできません。この場合、割り当てられたネッ ト ワーク ド ラ イブを含むインス トール パスを手動で入力する必要があ り ます。

バッチ モード インストール フローVivado 2015.1 から、 インス トーラーがバッチ プロセス と して実行できるよ うにな り ました。 標準的なエディシ ョ ンを実行するには、 インス トール ディ レク ト リ を指定するか、 インス トーラーにインス トール ディ レク ト リ と、 どのツール、デバイス、オプシ ョ ンをインス トールするのか伝えるコンフ ィギュレーシ ョ ン ファ イルを取得している必要があ り ます。インス トーラーには、よ く使用されるコンフ ィギュレーシ ョ ンに基づいて リ ファレンス オプシ ョ ン ファイルを生成できるモードがあるので、 インス トールをさ らに編集してカスタマイズできます。

推奨 : この リ ファレンスは各四半期リ リースごとに生成して、 新しいデバイス、 オプシ ョ ンまたはその他の変更点がユーザーのオプシ ョ ン ファ イルに含まれるよ うにするこ とをお勧めします。

バッチ モードの使用を開始するには、 まずコマンド シェルを開いて、 抽出したインス トーラーを保存したディ レクト リに移動します。

X-Ref Target - Figure 3-17

図 3-17 : Vivado Design Suite のインストールー ユーザー アカウン ト制御設定

Page 33: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 33UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

注記 : Windows の場合、 管理者権限でコマンド ウ ィ ンド ウを開き、 次のオプシ ョ ンを使用した xsetup.exe ではな く、\bin ディレク ト リの xsetup.bat ファ イルを実行します。

コンフ ィギュレーシ ョ ン ファイルの生成

xsetup -b ConfigGen を実行します。

これで次のメニューが表示されるインタラ クティブ モードにな り ます。次にリ ス ト されるエディシ ョ ンから選択してください。

1. Vivado WebPACK

2. Vivado Design Edition

3. Vivado System Edition

4. Documentation Navigator (スタンドアロン)

エディシ ョ ンを選択する と、コンフ ィギュレーシ ョ ン ファ イルのディ レク ト リ とファ イル名が表示され、インタラ クティブ モードが終了します。

次は、 WebPACK コンフ ィギュレーシ ョ ン ファ イルの例です。

#### Vivado WebPACK Install Configuration ####Edition=Vivado WebPACKDestination=C:\XilinxModules=Vivado:1,Vivado High Level Synthesis:0,Software Development Kit:0,DocNav:0,Artix-7,Kintex-7,Zynq-7000:1#### Shortcut creation ####CreateProgramGroupShortcuts=1CreateShortcutsForAllUsers=0ProgramGroupFolder=Xilinx Design ToolsCreateDesktopShortcuts=1CreateFileAssociation=1#### Post install tasks ###### Post install tasks can be configured as shown below.InstallOptions=Configure WebTalk:1,Install and Initialize Trusted Storage Licensing:1,Generating installed device list:1,Install VC++ runtime libraries for 64-bit OS:1,Install Cable Drivers:0,Acquire or Manage a License Key:0,run:xic:1

基本的には、 コンフ ィギュレーシ ョ ン ファ イルの各オプシ ョ ンは GUI のオプシ ョ ンと同じで、 値 1 はそのオプシ ョンが選択されているこ とを、 値 0 はそのオプシ ョ ンが選択されていないこ とを示します。

インストーラーの実行

こ こまででユーザーのインス トール プ リ ファレンスを反映するコンフ ィギュレーシ ョ ン ファ イルを編集したので、次はインス トーラーを実行します。 インス トーラー コマンド ラ インの一部と して、 ザイ リ ンクスおよびサードパーティの使用許諾契約を承認し、 WebTalk の契約条件を理解しているこ とを示す必要があ り ます。

ザイリンクス エンドユーザー使用許諾契約 (EULA)

http://japan.xilinx.com/support/documentation/sw_manuals/xilinx2015_4/end-user-license-agreement.pdf

サードパーティ エンドユーザー使用許諾契約 (EULA)

http://japan.xilinx.com/support/documentation/sw_manuals/xilinx2015_4/ug763_tplg.pdf

Page 34: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 34UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

WebTalk 契約条件

[I agree] をク リ ッ クするこ とで、 上記の WebTalk に関する契約条件のセクシ ョ ン 13 を読み、http://japan.xilinx.com/webtalk の WebTalk FAQ を読む機会が与えられたこ とを確認します。 セクシ ョ ン 13(c) に記述される特定の条件が当てはまる場合は、 WebTalk をオフにできるこ とを理解します。 条件が該当しない場合は、 ソフ ト ウェアをアンインス トールするか、 インターネッ トに接続されていないマシンでソフ ト ウェアを使用するこ とで、 WebTalk をディ スエーブルにできます。 該当条件を満たすこ とができない場合、 またはこのよ うな情報の伝達を回避するための適切な手順を踏めない場合は、セクシ ョ ン 13(b) に記述された目的でセクシ ョ ン 13(a) で記述された情報をザイ リ ンクスが収集するこ とに同意します。

上記のそれぞれの項目の同意を示すには、 コマンド ライン オプシ ョ ンの -a または --agree を使用します。 上記の1 つがリ ス トに含まれない場合、 または agree オプシ ョ ンが指定されない場合、 エラー メ ッセージが表示されてインス トーラーが停止して、 インス トールができません。

コマンド ライン例

次は、コンフ ィギュレーシ ョ ン ファ イルを使用した典型的な新しいインス トールを実行するコマンド ライン例です。

xsetup --agree XilinxEULA,3rdPartyEULA,WebTalkTerms --batch Install --config install_config.txt

ザイ リ ンクスのデフォルト エディシ ョ ン コンフ ィギュレーシ ョ ンの 1 つを使用する場合、 --config オプシ ョ ンを指定する必要はあ り ませんが、 ディ スティネーシ ョ ン ディ レク ト リはコンフ ィギュレーシ ョ ン ファ イルに含まれるので、 これをコマンド ラ インで指定する必要があ り ます。

xsetup --agree 3rdPartyEULA,WebTalkTerms,XilinxEULA --batch Install --edition "Vivado System Edition" --location "C:\Xilinx"

above コマンドは、指定したエディシ ョ ンのデフォルト コンフ ィギュレーシ ョ ン オプシ ョ ンを使用します。デフォルト コンフ ィギュレーシ ョ ン オプシ ョ ンを確認するには、上記に示すよ うに –b ConfigGen モードを使用します。 Vivadoインス トーラーのバッチ モードは、 アンインス トールとアップグレード (後からツールおよびデバイスを追加) も実行できます。 インス ト ーラーのバッチ オプシ ョ ンの リ ス ト すべてを確認するには、 xsetup -h または xsetup--help を実行します。

アップデートの取得ザイ リ ンクスでは、 四半期ベースで Vivado Design Suite ツールのアップデート バージ ョ ンを リ リースする予定です。アップデートには、 デバイス サポートのアップデート、 新機能、 バグ修正などが含まれます。 次のセクシ ョ ンでは、ザイ リ ンクス情報センターから ソフ ト ウェア アップデート を入手する方法について説明します。

Xilinx Information CenterXilinx Information Center (XIC) は、 XilinxNotify に代わる次世代の機能で、 タスクバー (Windows の場合) にあ り、 ザイリ ンクスからの新リ リースおよびアップデートが定期的にチェッ ク されます。通知を表示したり解除したり、 アップデート をインス トールしたりできます。

また、XIC からすべてのザイ リ ンクス ツールおよびインス トールをユーザーが管理できるよ うになり ました。新しい[Manage Installs] タブからはライセンスをアップデート した り、確認したり、すべてをアンインス トールしたりできます。

Page 35: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 35UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

Vivado Design Suite ツールのアンインストールアンインス トールする場合、 ザイ リ ンクス インス トール ディ レク ト リからプロジェク ト ファ イルを移動しておかないと、 削除されてしまいます。

注記 : Xilinx Documentation Navigator は、アンインス トール中に削除されません。 これは、複数バージ ョ ンのザイ リ ンクス ツールで共通のスタンドアロン アプリ ケーシ ョ ンです。 必要でなければ、 別途アンインス トールする必要があり ます。

アンインス トール

アンインス トールする場合、 ザイ リ ンクス インス トール ディ レク ト リからプロジェク ト ファ イルを移動しておかないと、 削除されてしまいます。 Documentation Navigator および Xilinx Information Center のアンインス トールに関する情報については、 次を参照してください。

Documentation Navigator のアンインストール

Xilinx Documentation Navigator は、 アンインス トール中に削除されません。 これは、 複数バージ ョ ンのザイ リ ンクスツールで共通のスタンドアロン アプ リ ケーシ ョ ンです。 必要な くなった場合は、 [スタート ] メニューのプログラムグループの [Uninstall DocNav]、 またはコン ト ロール パネルの [プログラムと機能] から別にアンインス トールする必要があ り ます。

X-Ref Target - Figure 3-18

図 3-18 : Xilinx Information Center (XIC)

Page 36: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 36UG973 (v2015.4) 2015 年 11 月 18 日

第 3 章 : ダウンロードおよびインストール

Xilinx Information Center のアンインストール

Xilinx Information Center は、 アンインス トール中に削除されません。 これは、 複数バージ ョ ンのザイ リ ンクス ツールで共通のスタンドアロン アプリ ケーシ ョ ンです。必要なくなった場合は、 コン ト ロール パネルの [プログラムと機能] から別にアンインス トールする必要があ り ます。

Microsoft Windows でのアンインストール

Vivado Design Suite ツール製品をアンインス トールするには、 [スタート ] メニュー → [Xilinx Design Tools] → [Vivado2015.4] → [Accessories] → [Uninstall Vivado 2015.4] をク リ ッ ク します。

Linux でのアンインストール ザイ リ ンクス製品をアンインス トールするには、 [スタート ] メニューからその製品の [Uninstall] メニューをク リ ッ クします。 たとえば、 Vivado Design Suite をアンインス トールするには、 [Start] → [All Programs] → [Xilinx Design Tools]→ [Vivado 2015.4] → [Uninstall] をク リ ッ ク します。

プログラム グループに入力がない場合は、 次のコマン ド ラ イン オプシ ョ ンを使用してアンインス トールします。<install_path>\.xinstall\Vivado_2015.4\ xsetup.exe –Uninstall

[Uninstall] から該当する入力を使用するか、 プログラムのコン ト ロール パネル オプシ ョ ンを変更してもアンインストールできます (Windows の場合)。

Page 37: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 37UG973 (v2015.4) 2015 年 11 月 18 日

第 4 章

WebTalkWebTalk 機能を使用する と、ザイ リ ンクス FPGA デバイス、ソフ ト ウェアおよび IP の使用に関する統計をザイ リ ンクスに送信できます。 WebTalk で収集および送信された情報は、 カスタマーにとって重要な機能を向上するこ とに焦点を当ててザイ リ ンクスが開発活動を行い、カスタマーの現在および将来のニーズによ り迅速に対応できるよ う活用させていただきます。 WebTalk をオンにする と、 お客様の Vivado® Design Suite の使用に関する情報がザイ リ ンクスへ提供されます。

WebTalk への参加次の場合を除いて Webtalk への参加は任意と します。

• WebPack™ ライセンスを使用している。

• プレ リ リースのソフ ト ウェアまたはデバイスを使用している。

このよ うな場合、 プリ ファレンス設定に関わらず、 WebTalk によるデータ収集および送信は常に実行されます。 それ以外の場合は、 WebTalk をオフにする とデータは送信されません。

次の表は、 ザイ リ ンクス ラ イセンス、 WebTalk インス トール プ リ ファレンス、 およびユーザーのプ リ ファレンス設定に基づいて、 ザイ リ ンクスへ配線後のデザインからのデータがどのよ うに送信されるかについてまとめています。

注記 : デバイスが WebPack の場合、 ツールではまず最初に WebPack ライセンスが検索されます。

表 4-1 : ビッ トス ト リーム生成または配線デザイン フローでの WebTalk の動作

アーリー アクセス サポート

ライセンスWebTalk インストール プリファレンスがオン

WebTalk ユーザー プリファレンスが

オン

ザイリンクへの WebTalk データの送信

○ 該当なし 該当なし 該当なし ○

X WebPACK™ 該当なし 該当なし ○

X Edition ライセンス X 該当なし X

X Edition ライセンス ○ X X

X Edition ライセンス ○ ○ ○

Page 38: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 38UG973 (v2015.4) 2015 年 11 月 18 日

第 4 章 : WebTalk

WebTalk インストールのプリファレンス設定WebTalk は、次のよ うに、 インス トール中またはインス トール後にグローバルにオン/オフにできます。 インス トール中は、[Enable WebTalk to send software, IP and device usage statistics to Xilinx (Always enabled for WebPACK license)] チェック ボッ クスで WebTalk インス トール オプシ ョ ンをオン/オフにできます。

WebTalk インス トール オプシ ョ ンをオンまたはオフにするには、 Tcl コマンド config_webtalk を使用します。

config_webtalk -install on|off

on : WebTalk をオンにします。

off : WebTalk をオフにします。

インス トール設定は、 次のディ レク ト リに保存されます。

• Windows 7 :<install dir>/vivado/data/webtalk/webtalksettings

• Linux : <install dir>/vivado/data/webtalk/webtalksettings

注記 : インス トール ディ レク ト リに書き込むには、 管理者権限が必要です。

X-Ref Target - Figure 4-1

図 4-1 : WebTalk のインストール オプシ ョ ン

Page 39: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 39UG973 (v2015.4) 2015 年 11 月 18 日

第 4 章 : WebTalk

WebTalk ユーザー プリファレンスの設定WebTalk ユーザー オプシ ョ ンは、[Tools] → [Options] → [General] をク リ ッ クする と表示される次の画面でオン/オフにできます。

インス トール後には、 Tcl コマンド config_webtalk を使用する と WebTalk をオンまたはオフにできます。

config_webtalk -user on|off

on : 現在のユーザーの WebTalk をオンにします。

off : 現在のユーザーの WebTalk をオフにします。

ユーザー設定は、 次のディ レク ト リに保存されます。

• Windows 7 :

%APPDATA%\Xilinx\Common\<version>\webtalk

説明 :

%APPDATA% は次のとおりです。

X-Ref Target - Figure 4-2

図 4-2 : WebTalk ユーザー プリファレンス

Page 40: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 40UG973 (v2015.4) 2015 年 11 月 18 日

第 4 章 : WebTalk

C:\Users\<user>\AppData\Roaming

• Linux :

%APPDATA%/.Xilinx/Common/<version>/webtalk

説明 :

%APPDATA% は次のとおりです。

/home/<user>

WebTalk インストールおよびユーザー プリファレンスの確認Tcl コマンド config_webtalk を使用して、 WebTalk の設定を確認するこ と も可能です。 コマンド ライン オプシ ョン -info を使用する と、 インス トール設定およびユーザー設定の値がレポート されます。

config_webtalk -info

収集されるデータの種類WebTalk では、 お客様のデザインを リバースエンジニア リ ングするこ とを可能にするネッ ト リ ス トやその他の機密情報は収集されません。 WebTalk では、 次のデータが収集されます。

• ツールのバージ ョ ン

• プラ ッ ト フォーム情報 (OS、 プロセッサの速度および数、 メ イン メモ リ など)

• プロジェク ト ID

• 承認コード

• 生成日

• ターゲッ ト デバイスおよびファ ミ リ情報

収集されるデータの種類の詳細は、 Xilinx Design Tools WebTalk ウェブページ [参照 14] を参照してください。 デザインで収集された WebTalk データを確認する場合は、 プロジェク ト ディレク ト リに含まれている usage_statistics_webtalk.xml ファ イルを開きます。 usage_statistics_webtalk.xml ファ イルでは、ザイ リ ンクスに送信されたデータも簡単に確認できます。

データの送信WebTalk は、 ビッ ト ス ト リーム生成またはデザイン配線後に起動されます。 WebTalk はビッ ト ス ト リーム後または配線デザインのコンパイル後に起動されます。収集されたデータは usage_statistics_webtalk.xml ファ イルにまとめられ、 HTTPS (Hypertext Transfer Protocol Secure) ポス ト を介してザイ リ ンクスに送信されます。 デザインをコンパイルするたびに、前の usage_statistics_webtalk.xml ファ イルの内容が上書きされます。 また、 WebTalk では同等の HTML ファ イル usage_statistics_webtalk.html が書き出されるので、 ザイ リ ンクスに転送されたデータを確認する と きに便利です。 vivado.log (または runme.log) ファ イルが記述され、 ザイ リ ンクスへのファイル送信が正し く完了したかど うかの情報も確認できます。

Page 41: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 41UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章

ライセンスの取得および管理ザイ リ ンクスの製品ライセンス (Product Licensing) サイ トからは、ザイ リ ンクス ソフ ト ウェアおよび IP 製品のダウンロード、ライセンス、評価などのオンライン サービスを利用できます。この章では、製品ライセンス サイ トの FLEXnetライセンス生成機能ついて説明しています。

ライセンス概要

2 種類の製品ライセンス

ザイ リ ンクス デザイン ツールでは、 次の 2 種類のザイ リ ンクス エンド ユーザー 使用許諾契約が提供されています。

• 証明書ベースのライセンス : これは、 ISE® 11.1 リ リースの ISE Design Suite から導入されたライセンス許諾方法です。証明書とは、ザイ リ ンクス製品ライセンス サイ トから発行されるライセンス ファ イル (.lic) のこ とで、ユーザーの入力し りホス ト ID (個別マシンを認識) に基づいて、 指定されたサーバーまたはライセンス ドングルにそれぞれ対応します。Vivado ツールではランタイム中に有効なライセンス機能をチェッ クするためにこのライセンス証明書にアクセスする必要があるので、 このファイルはマシン上のライセンス検索パスに保存しておく必要があ り ます。

• アクティベーシ ョ ン ラ イセンス : 権限のある各マシンごとにファイルは必要なく、ク ライアン ト またはサーバーのハード ド ラ イブの信頼されるディ レク ト リに許可証明書が保存されます。 2014 年 4 月よ り後に購入した新しい Vivado ツール ラ イセンスのほとんどで、 アクティベーシ ョ ン ベース ラ イセンス という ラ イセンス テク ノ ロジが使用されています。 Vivado を管理者権限でインス トールした場合は、 この信頼ス ト レージ エリ アが自動的にインス トールされて、 Windows オペレーティング システムで初期化されるはずです。 されない場合は、 その他のアクティベーシ ョ ン ラ イセンスの処理前に信頼ス ト レージ エリ アをインス トールして初期化する必要があり ます。詳細は、 「証明書ベースのノード ロ ッ ク ライセンス」 または 「アクティベーシ ョ ン ベースのフローティング ライセンス」 を参照してください。

Vivado ツールはこの信頼ス ト レージ ディ レク ト リに適切な証明書が含まれていれば、 実行ができるよ うになっています。 アクティベーシ ョ ン ベースのライセンスではライセンス ファ イルが使用されないので、 USB ライセンス ドングルとは一緒に使用できません。

証明書ライセンスに関する用語

• ホス ト ID (Host ID) : 証明書ライセンス内の ID で、 この ID を使用してそのコンピューターへのライセンスがまとめられます。 通常の ID は、 ハード ド ラ イブ容量 ID、 イーサネッ ト ポート MAC アドレス、 または USB ドングル ID などです。

• ノード ロ ッ ク ライセンス (Node-Locked License) : 特定マシンに対して製品権利の単一シート分を使用できるようになり ます。

• フローティング ラ イセンス (Floating License) : ネッ ト ワーク サーバーに保存され、 ライセンスはアプリ ケーシ ョンが起動される とチェッ クアウ ト されます。同時に使用できるユーザーの数は、購入したライセンスの数によって異なり ます。

• ライセンス リ ホス ト (License Rehosting) : マシンのハード ウェア変更、 ハード ウェア ド ラ イブ エラー、 またはライセンスの別のマシンへの移行の際に、 生成済みライセンスのホス ト ID を変更するこ とです。

Page 42: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 42UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

• ライセンス削除 (License Deletion) : マシンからライセンスを削除し、 権利をザイ リ ンクス製品ライセンス アカウン トに戻すこ とです。

• 廃棄宣誓書 (Affidavit of Destruction) : リ ホス ト または削除されたライセンスのライセンス ファ イル (.lic) を破棄し、 使用しないという こ とをク リ ッ ク して許諾します。

アクテ ィベーシ ョ ン ライセンスに関する用語

• ク ライアン ト ライセンス (Client License) : 特定マシンに対して製品権利の単一シート分を使用できるよ うになります。 これは、 証明書ベースのノード ロ ッ ク ライセンスに該当するアクティベーシ ョ ン ベースのライセンスです。

• サーバー ライセンス (Server License) : 証明書ベースのフローティング ライセンスに該当するアクティベーシ ョン ベースのライセンスです。 ネッ ト ワーク サーバーに保存され、 ラ イセンスはアプリ ケーシ ョ ンが起動されるとチェッ クアウ ト されます。 同時に使用できるユーザーの数は、 購入したライセンスの数によって異なり ます。

• 信頼ス ト レージ (Trusted Storage) : アクティベーシ ョ ン ライセンスのホス ト情報および権利が保存されるディ レク ト リです。

• リ クエス ト作成 (Request Creation) : アクティベーシ ョ ン ラ イセンスは、 リ クエス ト /フルフ ィルメン ト システムに基づいています。 新しいライセンスに対する リ クエス トがまず信頼ス ト レージに記録される必要があ り ます。これは、 [Obtain License] 画面の [Connect Now] または [Save Link As] ボタ ンを ク リ ッ クする と Vivado LicenseManager で自動的に実行されます。 または、 フローテ ィ ング アクテ ィベーシ ョ ン ラ イセンスの設定に必要なxlicsrvrmgr ツールに同様のコマンド ライン引数を使用しても自動的に実行されます。 リ クエス ト ID が作成され、 それがホス ト情報と共にザイ リ ンクス製品ライセンス サイ トに送信されます。 ラ イセンスがラ イセンスサイ トで生成される と、 同じ リ クエス ト ID でフルフ ィルメン ト XML ファ イルが作成されます。 アクティベーシ ョ ン フルフ ィルメン ト XML ファ イルが信頼ス ト レージに読み込まれ、 フルフ ィルメン トの リ クエス ト ID が信頼ス ト レージに保存されたものと一致すれば、 アクティベーシ ョ ンが問題なく実行されます。 ライセンスがアクティベーシ ョ ンされれば、 そのリ クエス トは非アクティベート されて、別のライセンスの新しいリ クエス トができるよ うになり ます。

• ライセンス返却 (Return License) : アクティベーシ ョ ンの場合、 ライセンス リ ホス ト 、 ラ イセンス削除、 廃棄宣言書は必要なくな り ました。アクティベーシ ョ ンでは、ユーザーがクライアン ト またはサーバー マシンからザイ リンクスへライセンスの返却をするこ とができます。返却リ クエス トがある と、ラ イセンスがローカル マシンで無効にな り、 返却リ クエス トがザイ リ ンクス製品ライセンス サイ トへ送信されます。 それが処理される と、 ユーザーの製品ライセンス アカウン トに権限が戻り、 メ ッセージが信頼ス ト レージに送信され、マシンから返却ライセンスが削除されます。

ライセンスの互換性

Vivado 2014.1 以降のリ リースでは、証明書ベースとアクティベーシ ョ ン ベースの両方のライセンスが認識されます。ライセンス バージ ョ ンと日付が、ツールの使用される間有効であれば、ライセンスが証明書ベースであるかアクティベーシ ョ ン ベースであるかは関係あ り ません。

アクテ ィベーシ ョ ン ライセンスの違い

信頼ス ト レージ ディ レ ク ト リ を許諾するには、 ザイ リ ンク ス製品ラ イセンス サイ ト から ク ラ イアン ト またはサーバー マシンへアクティベーシ ョ ン レコードを送信する必要があ り ます。 現在のと ころ、 これは暗号化された許諾を含む XML ファ イルを使用して実行されます。 アクティベーシ ョ ン ライセンスを生成する と、 これまでの証明書ベースのライセンス ファ イル (.lic) の場合と同様、 XML ファ イルが電子メールで送信されます。 証明書ベースの .lic ファイルはザイ リ ンクス ソフ ト ウェアで続けてアクセスされる必要があるので、有効なライセンス検索パスに保持される必要があ り ます。 XML アクティベーシ ョ ン レコードは、許諾を信頼ス ト レージ ディ レク ト リに読み込むために使用されます。 許諾が読み込まれたら、 XML アクティベーシ ョ ン レコードは必要なくな り ます。

アクティベーシ ョ ン権限に基づいたライセンスを生成するには、ライセンスをまずク ライアン ト またはサーバー コンピューターの信頼ス ト レージ ディ レク ト リ で リ クエス トする必要があ り ます。 ク ラ イアン ト (ノード ロ ッ ク ) アクティベーシ ョ ン ライセンス リ クエス トの場合は、Vivado License Manager か xlicclientmgr コマンド ライン ユーティ リティ を使用する必要があ り、 サーバー (フローティング) ライセンス リ クエス トの場合は、 xlicsrvrmgr コマンド ラ イ

Page 43: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 43UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

ン ユーティ リ ティ を使用する必要があ り ます。xlicclientmgr または xlicsrvrmgr ユーティ リ ティの詳細は、「xlicclientmgrコマンド ライン ユーティ リ ティ」 または 「xlicsrvrmgr コマンド ライン ユーティ リ ティ」 を参照してください。

この リ クエス トが処理されたら、 ザイ リ ンクス製品ライセンス サイ トの URL が生成され、 そこにザイ リ ンクス製品ライセンス サイ トの リ クエス ト ID とマシン特有の ID 情報が含まれます。 この URL は、 ウェブ ブラウザに貼り付けます。 ア ク テ ィ ベーシ ョ ン権限があれば、 ザイ リ ン ク ス製品ラ イセンス サイ ト の [Create New Licenses] タブの[Activation-Based Licenses] セクシ ョ ンに表示されます。

ザイ リ ンクス製品ライセンス サイ ト を直接入力するか、 古いザイ リ ンクス ライセンス マネージャーからアクセスする場合は、 アクティベーシ ョ ン ベースのライセンスを生成するのに必要なマシンの ID が表示されないこ とがあ り ます。 この場合、 ウェブサイ トの [Activation-Based Licenses] 部分がアクティブではなくな り、 証明書ベースのライセンスのみが生成できるよ うになり ます。

アクテ ィベーシ ョ ン ベース ライセンスの生成/インストール/管理

アクティベーシ ョ ン ベースのノード ロック ライセンス

概要

アクティベーシ ョ ンの例外

次の条件のいずれかを満たす場合、ライセンス ソ リ ューシ ョ ンにアクティベーシ ョ ン ライセンスは使用できません。これ以外のライセンス ソ リ ューシ ョ ンについては、 ザイ リ ンクス開発システム カスタマー サービスまでご連絡ください。

• ライセンス ドングルは、 ザイ リ ンクスのアクティベーシ ョ ン ライセンスではサポート されません。 既存のドングルを使用する場合は、 証明書ベースのライセンスのみが使用できます。

• ファ イルがエクスポートできない保護エリ アにライセンスを作成する場合は、 前からあるホス ト ID 構造をサポートする証明書ベースのライセンスの方が向いています。

信頼ストレージの初期化

Windows : Windows を使用していて管理者権限でインス トールする場合、 信頼ス ト レージ エ リ アが自動的にインストールされて初期化されるはずです。 信頼ス ト レージが初期化されない場合は、 次を実行してください。

1. Windows の [スタート ] メニューの検索ボッ クスに cmd と入力し、 cmd.exe アイコンを右ク リ ッ ク して [管理者と して実行] をク リ ッ ク して、 管理者モードでコマンド ウ ィンド ウを開きます。

2. <Vivado Tools Directory>\Vivado\2015.4\bin\unwrapped\win64.o\installanchorservice.exe を実行します。

Linux : 信頼ス ト レージは次の手順を実行して、 手動でインス トールして初期化する必要があ り ます。

1. ルート または sudo アクセスを使用してコマンド ライン シェルを開きます。

2. <Vivado Tools Directory>/Vivado/2015.4/bin/unwrapped/lin64.o/install_fnp.sh を実行します。

Page 44: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 44UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

ユーザー マシンからのノード ロック ライセンスのリクエスト

ノード ロ ッ ク (ク ライアン ト ) アクティベーシ ョ ン ライセンスを生成するには、Vivado License Manager の GUI を使用するか、 xlicclientmgr コマンド ラ イン実行ファ イルを使用して、 ザイ リ ンクス ラ イセンス管理サイ トにアクセスします。これらのツールのいずれかを使用できず、ブラウザからザイ リ ンクス ライセンス管理ウェブサイ トに直接アクセスした場合、 すべてのアクテ ィベーシ ョ ン ベース ラ イセンスが選択できない状態にな り ます。 次の手順は、Vivado License Manager の GUI を使用してザイ リ ンクス ライセンス管理ウェブサイ トにアクセスする方法を示しています。

1. Vivado License Manager を起動します。

a. Windows 7 およびそれ以前の場合は、 [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [Vivado2015.4] → [Manage Xilinx Licenses] をク リ ッ ク します。

b. Windows 8.1 の場合は、スタート画面からすべてのアプリ を リ ス ト して、 [Manage Xilinx Licenses] アプリ を実行します。

c. Linux の場合は、 コマンド ライン シェルに vlm と入力します。

2. 左のウ ィンド ウ フレームで [Get License] の下の [Obtain License] をク リ ッ ク します。

3. メ イン ウ ィンド ウ フレームで [Get Free Licenses]、 [Start 30-Day Evaluation] または [Get My Purchased Licenses] のいずれかをク リ ッ ク して、 [Connect Now] ボタンをク リ ッ ク します (図 5-1)。

4. デフォルトのウェブ ブラウザーが起動し、 ザイ リ ンクス ログイン画面が表示されます。

注記 : マシンがインターネッ トに接続されていない場合やプロキシの問題がある場合は、 [Save Link As] ボタンをクリ ッ ク して ください。 これによ り、 必要な情報が HTML ファ イルで保存されます。 この HTML ファ イルはインターネッ トに接続されたどのマシンのウェブ ブラウザーでも開く こ とができます。

ザイリンクス ライセンス管理ウェブサイ トでのノード ロック アクティベーション ライセンスの生成

Vivado License Manager からウェブ ブラウザーを起動しても、[Save Link As] で保存された HTML ファ イルを使用して手動でブラウザーを開いても、 [Sign In To The Xilinx Licensing Site] 画面が開くはずです。

1. xilinx.com のユーザー名およびパスワードを使用してサインインして、 アドレス情報を確認します。

2. 必要であれば、 [Account] ド ロ ップダウン メニューからご自身のライセンスを含むライセンス アカウン ト を選択します。

3. 必要であればウェブページの [Activation Based Licenses] セクシ ョ ンまでスクロール ダウンします (図 5-2)。

4. アクティベーシ ョ ン ラ イセンスを選択します。

注記 : [Activation Based Licenses] セクシ ョ ンまたは [Certificate Based Licenses] セクシ ョ ンのいずれかでラ イセンスをチェッ ク したら、その他のフ ィールドは自動的に選択できな くな り ます。同じライセンス タイプの複数のライセンスは選択できますが、アクティベーシ ョ ン ライセンスおよび証明書ライセンスは、別々に生成する必要があ り ます。 どちらのセクシ ョ ンも選択できるよ うに戻すには、 チェッ ク したライセンスをオフにします。

X-Ref Target - Figure 5-1

図 5-1 : [Obtain License] ページ

Page 45: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 45UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

5. [Activate Node-Locked License] ボタンをク リ ッ ク します。

6. ポップアップ ダイアログ ボッ クスで各ページの情報が正しいかど うかを確認し、 ライセンス生成が始まるまで[Next] をク リ ッ ク していきます。

X-Ref Target - Figure 5-2

図 5-2 : 新規ノード ロック (クライアン ト ) アクテ ィベーシ ョ ン ライセンスの作成

Page 46: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 46UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

ユーザー マシンへのノード ロック アクティベーション ライセンスのインストール

ワンステップ アクテ ィベーシ ョ ン方法

Vivado 2014.3 からは、 Vivado License Manager で [Connect Now] をク リ ッ クする とポーリ ング モードになるよ うになり ました。 約 2 分後、 Vivado License Manager に次のダイアログ ボッ クス (図 5-3) が表示されます。

インターネッ トおよびプロキシ接続が正しければ、Vivado License Manager でライセンス生成ダイアログ ボッ クス (手順 3) の最後の [Next] ボタンを ク リ ッ クする と、 Xilinx_License.xml フ ァ イルが存在するかど う かが確認されます。Vivado License Manager では、 この XML ファ イルが自動的にダウンロード されてインス トールされ、 ライセンスが即座にアクティベート されて、 [Success] ダイアログ ボッ クスが表示されます。 インス トールしたラ イセンスは、 一番左のウ ィンド ウ フレームの [Manage License] の下の [View License Status] ページで確認できます。

Xilinx_License.xml ファ イルは、記録用に電子メールでも送信されますが、 このファイルに対して何かを実行する必要はあ り ません。

手動方法

ワンステップ アクティベーシ ョ ンのポーリ ング モードは約 15 分後に停止します。ライセンス ファ イルの生成にこれよ り長くかかる場合、 ポーリ ングを手動でキャンセルした場合、 インターネッ トに接続されていないために別のマシンからザイ リ ンクス ラ イセンス管理ウェブサイ トにアクセスした場合は、手動方法を使用してライセンスをマシンに読み込む必要があ り ます。

1. 電子メールに添付されたアクティベーシ ョ ン フルフ ィルメン ト ファ イル (.xml) をローカルの一時ディ レク ト リに保存します。

2. Vivado License Manager を実行します。

3. Vivado License Manager の左側のフレームで [Get License] の下の [Load License] をク リ ッ ク します。

4. [Activate License] ボタンをク リ ッ ク します。

5. アクティベーシ ョ ン フルフ ィルメン ト ファ イル (Xilinx_License.xml) を参照ボタンで選択し、 [開く ] をク リ ッ クします。

6. これでアクティベーシ ョ ン フルフ ィルメン トが信頼ス ト レージに読み込まれ、検証され、そのマシンで該当するツールが使用できるよ うになり ます。

X-Ref Target - Figure 5-3

図 5-3 : [Waiting for Activation License] ダイアログ ボックス

Page 47: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 47UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

アクテ ィベーシ ョ ン ベースのフローテ ィ ング ライセンス

概要

アクティベーシ ョ ンの例外

次の条件のいずれかを満たす場合、 ユーザーのフローティング ライセンス サーバーにアクティベーシ ョ ン ライセンスは使用できません。これ以外のライセンス ソ リ ューシ ョ ンについては、ザイ リ ンクス開発システム カスタマー サービスまでご連絡ください。

• ト ラ イアド ( ト リプル リ ダンダン ト ) フローティング ライセンス サーバー コンフ ィギュレーシ ョ ンは、ザイ リ ンクスのアクテ ィベーシ ョ ン ラ イセンスではサポート されません。 ト リプル リ ダンダン ト フローティング サーバーには、 証明書ベースのライセンスを使用する必要があ り ます。

• SUN-OS ベースのアクティベーシ ョ ン フローティング ライセンス サーバーはザイ リ ンクスではサポート されません。 証明書ベースのライセンスでは、 まだ SUN-OS がサポート されています。

• ファ イルがエクスポートできない保護エリ アにライセンスを作成する場合は、 前からあるホス ト ID 構造をサポートする証明書ベースのライセンスの方が向いています。

信頼ストレージの初期化

信頼ス ト レージは、 ユーザーのフローティング ラ イセンス サーバーに手動でインス トールして初期化する必要があり ます。 フローティング ラ イセンスのインス トール、 初期化、 指定に必要なファ イルはすべて、 ザイ リ ンクス ダウンロード センターの現在の Vivado リ リースの 「ラ イセンス管理ツール」 セクシ ョ ンのプラ ッ ト フォーム別 ZIP ファイルに含まれます。

Windows :

1. ライセンス管理ツールの ZIP をディ スクに抽出します。このアーカイブに含まれる lmgrd および xilinxd が実際にライセンスを使用するのに必要となるので、一時ディ レク ト リ以外のディ レク ト リに保存するこ とをお勧めします。

2. Windows の [スタート ] メニューの検索ボッ クスに cmd と入力し、 cmd.exe アイコンを右ク リ ッ ク して [管理者と して実行] をク リ ッ ク して、 管理者モードでコマンド ウ ィンド ウを開きます。

3. < Server Tools Directory>\<Tools Version>\win64.o\ installanchorservice.exe を実行します。

Linux :

信頼ス ト レージは次の手順を実行して、 手動でインス トールして初期化する必要があ り ます。

1. ライセンス管理ツールの ZIP をディ スクに抽出します。このアーカイブに含まれる lmgrd および xilinxd が実際にライセンスを使用するのに必要となるので、一時ディ レク ト リ以外のディ レク ト リに保存するこ とをお勧めします。

2. ルート または sudo アクセスを使用してコマンド ライン シェルを開きます。

3. <Server Tool Directory>/<Tools Version>/lnx64.o/install_fnp.sh を実行します。

ライセンス サーバー マシンからのフローテ ィング ライセンスのリクエスト

フ ローテ ィ ング (サーバー ) ア ク テ ィ ベーシ ョ ン ベースのラ イセンス を生成するには、 サーバー マシンからxlicsrvrmgr コマンド ラ イン実行ファ イルを使用してザイ リ ンクス ラ イセンス管理サイ トにアクセスします。 このツールを使用できない場合は、ブラウザからザイ リ ンクス ライセンス管理ウェブサイ トに直接アクセスする と、すべてのアクティベーシ ョ ン ベース ライセンスが選択できない状態になっています。 ザイ リ ンクス ライセンス管理アカウン トからフローティング ラ イセンスを生成するには、 次を実行します。

1. サーバー ツール ディ レク ト リ (上記) から xlicsrvrmgr -cr <outputdir>/server_req.xml を実行します。

Page 48: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 48UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

a. これで信頼ス ト レージ エリ アにライセンス リ クエス トが作成されて、ユーザーのサーバーのホス ト ID 情報を含む XML および HTML ファ イルが出力されます。

2. ブラウザで server_req.html ファ イルを開きます。

a. この HTML ファ イルには、 フローティング ライセンスをユーザーのサーバーにロッ クするのにアクティベーシ ョ ンが必要なホス ト ID 情報を含む URL が効率的に含まれます。

3. ウェブ ブラウザーが起動し、 ザイ リ ンクス ログイン画面が表示されます。

注記 : マシンがインターネッ トに接続されていない場合やプロキシ問題がある場合は、 インターネッ トに接続されているほかのマシンでこの HTML をウェブ ブラウザーで開く こ とができます。

ザイリンクス ライセンス管理ウェブサイ トでのフローテ ィ ング アクテ ィベーシ ョ ン ライセンスの生成

1. ザイ リ ンクス ラ イセンス サイ トのログイン画面から、xilinx.com のユーザー名とパスワードを使用してサインインし、 アドレス情報を確認します。

2. 必要であれば、 [Account] ド ロ ップダウン メニューからご自身のライセンスを含むライセンス アカウン ト を選択します。

3. 必要であればウェブページの [Activation Based Licenses] セクシ ョ ンまでスクロール ダウンします (図 5-4)。

注記 : [Activation Based Licenses] セクシ ョ ンまたは [Certificate Based Licenses] セクシ ョ ンのいずれかでライセンスをチェッ ク したら、その他のフ ィールドは自動的に選択できな くな り ます。同じライセンス タイプの複数のライセンスは選択できますが、アクティベーシ ョ ン ライセンスおよび証明書ライセンスは、別々に生成する必要があり ます。 どちらのセクシ ョ ンも選択できるよ うに戻すには、 チェッ ク したライセンスをオフにします。

4. アクティベーシ ョ ン ラ イセンスを選択して [Activate Floating License] ボタンをク リ ッ ク します。

5. ダイアログ ボッ クスが開き、 [Requested Seats] フ ィールドにこのサーバーに割り当てたいライセンス シート数を指定できます。 デフォルトは 0 ですが、 続行するにはそれ以外の数値を入力する必要があ り ます。

注記 : Vivado の権限を Vivado 2015.4 を起動した後に購入または更新した場合、 フローティング ラ イセンスで借用機能が使用できるよ うになり ます。この借用機能の詳細については、「ラ イセンスの借用」を参照してください。

X-Ref Target - Figure 5-4

図 5-4 : 新規フローティング (サーバー ) アクテ ィベーシ ョ ン ライセンスの作成

Page 49: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 49UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

6. 借用機能がユーザーのライセンスで使用可能になっている場合は、[Borrowed Seats] という列が右側に表示されます (図 5-5)。 こ こには、 借用可能な リ クエス ト シート数を指定できます。 デフォルトは 0 で、 借用可能なシート数がないこ とを示し、 前の列でリ クエス ト したシート数までの数を入力できるよ うになっています。

7. リ クエス トおよび借用シート数を入力したら、 ライセンス生成が始まるまで [Next] をク リ ッ ク し続けます。

8. Xilinx_License.xml ファ イルが電子メールで送付されます。 このファイルは、 ライセンスのインス トールと指定を終了するのに必要となり ます。

ユーザー マシンへのフローテ ィング アクテ ィベーシ ョ ン ライセンスのインストールと指定

Xilinx_License.xml ファ イルを受け取ったら、 ローカル ディ レク ト リに保存します。 次の手順は、 ラ イセンスのインス トール方法とフローティング サーバーへの指定方法を示しています。

1. サーバー ツール ディ レク ト リ (上記) から xlicsrvrmgr -p <response filename i.e.(xilinx_license)>.xml を実行します。

2. これによ り、 ラ イセンス情報がユーザーの信頼ス ト レージ エリ アに保存されます。

3. ライセンスがインス トールされたかど うかは、 信頼ス ト レージ エリ アで xlicsrvrmgr –v “format=long" を実行すると確認できます。

ライセンス ファイルの要件

次の手順を終了する前に、 Flexera の lmgrd ライセンス サーバー ユーティ リ ティについて理解しておく点がいくつかあ り ます。 lmgrd およびその他のよ く使用される FLEX サーバー ユーティ リ テ ィはアクテ ィベーシ ョ ン ベースのフローティング ライセンスの指定と管理にまだ使用されています。信頼ス ト レージのライセンスは自動的に検出されてlmgrd によ り指定されますが、 lmgrd でライセンス ファ イル (.lic) が指定される必要があ り ます。 アクティベーシ ョ ンベースのフローテ ィ ング ラ イセンスの場合は、 特定のネッ ト ワークの基礎事項を指定するためだけにラ イセンスファ イルが必要です。

SERVER <host_name> <host_id> <port> (Xilinx’s default port=2100)USE_SERVERVENDOR xilinxd

ザイ リ ンクス IP や ISE Design Suite のよ う な既存のザイ リ ンクス ラ イセンス ファ イルを指定するつも りである場合は、 これ以上の処理は必要あ り ません。アクティベーシ ョ ン ベースのライセンスのみを指定する場合は、上記のリ ストの情報を含む基本的なライセンス ファ イルを作成する必要があ り ます。

4. 上記の最低条件を満たしたライセンス ファ イル .(lic) があるかど うかを確認してください。

X-Ref Target - Figure 5-5

図 5-5 : フローテ ィングおよび借用可能シートの指定

Page 50: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 50UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

5. lmgrd を実行してライセンスを指定します。

Windows :

<Server Tool directory>\win64.o\lmgrd -c <path_to_license>\<license filename>.lic –l <path_to_license>\<log filename>.log

Linux :

注記 : Linux ユーザーの場合、 lmgrd コマンドには設定したライブラ リ パスが必要です。ザイ リ ンクスでは、 これを自動的に設定する lmgrd.sh とい うシェル スク リプ ト を提供しています。 lmgrd コマンド ラ イン ツールのみを使用する場合は、 次のよ うなエラー メ ッセージが表示されるこ とがあ り ます。

<Server Tool directory>/lnx64.o/lmgrd.sh -c <path_to_license>/<license file>.lic -l<path_to_license>/<log filename>1.log

重要 : Windows 8.1 マシンをフローティング サーバーと して使用する場合、 またはサーバーからの借用をサポートする場合は、Vivado 2015.x サーバー ツールのダウンロードに含まれる 11.13.0 バージ ョ ンの lmgrd および xilinxd を使用する必要があ り ます。 lmgrd および xilinxd を前のバージ ョ ンの 11.11.0 から 11.13.0 にアップグレード した場合は、 信頼ス ト レージを初期化し直す必要があ り ます。 借用機能を使用しない場合、 または Windows 8.1 マシンを使用しない場合は、 既存の 11.11.0 バージ ョ ンの lmgrd および xilinxd をご使用いただいて問題あ り ません。

ライセンスの借用

Vivado 2015.1 からは、 ローカル マシンの信頼ス ト レージに互換性のあるサーバーからアクティベーシ ョ ン ベースのライセンスを借用するこ とができるよ うになり ました。 これはつま り、 借用リ クエス ト プロセス中に指定した期間、サーバーのライセンス シート カウン トが 1 つ減り、 その分が借用したク ライアン トのローカルの信頼ス ト レージでアクティベート されるこ とを意味します。これによ り、借用したク ライアン トが効率的にノード ロ ッ ク アクティベーシ ョ ン ライセンスを使用して、 ネッ ト ワークから取り出すこ とができます。 借用期間が終わる と、 ライセンスがク ライアン ト マシンで自動的にディアクティベート されて、 フローティング サーバーに戻されます。 借用シートが必要なくなれば、 ライセンスをフローティング サーバーに借用期間よ り も早く戻すこ と もできます。

サーバー ライセンスの借用制限

2015 年 4 月よ り も前に発行された既存の Vivado フローティング アクティベーシ ョ ン ベース ラ イセンスは借用できません。 Vivado の契約期間の更新が 2015 年 4 月よ り も後の場合は、 その更新から新しいフローティ ング アクテ ィベーシ ョ ン ベースの権限が借用できますが、借用を可能にするかど うか、権限の合計の中で何シート分を借用可能にするかなどは、 ライセンスを生成する管理者がライセンス生成プロセス中に決定する必要があ り ます。

ク ライアン トからは、Vivado License Manager を使用する と ライセンスが借用可能かど うか簡単に確認できます。 これについては、 「ラ イセンスの借用」 を参照してください。

ライセンスの借用

この段階では、アクティベーシ ョ ン ベースのフローティング サーバーから ノード ロ ッ ク ク ライアン トへの借用だけが可能です。 次の手順は、 Vivado License Manager を使用してライセンスを借用する方法を示しています。

1. Vivado License Manager を開いて左側のウ ィンド ウの [Manage License] の下の [Borrow/Restore License Seat] をクリ ッ ク します。

Page 51: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 51UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

2. メ イン エリ アでネッ ト ワーク上のすべてのフローティング サーバーが検索されて、 借用可能なアクティベーシ ョ ン ベース ライセンスが含まれているかど うかが表示されます (図 5-6)。

3. 借用するライセンスをク リ ッ ク し、 [Borrow/Restore] ボタンをク リ ッ ク します。

図 5-6 には、 背景は通常の配色でテキス トが淡色表示になったライセンス行があ り ます。 これは、 このライセンスが借用可能ですが、 現時点では借用できないこ とを示します。 この場合、 すべての借用可能なシート分が既に借用されています。図のよ うに、行の背景が灰色の場合は、 ライセンスが借用できないこ とを示します。 これは、通常 Flex ソフ ト ウェア サーバーが古いか (11.11.0 または 11.6.0 – 11.13.0 が必要)、 ラ イセンス自体が借用用に設定されていないこ と (例 : 2014.x 時代のフローティング ライセンス) を示します。

4. [VLM - Borrow Confirmation] 画面が表示されます。 この画面には、 ライセンスをどれく らいの期間借用するのか指定する必要があ り ます。 これは、 [Set Borrow Expiration Date] フ ィールド (図 5-7 の黄色部分) で設定します。

X-Ref Target - Figure 5-6

図 5-6 : [Borrow/Restore License Seat] ページ

Page 52: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 52UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

デフォルトでは、 現在の日付から 30 日か、 サーバー ラ イセンスの期限が切れる日付のいずれか (どちらか早い方) になり ます。 ライセンス期限切れの日付よ り も後の借用終了日は入力できません。

5. 確認画面で [Borrow] ボタンをク リ ッ クする と、サーバーからのライセンスが減り、 ク ライアン トのローカル信頼ス ト レージに追加されます。

画面が更新され、 ライセンス シートがリ ス トに追加され、 最初の列に [Restore] という値が付きます。

借用したライセンスの返却

借用したライセンスを指定した期間中ずっと借りない場合は、 ローカル ラ イセンス サーバーに返却できます。

1. Vivado License Manager を開いて左側のウ ィンド ウの [Manage License] の下の [Borrow/Restore License Seat] をクリ ッ ク します。

2. 返却するライセンスを選択します。 返却可能なライセンスには [Action] 列に [Restore] と表示されます (図 5-8)。

3. [Borrow/Restore] ボタンをク リ ッ クする と、 確認するダイアログ ボッ クスが表示されます。

4. フローティング ラ イセンス サーバーが使用するポート を指定します。

Vivado License Manager には、 ライセンス サーバーが使用しているポート を検出する機能はあ り ません。 VivadoLicense Manager にはデフォル ト のザイ リ ンク ス ラ イセンス サーバーのポート が表示されますが、 ユーザーのサーバーが別のポート を使用している場合は、 指定する必要があ り ます。 間違ったポート を指定する と、 ローカ

X-Ref Target - Figure 5-7

図 5-7 : 借用期間の設定

Page 53: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 53UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

ル ク ラ イアン ト マシンのライセンスがディ スエーブルになり ますが、 元の借用期間が切れるまでは、 シート をほかのユーザーが使用できるよ うにはなり ません。

5. [Restore] ボタンをク リ ッ クする と、 ラ イセンスがク ライアン ト マシンで非アクティブ状態になり、サーバーのライセンス カウン トが 1 つ増えます。

ライセンスの返却

マシンからザイ リ ンクスへ証明書ベースのライセンスを返却する方法については、 「ラ イセンスの変更」 を参照してください。 アクティベーシ ョ ン ベース ライセンスは、 Vivado License Manager (ノード ロ ッ ク ) または xlicsrvmrgrコマンド ライン ユーティ リ ティ (フローティング) のいずれかを使用してザイ リ ンクスに返却できます。

ノード ロック (クライアン ト ) ライセンスの返却

1. Vivado License Manager を開きます。

2. 左のウ ィンド ウ フレームで [Manage License] の下の [Return License to Xilinx] をク リ ッ ク します。

3. 画面のメ イン エリ アに、信頼ス ト レージ エリ アに現在含まれる ノード ロ ッ ク ライセンスのリ ス トが表示されます。

[Disabled] 列に [No] と表示されている場合は、 ライセンスがアクティブで、 返却可能であるこ とを意味します。[Disabled] 列に [Yes] と表示されている場合は、 返却が既にリ クエス ト されていますが、 完了していない (アカウン トが認識されていない) こ とを意味します。

4. 返却するライセンスをク リ ッ ク して、 メ イン エリ アの一番下の [Details] セクシ ョ ンを確認して、ライセンスの内容を確認します。

5. [Return] をク リ ッ ク します。

X-Ref Target - Figure 5-8

図 5-8 : 返却ポートの設定

Page 54: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 54UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

6. 確認メ ッセージが表示されます (図 5-9)。

アクテ ィベーシ ョ ン ラ イセンスを返却する場合は、 Vivado License Manager からザイ リ ンク ス ラ イセンス管理ウェブサイ トに連絡されて、権限がアカウン トに戻されるよ り も前に、信頼ス ト レージ エリ アでまずディ スエーブルにマーク されて、ユーザー マシンで使用できないよ うになり ます。 これはやり直すこ とができないので、実行前にインターネッ トへの接続があるかど うかを確認しておいてください。

7. Vivado License Manager からはザイ リ ンクス ライセンス管理ウェブサイ トに連絡され、 ユーザー アカウン トにライセンスが自動的に戻されます。

フローテ ィ ング (サーバー ) ライセンスの返却

フローティング ラ イセンス サーバーをザイ リ ンクスに返却するには、 xlicsrvrmgr ユーティ リ テ ィ を使用する必要があ り ます。

1. 返却リ クエス ト を作成します。

xlicsrvrmgr –cr <return request.xml> -r <fulfillment ID>

–cr (create request) オプシ ョ ン と –r (return request) オプシ ョ ンの両方を使用し ます。 フルフ ィル メ ン ト ID は、xlicsrvrmgr –v “format=long” コマンドを実行する と取得できます。

2. ザイ リ ンクスに返却リ クエス ト を送信します。

X-Ref Target - Figure 5-9

図 5-9 : ザイリンクスへのライセンスの返却

Page 55: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 55UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

xlicsrvrmgr –returnTransaction “request=<return request.xml>” “response=<response filename.xml>” “proxy=<proxy:port>”

このコマンドによ り、 返却リ クエス トの XML ファ イルがザイ リ ンクスへ送信され、 返却がされて、 アカウン トに返却されたシートが戻り、 返信用の XML が生成されます。 これは手順 3 で使用します。

3. 返信された XML ファ イルを次のよ うに処理する と、ディアクティベート されたライセンスがローカル サーバーの信頼ス ト レージから削除されます。

xlicsrvmgr –p <response filename.xml>

証明書ベース ライセンスの生成/インストール証明書ベースのライセンスの場合は、 ライセンスをロ ッ クする Flexera ホス ト ID (イーサネッ ト MAC ID、 ド ラ イブシ リ アル番号またはドングル ID) がわかっている限り、 ザイ リ ンクス ユーティ リ テ ィの 1 つからザイ リ ンクス ラ イセンス管理サイ トにアクセスする必要はなく、 直接 http://japan.xilinx.com/getlicense にアクセスできます。 ログインしてアカウン ト を選択したら、 「製品選択」 に示すよ うに製品を選択できます。

[Create New Licenses] タブで 1 つまたは複数のライセンスを選択し、生成するライセンス ファ イル (ク ライアン ト /ノード ロ ッ クまたはサーバー /フローティング) に対して [Generate License] をク リ ッ ク します。

次に示す手順では、 フローティングの証明書ベースのライセンスを生成します。 このプロセスには、 その他すべての証明書ベースのライセンス生成フローが含まれます。

Page 56: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 56UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

証明書ベースのノード ロック ライセンス

ライセンス ファイルを生成する と、 [email protected] から メールが届きます。

1. このメールに添付されたライセンス ファ イル (.lic) をローカルの一時ディ レク ト リに保存します。

2. Vivado License Manager を実行します。

° Windows 7 およびそれ以前の場合は、 [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [Vivado2015.4] → [Manage Xilinx Licenses] をク リ ッ ク します。

° Windows 8.1 の場合は、スタート画面からすべてのアプリ を リ ス ト して、 [Manage Xilinx Licenses] アプリ を実行します。

° Linux の場合は、 コマンド ライン シェルに vlm と入力します。

3. Vivado License Manager の左側の [Getting a License] を展開し、 [Load License] をク リ ッ ク します。

X-Ref Target - Figure 5-10

図 5-10 : 証明書ベースのフローテ ィング ライセンスの生成

Page 57: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 57UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

4. 証明書ライセンス ファ イルを受け取った場合は、 [Load License] 画面の [Copy License] ボタンをク リ ッ ク します。

5. 保存したライセンス ファ イル (Xilinx.lic) を参照ボタンで選択し、 [開く ] をク リ ッ ク します。

6. これでライセンス ファ イルが <ホーム ド ラ イブ (通常は C)>:\.Xilinx (Windows) または <Home>/.Xilinx ディ レク トリにコピーされ、 ザイ リ ンクス ツールから自動的に認識されるよ うにな り ます。

証明書ベースのフローテ ィ ング ライセンス

1. 各製品ライセンスに必要なシート数を選択します。

これは、 フローティング ラ イセンスの場合にのみ選択します。 すべてのノード ロ ッ ク ラ イセンスは、 1 シートのみずつにな り ます。 製品権限に対して使用可能なシート数は、 システムで自動的に維持されます。 [RequestedSeats] フ ィールドはデフォルトでは 0 になっていますが、 こ こには製品権限で残っているシート数までどの数値でも入力できます。 すべてのシートが生成される と、 製品が製品権限の表から削除されます。

2. システム情報を入力します。

フローテ ィ ングの証明書ベースのラ イセンスの場合、 最初のフ ィールドは [Redundancy] で、 [Triple Redundant]サーバー コンフ ィギュレーシ ョ ン (別名、 ト ラ イアド ) を選択する と、 ライセンス マネージャー ソフ ト ウェアに対するフェイル オーバーが実行されるので、 3 つのサーバーのうち 2 つが実行されている限り、 ラ イセンス マネージャーは実行され続けます。 これは、 ノード ロ ッ ク ライセンスの場合は関係あ り ません。

システム情報は、 Vivado License Manager 内のリ ンクから製品ライセンス サイ トにアクセスした場合、 [Host ID]ド ロ ップダウン リ ス トに自動的に表示されます。システム情報が入力されていない場合や別のホス ト を追加する場合は [Add a host] を選択し、 ホス ト を追加します。

ホス ト ID とは、 ソフ ト ウェアまたは IP のライセンスが与えられたマシンを識別する値で、 ホス ト ID タイプには、 MAC アドレス、 ハード ド ラ イブのシ リ アル番号、 ドングル ID などを選択できます。

アクティベーシ ョ ン ベースのライセンスの場合、 すべての必要なシステム情報が Vivado License Manager またはコマンド ライン ツールからウェブ ブラウザーの URL を使用して渡されます。ク ライアン ト またはサーバー ベースのアクティベーシ ョ ン ラ イセンスのいずれかの場合は、 ホス ト情報を手動で入力する必要はあ り ません。

注記 : すべてのホス ト ID タイプがどのオペレーティング システムでもサポート されるわけではあ り ません。 ホス ト ID を取得するには、 ラ イセンス ホス ト となるマシンで Vivado License Manager を実行するのが一番簡単な方法です。

3. コ メン ト を追加します。

コ メン ト を追加する と、 管理者がデザイン ツールや IP のライセンスをユーザー間でどのよ うに分けたかなどの記録を残すこ とができます。

4. [Next] をク リ ッ ク します。

次のよ うなライセンス リ クエス ト を確認するフォームが表示されます。

X-Ref Target - Figure 5-11

図 5-11 : [Add a host] 画面

Page 58: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 58UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

5. 選択を確認します。

6. 問題がなければ、 [Next] をク リ ッ ク します。

エンドユーザー使用許諾契約

ザイ リ ンクス デザイン ツールと無償 IP のエンド ユーザー使用許諾契約 (EULA) は、製品のインス トール プロセス中に許諾されます。 この使用許諾契約のコピーは、 <install directory>/.xinstall/Vivado_2015.4/data/unified_xilinx_eulas.txt にあ り ます。

IP 製品のライセンスを精製する場合は、 ライセンス ファ イルを生成する前に関連する IP 製品の EULA を許諾する必要があ り ます。

サードパーティ ライセンス

サードパーティ ライセンスのコピーは、<install_directory>/.xinstall/Vivado_2015.4/data/unified_3rd_party_eula.txt にあ り ます。

ライセンス生成の確認

ライセンス生成プロセスが終了したら、 次のよ うな確認メ ッセージが表示されます。

X-Ref Target - Figure 5-12

図 5-12 : ライセンス リクエストの確認

Page 59: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 59UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

ライセンス生成を確認する電子メールも送信されます。このメ ッセージには、生成したライセンス ファ イルが添付されます。 アドレス ブッ クに [email protected] を信頼する送信者と して追加しておいてください。

電子メールでライセンスが受け取れなかった場合は、 ザイ リ ンクス ラ イセンス サイ トから直接ダウンロード して ください。 詳細は、 「ザイ リ ンクス製品ライセンス サイ トでのライセンス管理」 を参照して ください。

証明書ベースのフローテ ィング ライセンスの指定

既存の FLEXnet ライセンス サーバーに証明書ベースのライセンスを使用する場合は、[email protected] から送付されたライセンス ファ イルの内容を FLEXnet サーバーの既存のライセンス ファ イルにコピーします。

既存の FLEXnet ラ イセンス サーバーにアクティベーシ ョ ン ベースのライセンスを使用する場合は、 xlicsrvrmgr-p <responseFIleName> コマンドを使用して、 信頼ス ト レージにライセンスを読み込みます。

注記 : フローティング ライセンス サーバーを再起動して、 新しいザイ リ ンクス ライセンスを有効にします。

新しいライセンス サーバーの場合

1. ザイ リ ンクス ダウンロード センター (http://japan.xilinx.com/download/index.htm) からサーバーの OS に最適なザイリ ンクス FLEXnet ライセンス ユーティ リ ティ をダウンロード します。

2. これらのユーティ リ ティ を保存したディ レク ト リで解凍します。 このディ レク ト リは、 アプ リ ケーシ ョ ンの検索パスに置く こ とをお勧めします。

3. FLEXnet ユーティ リ ティ をインス トールしたら、次のコマンドを実行して、フローティング ライセンス サーバーを起動します。

° Linux

- <Server Tool directory>/lnx64.o/lmgrd.sh -c <path_to_license>/<license file>.lic -l <path_to_license>/<log filename>1.log

° Windows

- <Server Tool directory>\win64.o\lmgrd -c <path_to_license>\<license filename>.lic –l <path_to_license>\<log filename>.log

X-Ref Target - Figure 5-13

図 5-13 : ライセンス生成の確認

Page 60: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 60UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

クライアン ト マシンからのフローテ ィング ライセンスの指定

1. Vivado License Manager (VLM) を実行します。

2. [Manage Xilinx Licenses] タブをク リ ッ ク します。

3. port@server の形式でライセンス サーバーへのネッ ト ワーク パスを XILINXD_LICENSE_FILE フ ィールドに入力します。

4. [Set] ボタンをク リ ッ ク します。 デフォルトのザイ リ ンクス ポート番号は 2100 です。

Linux OS の場合、 Vivado License Manager (VLM) を使用してライセンス環境変数を設定できません。 環境変数フ ィールドは読み出し専用なので、 淡色表示になり、 [Set] ボタンも表示されません。 環境変数は、 適切な OS シェルおよびコマンドを使用して設定する必要があ り ます。

Page 61: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 61UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

ユーザー マシンでのライセンスの管理

Vivado License ManagerVivado® License Manager (VLM) は、 ノード ロ ッ ク (ク ラ イアン ト ) アクティベーシ ョ ン ライセンスおよび証明書ベース ラ イセンス用のツールです。 アクテ ィベーシ ョ ン ベース ラ イセンスを使用したラ イセンス サーバーの場合は、「xlicsrvrmgr コマンド ライン ユーティ リ ティ」 セクシ ョ ンを参照してください。Vivado License Manager は、Vivado エディシ ョ ンおよび多くのスタンドアロン ツールをインス トールする と インス トールされます。

X-Ref Target - Figure 5-14

図 5-14 : Vivado License Manager

Page 62: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 62UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

Vivado License Manager は次の手順で開く こ とができます。

• Linux には、ザイ リ ンクス環境が読み込まれたコマンド ライン シェルに VLM と入力します。Windows 7 またはそれ以前のバージ ョ ンの場合は、 [スタート ] → [Xilinx Design Tools] → [Vivado 2015.4] → [Manage Xilinx licenses] をク リ ッ ク します。

• Windows 8.1 の場合は、スタート画面からすべてのアプリ を リ ス ト して、 [Manage Xilinx Licenses] アプリ を実行します。 Vivado License Manager は、 Vivado で [Help] → [Obtain A License Key] または [Help] → [Manage License] をク リ ッ ク しても実行できます。

Vivado License Manager が使用される通常のタスクは、 次のとおりです。

• ライセンスの取得 (Obtain License) : 複数のライセンス オプシ ョ ンから選択し、ザイ リ ンクス製品ライセンス サイトにアクセスし、ラ イセンス生成プロセスを終了します。アクティベーシ ョ ン ベースの権限のライセンスを生成するには、 Vivado License Manager を使用してザイ リ ンクス製品ライセンス サイ トにアクセスする必要があ り ます。 [Obtain a License] 画面でインターネッ ト接続がある場合は [Connect Now] を、 ない場合は [Save Link As] をクリ ッ ク します。 [Save Link As] をク リ ッ クする と、URL のパラ メーターを使用して Vivado License Manager が通常ザイ リ ンクス製品ライセンス サイ トに渡す情報が、 後で使用できるよ うに HTML ファ イルに保存されます。

• ライセンス ステータスの表示 (Viewing License Status) : どのライセンスがローカル マシンで表示されるかを確認できます。 これはライセンス問題をデバッグする際に便利です。

• ライセンスのローカル マシンへの読み込み (Load License) : 証明書ライセンス (.lic) またはアクティベーシ ョ ンフルフ ィルメン ト ファ イル (.xml) を受信したら、マシンの適切なディ レク ト リに保存します。詳細な手順については、 該当するライセンス タイプの 「ラ イセンス キーのインス トール」 セクシ ョ ンを参照してください。

• ザイ リ ンクスへのライセンスの返却 (Return License to Xilinx) : ライセンスがローカル マシンに必要なくなったら、 ザイ リ ンクスに返却して、 権限をライセンス アカウン トに戻します。

• ライセンス検索ディ レク ト リの表示および設定 (Windows の場合) (License Search Location) : Vivado では、 複数のデフォルト ディ レク ト リから ラ イセンスが検索されます。 ラ イセンスがマシン外やフローティング ラ イセンスサーバー上にある場合、 ライセンスへのパスを指定する必要があ り ます。

推奨 : ザイ リ ンクス ラ イセンス ファ イルのディ レク ト リは XILINXD_LICENSE_FILE 環境変数で指定するこ とをお勧めします。 LM_LICENSE_FILE も使用はできますが、 これは主にザイ リ ンクス以外またはレガシー ラ イセンス パスを使用するための変数です。

Page 63: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 63UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

xlicclientmgr コマンド ライン ユーティ リテ ィ

xlicclientmgr は、 アクティベーシ ョ ン ラ イセンス リ クエス ト作成するか、 ク ラ イアン ト (ノード ロ ッ ク ) コンピューターの信頼ス ト レージ ディ レク ト リ を管理するユーティ リ ティです。 サーバー (フローティング) コンピューターの信頼ス ト レージ ディ レク ト リでの作成と保存については、 次の xlicsrvrmgr ユーティ リ テ ィの詳細を参照して ください。

xlicclientmgr には、グラフ ィカルな Vivado License Manager ユーティ リ ティ と同じ機能が多く提供されていますが、アクティベーシ ョ ン ラ イセンスに限定されます。 xlicclientmgr はザイ リ ンクス ツール インス トールの <InstallDirectory>\Vivado\2015.4\bin ディ レク ト リに含まれます。

重要な xlicclientmgr コマンド オプシ ョ ン

• -help all : xlicclientmgr の使用情報が表示されます。

• -v or -v “format=long” : マシンの信頼ス ト レージ ディ レク ト リの内容のリ ス ト または詳細リ ス トが表示されます。

• -cr <XML RequestFileName> [-r fulfillmentID] : 信頼ス ト レージにリ クエス ト を作成します。これは、ザイ リ ンクスからアクティベーシ ョ ン ライセンスを リ クエス トするためのコマンドで、XML 形式でアクティベーシ ョ ン リ クエス トが作成され、ザイ リ ンクス製品ライセンス サイ トで使用する情報と一緒に URL が含まれる HTML ファ イルも作成されます。 –r オプシ ョ ンを使用する と、指定したフルフ ィルメン ト ID を含むライセンスをザイ リ ンクスに返却する リ クエス トが作成されます。

• -p <responseFIleName> : XML ファ イルを信頼ス ト レージに送り ます。 これは、 基本的に Vivado LicenseManager の [Load License] 画面の [Activate License] ボタンを実行したのと同じコマンドです。

• -returnTransaction "request=<requestFileName>" "response=<responseFileName>"

"proxy=<host:port> [<proxy userId> <proxy passwd>]" : ローカル マシンからザイ リ ンクス製品ライセンス サイ トへ返却リ クエス トが送信されます。

注記 : 返却リ クエス トは、 XML ファ イル形式で既に作成されている必要があ り ます。 この返却リ クエス ト は、xlicclientmgr に -cr および -r オプシ ョ ンを使用して既に作成されているはずです。

xlicsrvrmgr コマンド ライン ユーティ リテ ィ

xlicsvrmgr はアクテ ィベーシ ョ ン ラ イセンス リ クエス ト を作成するか、 フローティ ング ラ イセンス サーバー コンピューターの信頼ス ト レージ ディ レク ト リに作成しするユーティ リ テ ィです。 アクティベーシ ョ ン ベースの権限に基づいてフローティング ラ イセンスを生成する場合は、 xlicsvrmgr ユーティ リ ティ をまず実行して OMS ウェブサイトにアクセスする必要があ り ます。 Vivado License Manager では、 ク ライアン ト (ノード ロ ッ ク ) アクティベーシ ョ ンの ト ランザクシ ョ ンのみがサポート されます。 フローティング サーバー ラ イセンスのリ クエス トには、xlicsrvrmgr を使用する必要があ り ます。

xlicsrvrmgr は、 http://japan.xilinx.com/download/index.htm の License Management Tools フ ィールドからダウンロードできます。 このユーティ リ ティは、 ザイ リ ンクス ツール インス トール ディレク ト リの <Install Directory>\Vivado\2015.4\bin にも含まれます。

xlicsrvrmgr を初めて実行する前の手順

xlicsrvrmgr をフローティング ラ イセンス サーバーで実行するのが初めての場合は、 アクティベーシ ョ ン権限の保存されるコンピューターの信頼ス ト レージ ディレク ト リがまずインス トールされて、初期化されているこ とを確認して ください。 ラ イセンス管理ツールのダウンロードには、 OS 別の初期化ユーティ リ ティが含まれます。 ラ イセンス管理ツールが解凍された <OS><bitwidth>.o ディ レク ト リ (例 : c:\servertools\win64.o\) から、 次のコマンドを実行します。

• Windows : installanchorservice.exe xilinxd Xilinx-Design-Suite-Software

• Linux : install_fnp.sh

Page 64: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 64UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

重要な xlicsrvrmgr コマンド オプシ ョ ン

• -help all : xlicsrvrmgr の使用情報が表示されます。

• -v or -v “format=long” : マシンの信頼ス ト レージ ディ レク ト リの内容のリ ス ト または詳細リ ス トが表示されます。

• -cr <XML RequestFileName> [-r fulfillmentID] : 信頼ス ト レージにリ クエス ト を作成します。

これは、 ザイ リ ンクスからアクティベーシ ョ ン ライセンスを リ クエス トするためのコマンドで、 XML 形式でアクティベーシ ョ ン リ クエス トが作成され、ザイ リ ンクス製品ライセンス サイ トで使用する情報と一緒に URL が含まれる HTML ファ イルも作成されます。 –r オプシ ョ ンを使用する と、指定したフルフ ィルメン ト ID を含むライセンスをザイ リ ンクスに返却する リ クエス トが作成されます。

注記 : フローティング ラ イセンス サーバー用のアクティベーシ ョ ン ラ イセンスを新し く作成する場合は、 この-cr オプシ ョ ンを実行して、 結果の HTML ファ イルの URL を使用してザイ リ ンクス製品ライセンス サイ トにアクセスする必要があ り ます。製品ライセンス サイ トに直接アクセスするか、 リ ンクをク リ ッ ク してアクセスする場合は、 ウェブサイ トの [Activation] セクシ ョ ンが非アクティブになり ます。

• -p <responseFIleName> : XML ファ イルを信頼ス ト レージに送り ます。

• -returnTransaction "request=<requestFileName>" "response=<responseFileName>" "proxy=<host:port> [<proxy userId> <proxy passwd>]" : ローカル マシンからザイ リ ンクス製品ライセンス サイ トへ返却リ クエス トが送信されます。

注記 : 返却 リ クエス ト は、 XML フ ァ イル形式で既に作成されている必要があ り ます。 この返却 リ クエス ト は、xlicclientmgr に -cr および -r オプシ ョ ンを使用して既に作成されているはずです。

ザイリンクス製品ライセンス サイ トの使用ザイ リ ンクス製品ライセンス (Product Licensing) サイ トでは、 証明書ベースとアクティベーシ ョ ン ベースの両方のライセンスが生成できます。 このサイ トでは、 証明書ベースのライセンスが変更できるほか、 ライセンス発注に関する情報も含まれます。 前述のよ うに、 アクティベーシ ョ ン ラ イセンスの作成には、 Vivado License Manager からザイ リンクス製品ライセンス サイ トにアクセスするか、適切なコマンド ライン ユーティ リ ティ を使用する必要があ り ます。

製品ライセンス サイ トには、 生成するライセンスの種類によってさまざまな方法でアクセスできます。

• 証明書ベースのライセンスを使用する製品を購入した場合は、注文確認メールに含まれる リ ンクをク リ ッ ク してください。 この リ ンクをク リ ッ クする と、 購入した製品の権利情報を含むアカウン トに直接アクセスできます。

• アクティベーシ ョ ン ベースのライセンスを使用する製品を購入した場合は、 Vivado License Manager かxlicclientmgr または xlicsrvrmgr を使用して、ライセンス リ クエス ト を生成します。ライセンス リ クエス ト情報はVivado License Manager またはコマンド ラ イン ツールから URL を介して送信され、 製品権限を含むアカウン トへアクセスできるよ うになり ます。

• Vivado® Design Suite 製品を評価するには、http://japan.xilinx.com/products/design_tools/vivado/vivado/vivado-webpack.htm にアクセスしてください。

• IP 製品を評価する場合は、 http://japan.xilinx.com/ipcenter の該当する IP 製品ページの [評価] リ ンクをク リ ッ ク します。

• 製品ライセンス サイ トには、 http://japan.xilinx.com/getlicense から直接アクセスできます。 この方法でサイ トにアクセスする場合は、アクティベーシ ョ ン ベースのライセンスは作成できませんが、証明書ベースのライセンスは作成でき、 ライセンスのアカウン ト管理の機能も実行できます。

ザイ リ ンクス製品ライセンス サイ トにアクセスするには、まず登録するか、登録情報を入力する必要があ り ます。

Page 65: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 65UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

5. まず、 ザイ リ ンクスのサイ トにサインインする必要があ り ます。 既にザイ リ ンクス ユーザー アカウン ト をお持ちの場合は、 ユーザー ID とパスワードを入力して、 登録情報が正しいかど うかを確認します。 アカウン ト をまだ作成していない場合は、 [Create Account] ボタンをク リ ッ ク し、 アカウン ト を作成してください。

製品選択

購入済みまたは評価する製品のライセンスを、 次の手順で生成します。

1. [Account] ド ロ ップダウン リ ス トから製品ライセンス アカウン ト を選択します。

注記 : 評価版または無償の製品を使用する場合は、 このド ロ ップダウン リ ス トは表示されません。

2. キッ ト と一緒に購入したソフ ト ウェアまたは IP 製品ライセンスのバウチャー コードがある場合は、 それを入力します (オプシ ョ ン)。

3. 製品権限の表に評価版または無償の IP 製品の権限を追加します (オプシ ョ ン)。

4. 製品権限の表から製品を選択します。

X-Ref Target - Figure 5-15

図 5-15 : ザイリンクス製品ライセンス サイ ト - サインイン ページ

X-Ref Target - Figure 5-16

図 5-16 : 新規ライセンスの作成

Page 66: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 66UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

これらの権限は証明書ベースまたはアクティベーシ ョ ン ベースのライセンスの 2 つのカテゴ リに大き く分類されます。選択可能な製品権限のタイプは、 [Full] (購入済み)、 [No Charge] (無償)、 [Evaluation] (評価版) のいずれかになり ます。 フル ラ イセンスおよび無償ライセンスの場合は、 1 年間の有効期限があ り ます。 デザイン ツールの評価版は 30日、 IP の評価版は 120 日間の有効期限があ り ます。

フローティング/サーバーおよびノード ロ ッ ク /ク ラ イアン ト ラ イセンスは、 同じライセンス ファ イルにま とめる ことはできません。1 つのライセンス タイプのみを含む権限を選択する と、[Generate] ボタンをク リ ッ ク したと きに、もう一方のライセンス タイプが選択できな くな り ます。 同様に、 証明書ベースおよびアクティベーシ ョ ン ベースの権限は同時に生成できません。 1 つのライセンス エリ アで権限を選択する と、残りのライセンス生成中にも う一方のライセンス エリ アが非アクティブになり ます。

デザイン ツールの場合、 表の [Available Seats] に購入したライセンスの総数が表示されます。 IP の場合、 ライセンスはサイ トの契約に従って管理されます。

表の [Status] に 「Current」 と表示されている場合は、 その製品が保証期間内にあるこ とを示し、 「Expired」 と表示されている場合は、 その保証期間が終了したこ とを示しています。 [Available Seats] の数がまだある場合は、 「Current」または 「Expired」 の製品のライセンスを生成できます。

Vivado Design Suite: 30-Day System Edition は Xilinx Design Tools ツール セッ トすべての機能にアクセスできる評価版です。 この製品は、 製品ライセンス アカウン トに自動的に含まれます。

デザイン ツールおよび IP 製品ライセンスの製品バウチャーは、 ザイ リ ンクスまたはパートナーの開発ボードまたはデザイン キッ ト と共に送信されます。製品バウチャー カードを所持している場合は、カードに記述されたバウチャーコードをテキス ト フ ィールドに入力し、 [Redeem Now] ボタンをク リ ッ ク します。 これで、 該当するデザイン ツールまたは IP 製品が表に追加され、 ライセンス キーが生成できるよ うにな り ます。

ページ内の [Add Evaluation and No Charge IP Cores] セクシ ョ ンで [Search Now] ボタンをク リ ッ クする と、 次のよ うなページが表示され、 評価版および無償の IP を検索して製品リ ス トに追加できます。

ザイリンクス製品ライセンス サイ トでのライセンス管理

ザイ リ ンクス製品ライセンス サイ トでは、生成したライセンスが記録されます。 [Manage Licenses] タブには、 その製品ライセンス アカウン トで生成したライセンスすべてが表示されます。

X-Ref Target - Figure 5-17

図 5-17 : IP 製品の選択画面

Page 67: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 67UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

[Manage Licenses] タブからは、 必要に応じて次を実行できます。

既存ライセンスの確認と取得

ユーザーのアカウン トから生成したライセンスに関する情報は、マスター ビューと詳細ビューに表示されます。上部の表 (マスター ビュー ) で行をク リ ッ クする と、 そのラ イセンスの詳細情報が下部の表 (詳細ビュー ) に表示されます。 詳細ビューの表には、 次の情報が含まれます。

• ファ イルで有効にした製品権限のリ ス ト

• ファ イルに関連するコ メン ト

この表からは、 次が実行できます。

• ダウンロード : ライセンスまたはアクティベーシ ョ ン フルフ ィルメン ト ファ イルが電子メールで届かなかった場合は、 こ こからダウンロード して ください。

• 電子メール : ライセンスまたはアクティベーシ ョ ン フルフ ィルメン ト ファ イルがご本人または別のユーザーに送信されます。

• 表示 : 実際のライセンス ファ イルを表示できます。

• 削除 (証明書ベースのライセンスのみ) : ライセンス ファ イルを削除できます。 ファ イルを削除する と、 権限が[Create New License] タブに表示されるよ うにな り、別のホス ト ID 用にライセンスを再生成可能になり ます。

• 許諾したエンド ユーザー ライセンス契約を表示 (IP のみ)

ライセンスの変更

アクティベーシ ョ ン ベースのライセンスはザイ リ ンクス製品ライセンス サイ トでは変更できません。 アクティベーシ ョ ン ベースのライセンスを変更するには、 まず Vivado License Manager の [Return License to Xilinx] ページを使用するか、 適切なコマンド ラインのライセンス マネージャーで返却リ クエス ト を作成します。 アクティベーシ ョ ン ベースのライセンスを返却する と、ザイ リ ンクス製品ライセンス サイ トの [Create New Licenses] タブのシート数が返却した分増えます。 これで、 別のマシンに変更する場合や、 同じマシンでシート数を増やしたり、 別の機能やその他の変更を加える場合に、 新しいアクティベーシ ョ ン ベースのライセンスを生成できるよ うにな り ました。

X-Ref Target - Figure 5-18

図 5-18 : ライセンスの管理

Page 68: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 68UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

既存の証明書ベースのライセンスを変更するには、 マスター ビューでそのライセンス ファ イルを選択します。 証明書ベースのライセンスは、 次の方法で変更できます。

ライセンス ファイル全体の削除およびアカウン トへの権限の返却

1. [Manage Licenses] タブ (67 ページの図 5-18) で削除するライセンスを選択します。

2. ライセンス ファ イルの詳細の左側にある [Delete] ボタンをク リ ッ ク します。

3. [Accept] ボタンをク リ ッ ク し、 廃棄宣誓書 (Affidavit of Destruction) を受諾します。

注記 : これによ り、 ラ イセンス ファ イル全体からすべてのライセンス シートが削除され、 ユーザー アカウン トに権限が戻されます。

リホスト : ライセンス ファイルのノード ロックまたはライセンス サーバー ホスト ID の変更

1. [Manage Licenses] タブ (図 5-18) でホス ト を変更するライセンスを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 2 の System Information を確認します。

4. ド ロ ップダウン リ ス トおよびテキス ト ボッ クスをそれぞれ使用し、ホス ト ID またはホス ト名を変更または追加します。

5. [Next] ボタンを 2 回押し、 [Accept] ボタンを押して廃棄宣誓書を受諾します。

既存のライセンスのシート数の追加

1. [Manage Licenses] タブ (図 5-18) でシート を追加するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. フローティング ライセンスの場合、 [Requested Seats] フ ィールドを変更して権限内の最大シート数までシート を追加できます。

5. [Next] を 2 回ク リ ッ ク します。 シート を追加するのに廃棄宣誓書 (Affidavit of Destruction) の受諾は必要あ り ません。

既存の製品ライセンスシート数の削除

1. [Manage Licenses] タブ (図 5-18) でシート を削除するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. フローティング ラ イセンスの場合、 [Requested Seats] フ ィールドを変更して、 このライセンス ファ イルで権利のあるシート数を削減できます。

5. [Next] ボタンを 2 回押し、 [Accept] ボタンを押して廃棄宣誓書を受諾します。

ライセンス キー ファイルへの別の製品ライセンスの追加

1. [Manage Licenses] タブ (図 5-18) で機能/権限を追加するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. ライセンス ファ イルに追加する新しい権限ののチェッ ク ボッ クスをオンにします。

5. [Next] を 2 回ク リ ッ ク します。機能を追加するのに廃棄宣誓書 (Affidavit of Destruction) の受諾は必要あ り ません。

Page 69: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 69UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

ライセンス キー ファイルからの製品ライセンスの削除

1. [Manage Licenses] タブ (図 5-18) で機能/権限を削除するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. ライセンス ファ イルから削除する権限ののチェッ ク ボッ クスをオンにします。

5. [Next] ボタンを 2 回押し、 [Accept] ボタンを押して廃棄宣誓書を受諾します。

変更中にホス トの変更数を超えたこ とを示すメ ッセージが表示される場合は、 [email protected] まで電子メール (英語) で追加のホス ト変更 (rehost) オプシ ョ ンを請求してください。

削除したライセンス コンポーネン トの再請求

製品ライセンスは、 次のいずれかが実行される と削除されます。

• ライセンス サーバー ホス トの変更

• 既存の製品ライセンスシート数の削除

• ライセンス キー ファ イルから製品ライセンスの削除

シート を削除したり、証明書ベースのライセンス ファ イルから製品を削除した場合、その使用権限が元に戻るか、 ライセンス アカウン トのシート数が増えます。 既存ライセンス ファ イルから削除したシート数と同じ数分、 ザイ リ ンクス製品ライセンス サイ トの [Create New Licenses] タブのシート数が増えます。

ライセンスを再発行する前に、 まず廃棄宣誓書を許諾します。 この法的契約は、 無効になった製品ライセンスが使用されていないこ とを確認するために必要です。

発行数は、ユーザーごとに記録されます。製品ライセンスはメジャー リ リースごとに管理者は 5 回、エンド ユーザーは 3 回再発行できます。

ライセンス キー ファイルの変更点

製品権限に対してライセンスが生成されるたびに、FLEXnet でインク リ メン ト行および対応するパッケージ行がライセンス キー ファ イルに追加されます。 ライセンス ファ イルが既存の製品権利のシート を有効にしたり追加するために修正される と、 インク リ メン ト またはパッケージ行がライセンス ファ イルに追加されます。

ライセンス ファイルのホス トが変更されたり、シート数や製品権利が削除される と、対応するインク リ メン ト行が修正されたライセンス ファ イルからは削除されます。

古いライセンス

リ リース 10.1 以前のバージ ョ ンのラ イセンスが必要な場合は、 [Legacy Licensing] タブをク リ ッ ク します。

Page 70: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 70UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

各バージ ョ ンで次の手順を実行します。

10.1 以前のバージ ョ ン

1. バージ ョ ンを選択します。 連絡先情報を確認する画面が表示されます。

2. 必要な情報を記述し、登録 ID を取得します。登録 ID は画面に表示されるほか、記録用に電子メールにも送信されます。

3. ザイ リ ンクス ダウンロード センターで左側の [Version] 列の下の [Archive] リ ンクをク リ ッ ク します。

4. ダウンロード中に登録 ID を入力する画面が表示されたら入力して、 ダウンロードを終了します。

ユーザーのライセンス アカウン ト

製品ライセンスのアカウン ト

デザイン ツールまたは IP 製品をザイ リ ンクスから購入する と、 ラ イセンスを購入したこ とにな り、 その製品のアップデート を使用する権利が 1 年間あ り ます。 ザイ リ ンクス デザイン ツールおよび IP 製品を使用するライセンスは、そのライセンスの状況によって異なり ます。 ライセンスは、 次の要件で決ま り ます。

• 購入した製品

• 購入したシート数

• ライセンス タイプ (証明書またはアクティベーシ ョ ン ベース、 フローティングまたはノード ロ ッ ク )

• 製品使用期間 (製品アップデートは 1 年間有効)

このサイ トからは、 購入したデザイン ツールおよび IP の製品権利だけでなく、 無償の製品や評価版 製品などのライセンスにもアクセスできます。 フル ラ イセンスおよび無償ライセンスの場合は、 1 年間の有効期限があ り ます。 デザイン ツールの評価版は 30 日、 IP の評価版は 120 日間の有効期限があ り ます。

製品権限からライセンスを生成する と、ウェブサイ トでライセンス ファ イルが生成されます。ライセンスを有効にする と、 ウェブサイ トでライセンス ファ イルが生成されます。 ラ イセンス ファ イルをインス トールする と、 購入または評価中のソフ ト ウェアおよび IP の使用が有効になり ます。 ライセンスやライセンス ファ イルはザイ リ ンクス ウェブサイ トで管理します。

ラ イセンス アカウン トは、 ザイ リ ンクス ソフ ト ウェア購入リ ス トに掲載されている各ユーザーに与えられ、 エンドユーザーまたは管理者のいずれかと して登録されます。管理者が 1 人の場合は同じライセンス アカウン トですべての購入を管理できます。 企業の場合は、 異なる管理者によって複数のアカウン ト を持つこ とができるので、 社内で予算の異なる別のプロジェク ト を実行している複数のデザイン チームがある場合などに使用する と、 便利です。

X-Ref Target - Figure 5-19

図 5-19 : 古いライセンス

Page 71: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 71UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

注記 : ライセンスは、 期限切れの製品権限に対しても生成はできますが、 使用期間が終わるまでのバージ ョ ンまでしか使用できません。 ライセンスの期限が切れた後に製品アップデート をする と、次にツールを使用する と きにライセンス エラー メ ッセージが表示されます。

ザイリンクス デザイン ツールでの LogiCORE IP ライセンスの生成

LogiCORE™ IP および購入済みデザイン ツール ライセンスはすべて、 製品ライセンス サイ トにログインする と リ スト されます。 現時点では、 すべての IP で証明書ベースのライセンスが生成されるよ うになっています。 評価用ライセンスおよび無償 IP のライセンスも同じサイ トから入手できます。 すべての証明書ベースのデザイン ツールおよびIP のライセンスが 1 度で生成でき、 1 つのライセンス ファ イルがメールで送信されます。

ユーザー タイプとアクシ ョ ン

製品ライセンス サイ トには、カスタマー アカウン ト管理者、エンド ユーザー、および無償ユーザーの 3 タイプのユーザー権限があ り ます。

カスタマー アカウン ト管理者

カスタマー アカウン ト管理者は、 たとえば CAD ツールの管理者などがなり ます。 各アカウン トに、 必ず 1 人のカスタマー アカウン ト管理者が必要です。 カスタマー アカウン ト管理者は、 複数のアカウン ト を管理できます。

カスタマー アカウン ト管理者には、 次のよ うな権限があ り ます。

• ザイ リ ンクス デザイン ツールおよび IP 製品のノード ロ ッ クまたはフローティング ライセンスの生成

• アカウン トへのユーザーの追加と削除

• ほかのユーザーへの管理者権限の割り当て

カスタマー アカウン ト管理者の情報は、製品注文の過程で送付先と して指定され、管理者の電子メール宛に購入した製品のダウンロードおよびライセンス情報などが送付されます。カスタマー アカウン ト管理者が電子メールのリ ンクをク リ ッ クするこ とで、 購入した製品へのアクセスができるよ うになり ます。

エンド ユーザー

製品ライセンス アカウン トにエンド ユーザーを追加する と、エンジニアやデザイン チーム メ ンバーがそれぞれ柔軟にライセンス キーを管理および生成できるよ うになり ます。 エンド ユーザーは、 アカウン ト内でノード ロ ッ ク付きライセンス ファイルを生成できるほか、評価版の入手、デザイン ツールや IP 製品の無料ライセンス ファ イルも生成できます。 カスタマー アカウン ト管理者は、 エンド ユーザーがフローティング ライセンスを生成できるよ うに、 エンド ユーザーのアカウン ト を設定できます。 ただし、 エンド ユーザーには、 次のよ うな制限があ り ます。

• デフォルトの設定のままではフローティング ライセンス ファ イルを生成できません。 この権限は、 カスタマ アカウン ト管理者が設定できます。

• ほかのユーザーが生成したライセンス ファ イルは表示されません。

• ほかのユーザーをサイ トから追加または削除できません。

無償ユーザー

無償ユーザーは、 次を実行できます。

• Vivado System Edition の 30 日間無償の評価版ライセンス キーを生成できます。

• Vivado HLS の 30 日間無償の評価版ライセンスを生成できます。

• 評価版および無償の IP 製品のライセンス キーを精製できます。

• ISE および Vivado 両方の WebPACK™ 機能を使用可能にする WebPACK ツール ライセンスを生成できます。

° Free Shipping (2-4 Weeks)

Page 72: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 72UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

° Standard (2-3 Days)

° Overnight

どのタイプのユーザーでも、 製品の電子ダウンロード、 ザイ リ ンクス デザイン ツールの DVD の請求ができます。

注記 : ザイ リ ンクス デザイン ツール製品エディシ ョ ンのフル バージ ョ ンのライセンスを既に持っている場合は、 それ以外のザイ リ ンクス デザイン ツール製品エディシ ョ ンまたは IP を試用できます。 これらのライセンスは同じアカウン トで入手できるよ うになっています。

ザイリンクス ユーザー アカウン ト情報の変更

重要 : ザイ リ ンクス ユーザー アカウン トの社名、 住所、 電子メールなどの情報は、 常に最新の状態にしてください。

会社メール アドレスの変更

1. http://japan.xilinx.com にアクセスします。

2. サイン インします。

3. 会社メール アドレスの [プロフ ィールを更新] リ ンクをク リ ッ ク し、 [個人情報] を展開表示します。

4. 新しい法人電子メール アドレスを [新しい会社 E メール アドレス] に入力します。

5. [プロフ ィールに保存] ボタンを押して変更を保存します。

ツールおよび IP の購入情報

[Order] タブには、 アカウン トの購入情報が表示されます。

Page 73: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 73UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

• ザイ リ ンクスの注文番号が画面左側に表示されます。

• 特定のオーダーをク リ ッ クする と、 右側にその詳細が表示されます。

• 1 度に選択できるのは、 1 つのみです。

• 電子メールまたはダウンロードで製品を取得した場合でも、 配達住所情報が表示されます。

ユーザー アクセスの管理

製品ライセンス アカウン トの管理責任は、別のユーザーに移行するこ と もできるほか、共有するこ と もできます。アカウン トへのユーザーの追加や削除は、 [Manage Users] タブから実行します。

X-Ref Target - Figure 5-20

図 5-20 : 購入情報

Page 74: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 74UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

ユーザーの追加

製品ライセンス アカウン トにユーザーを追加するには、 次を実行します。

• 新しいユーザーの会社の電子メール アドレスを入力します。

• 管理者権限を与える場合は、 [Add as a full administrator] チェッ ク ボッ クスをオンにします。フローティング ライセンスを生成する権限を与え、管理者権限を与えない場合は、 [Allow Floating Licenses] チェッ ク ボッ クスをオンにします。

注記 : 指定する電子メール アドレスは、ザイ リ ンクス アカウン ト を作成する際にそのユーザーが指定したものと同じである必要があ り ます。別のアドレスを使用する と、 ログインしたと きにそのユーザーが正し く認識されない可能性があ り ます。

ユーザーが既に製品ライセンス サイ トにアクセスしたこ とのある場合、 名前が自動的にユーザー リ ス トに表示されます。 サイ トにアクセスしたこ とがない場合は、 名前のと ころに [Not Yet Registered] と表示されます。 登録すれば、名前の箇所が埋ま り ます。

アカウン ト管理者が管理者権限のないエンド ユーザーにライセンス ファ イルを管理できるよ うにするこ と もできます。管理者権限のないエンド ユーザー ([Add as full administrator] と [Allow Floating Licenses] チェッ ク ボッ クスの両方をオフにした場合) は、 次の機能が使用できます。

• ノード ロ ッ ク ライセンスのみ生成可能

• 自分自身で生成したライセンス ファ イルのみ表示および修正可能

X-Ref Target - Figure 5-21

図 5-21 : ユーザーの管理

Page 75: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 75UG973 (v2015.4) 2015 年 11 月 18 日

第 5 章 : ライセンスの取得および管理

• ユーザーの管理は不可

[Allow Floating Licenses] のみをオンにした場合は、フローティング ラ イセンス ファ イルの生成はできますが、その他の制限はそのままです。管理者権限にはフローティング ライセンスの生成が既に含まれるため、両方のチェッ ク ボックスをオンにするこ とはできません。

ユーザーの削除

管理者権限またはフローティング ラ イセンス生成権限は、該当するユーザーの [Adminstrator] または [Floating] チェック ボッ クスをオフにする と、 無効にできます。

ユーザーをアカウン トから削除するには、 該当するユーザーの [Delete] ボタンをク リ ッ ク します。

Page 76: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 76UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章

以前のバージ ョ ンのリ リース ノート

Vivado 2015.3

新機能

Vivado® Design Suite 2015.3 では、 マーケッ トに特化したプラグアンドプレイ IP サブシステムが新し く導入されています。 この新しいサブシステムは、 Vivado IP インテグレーター (IPI)、 C/C++ および SystemC ベースのデザイン用の高位合成 (HLS) に対する向上点と共に、 デザイン作成および統合など RTL 開発にかかる時間を大幅に短縮します。

デバイス サポート

この リ リースでは、 次のデバイスが新たにサポート されています。

表 6-1 : Vivado 2015.3 のデバイス サポート

製品レベル Vivado 2015.3 のデバイス サポート

新規デバイス

次の UltraScale™ デバイスをサポート :• Kintex® UltraScale デバイス :

° XCKU095、 XCKU025、 XCKU085

パブリ ック アクセス

次のデバイスをプロダクシ ョ ンでサポート (-1 および -2 スピード グレード )• Kintex UltraScale デバイス :

° XCKU095、 XCKU025、 XCKU085• Virtex® UltraScale デバイス :

° XCVU095、 XCVU080

ビッ トス ト リームの生成

• UltraScale デバイスのビッ ト ス ト リーム生成は次のデバイスに制限 :• Virtex UltraScale :

° XCVU095 ES2

° XCVU095

° XCVU065 ES2

° XCVU080

° XCVU125 ES2

° XCVU160 ES2

° XCVU190 ES2

° XCVU440

° XCVU440 ES2• Kintex UltraScale :

° ES2 およびプロダクシ ョ ンのすべてのパーツ

Page 77: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 77UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

インス トール

Vivado 2015.1 リ リースから、 Linux でのインス トールにルート または sudo 権限は必要なくな り ました。 これまでは、ケーブル ド ラ イバーをイネーブルにするのにこれらの権限が必要でした。 この リ リースでは、 ケーブル ド ラ イバーはルート /sudo コマンド シェルから別のスク リプ ト を実行して手動でインス トールする必要があ り ます。 Linux ケーブル ド ラ イバーのインス トールの詳細は、 「ケーブル ド ラ イバーのインス トール」 を参照してください。

Vivado Design Edition ツール

RTL 合成

• 4 つの RTL ス ト ラテジおよび 5 つの -directive モードを追加

• DSP ブロッ クのパターン検出回路の推論によ り偶数対称丸めをサポート

• -directive オプシ ョ ンの新しい AreaMapLargeShiftRegToBRAM モードによ りシフターを自動的に RAMブロ ッ クに推論

• 新しい UltraScale RAM (UltraRAM) を推論

• カスケード接続された RAMB36E2 および UltraRAM のパイプライン処理によ り、 多重化ロジッ クを節約および消費電力を削減しながら高速パフォーマンスを達成

• 新しい RTL 属性 CASCADE_HEIGHT によ りカスケード接続される RAM ブロ ッ クの数を制御

パーシャル リコンフ ィギュレーシ ョ ン

• UltraScale デバイスのサポート を拡張

° KU085、 KU095、 VU065、 および VU080 の配置配線サポート を追加、 合計 12 個の UltraScale デバイスをサポート

° KU060、KU095、KU115、および VU095 プロダクシ ョ ン シ リ コンでパーシャル BIT ファ イルの生成がイネーブルになり、 合計 6 個のデバイスでビッ ト ス ト リーム生成をイネーブル

- 検証テス トが完了していない ES シ リ コンおよびプロダクシ ョ ン シ リ コンでは、 ビッ ト ス ト リームの生成はデフォルトでディ スエーブルになっています。

° UltraScale デバイスのビッ ト ス ト リーム生成でフレームごとの CRC チェッ ク機能をイネーブル

• Partial Reconfiguration Decoupler という新規 IP を リ リース。 この IP によ り、 リ コンフ ィギュレーシ ョ ン中にスタティ ッ ク デザインから リ コンフ ィギャラブル パーティシ ョ ンを簡単に分離可能です。

° 詳細は、 次の IP ページを参照してください。http://japan.xilinx.com/products/intellectual-property/pr-decoupler.html

• 詳細は、 『Vivado Design Suite ユーザー ガイ ド : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG909) [参照 5] の 「デバイスのコンフ ィギュレーシ ョ ン」 を参照してください。

Tandem コンフ ィギュレーシ ョ ン

• UltraScale デバイスのサポート を拡張

° KU085、 KU095、 VU065、 および VU080 の配置配線サポート を追加、 合計 13 個の UltraScale デバイスをサポート

° KU060、KU095、KU115、および VU095 プロダクシ ョ ン シ リ コンでパーシャル BIT ファ イルの生成がイネーブルになり、 合計 6 個のデバイスでビッ ト ス ト リーム生成をイネーブル

- 検証テス トが完了していない ES シ リ コンおよびプロダクシ ョ ン シ リ コンでは、 ビッ ト ス ト リームの生成はデフォルトでディ スエーブルになっています。

Page 78: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 78UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

• Tandem コンフ ィギュレーシ ョ ンをサポートするすべてのデバイスでフ ィールド アップデートする Tandem の IP生成をイネーブル。 ただし、 このフローはベータ段階なので、 配置配線はゲーティングされています。 アクセスを希望される場合は、 ザイ リ ンクス サポート までご連絡ください。

• Tandem コンフ ィギュレーシ ョ ンの詳細は、 『UltraScale Architecture Gen3 Integrated Block for PCI Express 製品ガイド』 (PG156 : 英語版、 日本語版) を参照してください。

Vivado 物理インプリ メンテーシ ョ ンおよび消費電力ツール

• report_design_analysis コマンドに対応する GUI を Vivado IDE に追加。タイ ミ ング、複雑性、密集解析で、[Device] ウ ィ ン ド ウや [Schematic] ウ ィ ン ド ウへのク ロスプローブがサポート されます。 この GUI を開くには、[Tools] → [Report] メニューまたは Tcl コマンドで -name オプシ ョ ンを使用します。

• report_design_analysis コマンドでは、 現在のデザイン段階または以前の段階でのク リティカル パスをレポート できます。 これによ り、 各段階でツールがどのク リ テ ィカル パスに焦点を置いているのかを確認できます。 config_design_analysis コマンドでこの新しい機能がイネーブルになり ます。

• 新しい report_pipeline_analysis コマンドによ り、デザインにレイテンシ (パイプライン段) を追加した場合のデザイン パフォーマンスの向上を評価し、 新しい Fmax をレポート。 この解析には、 ループ検出、 クロ ッ クごとの解析、 コンテキス トでのモジュール解析が含まれます。

• デザイン run での phys_opt_design の最適化を保存し、 デザイン フローの早期に実行して全体的なデザインパフォーマンスを向上

° ファンアウ ト最適化や BRAM レジスタ最適化などの各最適化は、新しい iphys_opt_design Tcl コマンドによ り表されます。

° 最適化の書き込みおよび読み出しは、 新しい Tcl コマンド write_iphys_opt_tcl および read_iphys_opt_tcl によ り実行されます。

• 密集が発生している UltraScale デザイン用の新しい配置配線 -directive オプシ ョ ンのモードを追加 (Tcl のみ)

° place_design コマンドの次のモードでは、 低、 中、 高レベルのロジッ ク分散が実行されます。

- AltSpreadLogic_low

- AltSpreadLogic_medium

- AltSpreadLogic_high

° route_design コマンドには、 AlternateCLBRouting が追加されています。

• 同じ MMCM または PLL をソースとする関連クロッ クに適用可能な新しいネッ ト プロパティ CLOCK_DELAY_GROUPによ り クロッ ク間のタイ ミ ング パスのクロック スキューを削減

• CLOCK_ROOT ネッ ト プロパティを USER_CLOCK_ROOT プロパティに置き換え

° USER_CLOCK_ROOT : ク ロ ッ ク ルート をクロ ッ ク領域に割り当てるプロパティ。CLOCK_ROOT を設定する とUSER_CLOCK_ROOT が設定されますが、 CLOCK_ROOT は廃止予定であるこ とを示す警告メ ッセージが表示されます。

° CLOCK_ROOT は読み出し専用であ り、 ク ロ ッ ク ネッ トのクロ ッ ク ルート を示します。

• UltraScale デバイスをターゲッ ト と した場合に、 opt_design コマンドの一部のブロ ッ ク RAM 消費電力最適化がデフォルトでイネーブル、 power_opt_design コマンドによ り さ らに最適化可能

• UltraScale XPE の [Summary] シートで [Power Optimization] に 3 つのオプシ ョ ンを提供

° [None] : 消費電力最適化なし

° [Default] : opt_design コマンドのデフォルト最適化を使用した場合の BRAM 消費電力予測

° [Power Optimization] : opt_design コマンドのすべての最適化を実行した後 power_opt_design コマンドを実行した場合の BRAM 消費電力予測

• report_synchronizer_mtbf コマンドに FIFO プリ ミ ティブの MTBF を含める

Page 79: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 79UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

PS 消費電力レポート

• レポート される全体的な PS 消費電力に関して、 Processor Configuration Wizard からの値と電力ツール (XPE および Vivado の [Report Power]) の値に数パーセン トの違いがあ り ます。

• PS の消費電力を正確に予測するには、 電力ツールを使用して ください。 この問題は、 Vivado 2016.1 で修正される予定です。

Vivado IP インテグレーター : PCIe 設計アシスタンス

• KCU105 ハードウェアで XDMA をサポート

• XDMA ライセンスが必要

Vivado IP インテグレーター

• IP インテグレーターから右ク リ ッ クで IP サンプル デザインに簡単にアクセス可能

• コンフ ィギュレーシ ョ ン可能なサンプル デザインを向上 (MicroBlaze をコンフ ィギュレーシ ョ ンするオプシ ョ ンあ り )

Vivado シミ ュレータ

• エラボレーシ ョ ンのランタイム パフォーマンスを最大 3 倍向上

• 波形デバッグの使いやすさを向上

° シ ミ ュレータの再起動機能を向上

- GUI、 ブレークポイン ト、 信号設定、 マーカーを保持

Vivado シミ ュレーシ ョ ン フロー

• IP シ ミ ュレーシ ョ ンを簡単に実行可能

° IP の生成によ りすべてのシ ミ ュレータ用にシ ミ ュレーシ ョ ン スク リプ ト を作成

° IP で再利用されるファイル (スタティ ッ ク ファ イル) を明確に区別

Vivado デバッグ

• ILA プローブ値に対して列挙型をサポート

• ILA プローブ値に対してデータ、 ト リガー、 またはその両方をサポート

• インスタンス名の保持

• 安定性の高いハードウェア接続

• DDR4/DDR3 のマージン解析サポート を向上

Vivado デバイス プログラマ

• SVF (Serial Vector Format) ファ イルを生成可能

• コンフ ィギュレーシ ョ ン メモ リ デバイスのチェッ クサムを検証可能

• ハードウェア接続の安定性を向上

Page 80: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 80UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

Vivado System Edition ツール

Vivado System Generator for DSP

• MATLAB 2015B をサポート : よ り緊密な統合によ り、HDL Corder で高位 RTL およびターゲッ ト最適化 IP を含む結合モデルを自動生成可能

• 簡略化された IP によ り、 アップコンバージ ョ ン、 ダウンコンバージ ョ ン、 および標準 DSP デジタル信号処理デザインで、IP をコンフ ィギュレーシ ョ ンするために必要なインターフェイスおよびパラ メーター数を最小限に抑えながら高い結果の質 (QoR) およびパフォーマンスを達成可能。 新しい IP には、 Digital FIR Filter、 Sine WaveGenerator、 Product、 Requantize ブロ ッ クなどがあ り ます。

• Virtex-7、 Kintex-7、 Artix-7、 および Zynq-7000 ファ ミ リの JTAG 協調シ ミ ュレーシ ョ ンサポート を向上、 バースト モードを使用してパフォーマンスを 45 倍向上可能

• 波形ビューアーと タイ ミ ング解析の起動時間およびクロスプローブを向上 (ロジッ クのデバッグおよびタイ ミ ング ク リ ティカル パスの表示に貢献)

Vivado HLS

• Vivado HLS から Vivado 波形ビューアーを起動可能。 C/RTL 協調シ ミ ュレーシ ョ ンを実行した後、 [Open WaveViewer] ツールバー ボタンをク リ ッ クするこ とによ りシ ミ ュレーシ ョ ン波形を表示可能です。

• 新しい hls_half.h ヘッダー ファ イルによ り半精度浮動小数点をサポート。 これによ り、 多くの場合に十分な精度を保持しながら軽量で高速なデザインが可能になり ます。

• ループで処理される要素に対して DATAFLOW プラグマをイネーブルにするこ とによ り、レイテンシを短縮し、スループッ ト を向上

• ループで AXI4 マスター (m_axi) タイプの引数が使用されている場合にバース ト を自動的に推論

• config_interface に AXI-Stream (axis) 用の新しいオプシ ョ ン -register を追加

• AXI-Lite (s_axilite) インターフェイスを専用の個別のクロ ッ クでインプリ メン ト可能

• 新しいリ ソース コア オプシ ョ ン Mul_LUT によ り、 乗算器を DSP ブロ ッ クではなく ファブ リ ッ クにマップするよ う強制可能

• 詳細は、 『Vivado Design Suite ユーザー ガイ ド : 高位合成』 (UG902) [参照 4] を参照してください。

重要な情報

既存 IP のアップデート

次の表に、 2015.3 リ リースでの既存の IP のアップデート を示します。

表 6-2 : アップデートの内容

既存の IP アップデートの内容

Integrated 100G Ethernet for UltraScale (CMAC)

• オプシ ョ ンの有償ソフ ト 100G RS-FEC を追加

10G Ethernet Subsystem • Virtex UltraScale をサポート

• 10G/25G Ethernet MAC、 10G/25G BASE-R/KR、 または 10G/25G BASE-R/KR スタンドアロンをサポート

• 10GBASE-R および 25GBASE-R を無償で提供

• EMAC および KR の場合は個別に有償ライセンスが必要

Page 81: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 81UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

デバイス サポート

次のデバイスは、 シ リ コンの提供計画に基づき、 Vivado のこのリ リースから削除されています。

• Virtex UltraScale : VU095 ES1 パーツは、 このリ リース以降ではサポート されません。

AXI 10G Ethernet MAC • 2.5G EMAC に Artix-7 のサポート を追加

10G Ethernet PCS/PMA • 64 ビッ ト IP のレイテンシおよびサイズを削減

XAUI • UltraScale GTY のサポート を追加

RXAUI • UltraScale GTY のサポート を追加

10G BASE-R • 新しいオプシ ョ ンの 32 ビッ トのレイテンシが短くサイズが削減された IP• Zynq-7000 AP SoC および 7 シ リーズ防衛グレード デバイスを追加

100G IEEE 802.3bj Reed-SolomonForward Error Correction IP

• Virtex UltraScale をサポート

• Integrated 100G Ethernet MAC または Soft 100G Ethernet MAC に接続

• SR4、 CWDM4、 PSM4、 ER4f などの光学ソ リ ューシ ョ ンをイネーブル

AXI 1G/2.5G Ethernet Subsystem • Kintex-7、 Virtex-7、 Zynq、および UltraScale ファ ミ リで 2.5G のサポート を追加

• 2500BASE-X または 2.5G SGMII シングル レート をサポート• Tri-Mode Ethernet Media Access Controller (TEMAC)

• Kintex-7、 Virtex-7、 Zynq、および UltraScale デバイスで 2.5G のサポート を追加

• 1G/2.5G Ethernet PCS/PMA or SGMII

• Kintex-7、 Virtex-7、 Zynq、 および UltraScale ファ ミ リで 2500BASE-X のサポート を追加

• Kintex-7、 Virtex-7、 Zynq、および UltraScale ファ ミ リで 2.5G SGMII シングル レートのサポート を追加

PCI Express® • Gen3 PCI Express ハード ブロ ッ クの AXI-MM サポート (Virtex-7 XT/HT)• UltraScale FPGA デバイスのユーザー ガイ ドのパッケージ移行セクシ ョ ン

• UltraScale FPGA デバイスの Tandem PCIe®/PROM サポート (ベータ )• GT ウ ィザードをアップグレード

• PLL およびコア ク ロ ッ クを選択する GUI オプシ ョ ンをアップデート

• Tandem PCIe で追加のデバイス /パッケージをサポート

Aurora • 追加の UltraScale FPGA デバイスをサポート

• ラボ ツールをイネーブルにしたシ ミ ュレーシ ョ ンのサポート

• AXI4-Lite から DRP へのインターフェイスに準拠 (Aurora 64B/66B)• ライン レートのサポート を 16.375G に拡張

• フロー制御インターフェイスをグループ化し、 AXI4-ST に準拠

• GUI でレーン位置を選択可能

IBERT for UltraScale • すべての UltraScale IBERT コアでエラーがすべてレポート されない問題を修正

• 非常に長いテス ト または深いスキャンを実行する場合は、IP を再生成する必要があ り ます。

• その他すべての場合、 アップグレードを強くお勧めします (ザイ リ ンクスアンサー 63768 を参照)。

表 6-2 : アップデートの内容 (続き)

既存の IP アップデートの内容

Page 82: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 82UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

VIPP• ビデオ テス ト パターン ジェネレーター用の新しいコア (バージ ョ ン 7)

° 4K60 までの精度

° バージ ョ ン 6 に置き換わるバージ ョ ン

• ビデオ処理サブシステムのプリプロダクシ ョ ン リ リース

° ビデオ フォーマッ ト を変換する新しい IP サブシステム将来的に VIPP コアに置き換わる

° 4k60 までのビデオ処理の標準画質に対応可能

° インターレース解除、 スケーリ ング、色空間変換、訂正、色差の再サンプリ ング、 フレーム レート変換などの機能を含む

• ビデオ入力およびビデオ出力ブリ ッジ

° 2 画素幅モードの 4K60 をサポートするためタイ ミ ングを向上

DisplayPort LogiCORE V6.1• DP159 制御を追加

物理インプリ メンテーシ ョ ンおよび消費電力ツール : 既知の問題

• Tcl コンソールまたは IDE で report_design_analysis を使用して密集を解析する際、デザインまたはチェックポイン ト を保存して開き直すと、 配線密集データが失われます。 この結果、 レポートに配線密集が含まれません。 この問題を回避するには、 report_design_analysis コマン ドを route_design コマン ド と同じセッシ ョ ンで実行し、 メモ リに配線密集データが保持されるよ うにします。 この問題は、 今後のリ リースで修正される予定です。

• report_pipeline_analysis コマンドで、アクセス不可能なザイ リ ンクス IP 境界内にパイプライン段を追加するよ う推奨されるこ とがあ り ます。 この問題を回避するには、 top_level_cell またはクロ ッ ク オプシ ョ ンを使用してパイプライン解析の範囲を制限します。 この問題は、 今後のリ リースで修正される予定です。

Vivado Design Suite 資料のアップデート

Vivado Design Suite 2015.3 では、ツールのリ リース時にリ リースされない資料があ り ます。DocNav で [Update Catalog]ボタンをク リ ッ ク し、 2015.3 の最新の資料を確認してください。

ライセンス

アクティベーシ ョ ン ライセンスは、 USB ドングル、 SOLARIS OS 上で実行しているフローティング サーバー、 またはト リプル リ ダンダン ト サーバー コンフ ィギュレーシ ョ ンではサポート されていません。

IP の既知の問題および変更リスト

IP の既知の問題は、 『IP リ リース ノート ガイ ド』 (XTP025) [参照 9] を参照してください。

32 ビッ ト OS サポートの削除

Vivado 2015.1 から、32 ビッ ト OS およびアプリ ケーシ ョ ンのサポートは、デザイン入力およびインプ リ メンテーシ ョン フローすべてで削除されています。 Windows 7 および Red Hat Enterprise Linux 6 の 32 ビッ トは、 Vivado 2015.1 LabEdition ではサポート されます。 Lab Edition は、 プログラムおよびデバッグ ツールの無償のエディシ ョ ンです。

Page 83: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 83UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

既知の問題Vivado Design Suite の既知の問題は、 アンサー 63538 を参照してください。

Vivado 2015.2

新機能

Vivado® Design Suite 2015.2 には、 次のデバイスのサポートが追加されています。

• Virtex®-7 XQ7VX690T 高信頼性

• Zynq®-7000 XQ7Z045 および XQ7Z100 高信頼性

• Kintex® UltraScale™ KU060 をプロダクシ ョ ン サポート

パーシャル リ コンフ ィギュレーシ ョ ン、 Tandem コンフ ィギュレーシ ョ ン、 および Vivado インプ リ メ ンテーシ ョ ンツールにも改善点があ り ます。

デバイス サポート

この リ リースでは、 次のデバイスが新たにサポート されています。

表 6-3 : Vivado 2015.2 のデバイス サポート

製品レベル Vivado 2015.2 のデバイス サポート

新規デバイス

次の UltraScale デバイスをサポート :• Virtex UltraScale デバイス :

° XCVU160

次の 7 シ リーズ デバイスをサポート :• XQ7VX690T RF1158• XQ7Z045 RFG676

パブリ ック アクセス

次のデバイスをプロダクシ ョ ンでサポート

• Kintex UltraScale デバイス :

° XCKU035、 XCKU060、 XCKU115• Virtex UltraScale デバイス :

° XCVU065

ビッ トス ト リームの生成

• UltraScale デバイスのビッ ト ス ト リーム生成は次のデバイスに制限 :• Virtex UltraScale :

° XCVU095 ES1

° XCVU065

° XCVU125

° XCVU160

° XCVU190

° XCVU440• Kintex UltraScale :

° XCKU040

° XCKU060 ES2

° XCKU060 ES2

Page 84: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 84UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

インス トール

Vivado 2015.1 リ リースから、 Linux でのインス トールにルート または sudo 権限は必要なくな り ました。 これまでは、ケーブル ド ラ イバーをイネーブルにするのにこれらの権限が必要でした。 この リ リースでは、 ケーブル ド ラ イバーはルート /sudo コマンド シェルから別のスク リプ ト を実行して手動でインス トールする必要があ り ます。 Linux ケーブル ド ラ イバーのインス トールの詳細は、 「ケーブル ド ラ イバーのインス トール」 を参照してください。

Vivado Design Edition ツール

パーシャル リコンフ ィギュレーシ ョ ン

• UltraScale デバイスのサポート を拡張

° KU035 および VU160 のサポート を追加、 合計 8 個の UltraScale デバイスをサポート

• KU035 および KU040 プロダクシ ョ ン シ リ コンでパーシャル BIT ファ イルの生成をイネーブル

° 通常ビッ ト ス ト リームの生成は、 ES2 シ リ コン (VU440 を除く Virtex UltraScale) またはプロダクシ ョ ン シ リコン (Kintex UltraScale および VU440) が入手可能になり、 検証されるまでディ スエーブルになっています。

• 詳細は、 『Vivado Design Suite ユーザー ガイ ド : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG909) [参照 5] の 「デバイスのコンフ ィギュレーシ ョ ン」 を参照してください。

Tandem コンフ ィギュレーシ ョ ン

• UltraScale デバイスのサポート を拡張

° KU035、 VU160、 および VU440 のサポート を追加、 合計 9 個の UltraScale デバイスをサポート

• KU035 および KU040 プロダクシ ョ ン シ リ コンでパーシャル BIT ファ イルの生成をイネーブル

° 通常ビッ ト ス ト リームの生成は、 ES2 シ リ コン (VU440 を除く Virtex UltraScale) またはプロダクシ ョ ン シ リコン (Kintex UltraScale および VU440) が入手可能になり、 検証されるまでディ スエーブルになっています。

• 7 シ リーズおよび UltraScale アーキテクチャの両方で Tandem PROM および Tandem PCIe® の暗号化を完全サポート

• 詳細は、 『UltraScale Architecture Gen3 Integrated Block for PCI Express 製品ガイ ド』 (PG156 : 英語版、 日本語版) を参照してください。

• CRC レポート : Vivado 統合設計環境 (IDE) サポート を追加。 CDC レポートによ り、 新し く追加された 4 個のトポロジを含む 16 個の CDC ト ポロジが特定されます。 GUI レポートにはクロ ッ ク ペアごとのサマ リ、 ルール タイプごとのサマ リが含まれ、 回路図および RTL へのクロスプローブが可能です。

Vivado System Edition ツール

Vivado System Generator for DSP

• アドバンス ハードウェア協調シ ミ ュレーシ ョ ン バース ト モードのサポートによ りシ ミ ュレーシ ョ ンのパフォーマンスを 100 倍向上

• タ イ ミ ング解析の向上によ り、 ク ロスプローブを使用してタイ ミ ングが満たされていないパスをすばやく特定可能

• ゲート ウェイの補足セッ ト を調整するため Vivado IP インテグレーターから SoC プラ ッ ト フォーム デザインを解析する機能が追加され、 簡単で正確な IP 開発が可能

• 複数の AXI4-Lite インターフェイスのサポートが向上され、レジスタを個別にクロ ッ ク ド メ インに揃えるこ とが可能

• MATLAB® 2015A をサポート

Page 85: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 85UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

重要な情報

デバイス サポート

次のデバイスは、 シ リ コンの提供計画に基づき、 Vivado のこのリ リースから削除されています。

• Kintex UltraScale : KU075 および KU100

• Virtex UltraScale : このファ ミ リでは -1LV バージ ョ ンは提供されず、 サポート されていないパーツは Vivado から削除されています。

Vivado Design Suite 資料のアップデート

Vivado Design Suite 2015.2 では、ツールのリ リース時にリ リースされない資料があ り ます。DocNav で [Update Catalog]ボタンをク リ ッ ク し、 2015.2 の最新の資料を確認してください。

ライセンス

アクティベーシ ョ ン ライセンスは、 USB ドングル、 SOLARIS OS 上で実行しているフローティング サーバー、 またはト リプル リ ダンダン ト サーバー コンフ ィギュレーシ ョ ンではサポート されていません。

IP の既知の問題および変更リスト

IP の既知の問題は、 『IP リ リース ノート ガイ ド』 (XTP025) [参照 9] を参照してください。

32 ビッ ト OS サポートの削除

Vivado 2015.1 から、32 ビッ ト OS およびアプリ ケーシ ョ ンのサポートは、デザイン入力およびインプ リ メンテーシ ョン フローすべてで削除されています。 Windows 7 および Red Hat Enterprise Linux 6 の 32 ビッ トは、 Vivado 2015.1 LabEdition ではサポート されます。 Lab Edition は、 プログラムおよびデバッグ ツールの無償のエディシ ョ ンです。

既知の問題Vivado Design Suite の既知の問題は、 アンサー 63538 を参照してください。

Page 86: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 86UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

Vivado 2015.1

新機能

Vivado Design Suite 2015.1 では、 システム検証が高速化され、 Zynq-7000 All Programmable SoC 開発の生産性が向上しています。この リ リースでは Vivado Lab Edition が提供されており、Vivado シ ミ ュレータおよびサードパーティ シ ミ ュレーシ ョ ン フローの高速化、インタラ クティブなク ロ ッ ク乗せ換え (CDC) 解析、ザイ リ ンクス ソフ ト ウェア開発キット (SDK) を使用したシステム パフォーマンス解析の向上などの改善点が含まれます。 また、 XCVU440 FPGA を含むいくつかの新規デバイスがサポート されるよ うになっています。

デバイス サポート

この リ リースでは、 次のデバイスが新たにサポート されています。

インストール

Vivado 2015.1 リ リースから、 Linux でのインス トールにルート または sudo 権限は必要なくな り ました。 これまでは、ケーブル ド ラ イバーをイネーブルにするのにこれらの権限が必要でした。 この リ リースでは、 ケーブル ド ラ イバーはルート /sudo コマンド シェルから別のスク リプ ト を実行して手動でインス トールする必要があ り ます。 Linux ケーブル ド ラ イバーのインス トールの詳細は、 「ケーブル ド ラ イバーのインス トール」 を参照してください。

表 6-4 : Vivado 2015.1 のデバイス サポート

製品レベル Vivado 2015.1 のデバイス サポート

新規デバイス

次の UltraScale デバイスをサポート :• Virtex UltraScale デバイス :

° XCVU125、 XCVU190、 XCVU440次の 7 シ リーズ パッケージを追加し、 EU RoHS (危険物質に関する制限) 指令をサポート :• FBV、 FFV、 SBV

パブリ ック アクセス

次のデバイスをプロダクシ ョ ンでサポート

• Kintex UltraScale デバイス :

° XCKU035、 XCKU060、 XCKU115• Virtex UltraScale デバイス :

° XCVU065

アーリー アクセス

• Virtex UltraScale デバイス :

° XCVU160

• これらのデバイスを使用するには、最寄の販売代理店または FAE にご連絡ください。

ビッ トス ト リームの生成

• UltraScale デバイスのビッ ト ス ト リーム生成は次のデバイスに制限 :• Virtex UltraScale :

° XCVU095 ES1• Kintex UltraScale :

° XCKU040、 XCKU060 ES2、 XCKU115 ES2

Page 87: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 87UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

ライセンス

Vivado ライセンスの借用

• Vivado 2015.1 以降、 Vivado のサブスク リプシ ョ ン ラ イセンスを更新した後、 借用可能なフローティング ライセンスを生成できます。 これは、 アクティベーシ ョ ン ラ イセンスの場合にのみ可能です。

• ライセンスが生成されたと きに、 ライセンス管理者が借用可能なライセンス シートの数を判断できます。

• ネッ ト ワーク ライセンス ク ライアン トで 1 つのフローティング ライセンスを借用し、 そのマシンで一定期間オフランで使用できます。

• 借用期間が切れる と、 ライセンスは再びフローティング ラ イセンス サーバーで有効になり ます。 ライセンス借用の詳細は、 第 5 章 「ライセンスの取得および管理」 を参照してください。

ソフ トウェア開発キッ ト (SDK)

高度なインシステム パフォーマンス解析および検証

Zynq-7000 All Programmable SoC の開発を高速化するため、 ベアメ タルおよび Linux アプ リ ケーシ ョ ン用のシステムパフォーマンスおよび解析ツールボッ クスが拡張されています。 ザイ リ ンクス SDK を使用して、 プロセッサ サブシステム (PS) の主なパフォーマンス メ ト リ ッ クや、 PS、 プログラマブル ロジッ ク (PL)、 および外部メモ リの間の帯域幅解析など、SoC デザインのパフォーマンスおよび帯域幅を解析できます。Zynq-7000 All Programmable SoC ZC702 および ZC706 評価ボード用に、AXI ト ラフ ィ ッ ク ジェネレーターを使用したシステム モデリ ング デザインが提供されています。

Vivado Design Edition ツール

パーシャル リコンフ ィギュレーシ ョ ン

• 7 シ リーズ、 Zynq、 または UltraScale デバイスでのパーシャル リ コンフ ィギュレーシ ョ ン (PR) 用に、 PartialReconfiguration Controller を追加

° この IP は PR システムの中心となるもので、 ハード ウェアまたはソフ ト ウェア ト リ ガー イベン トが発生したと きにメモ リからフェ ッチし、 コンフ ィギュレーシ ョ ン ポートのパーシャル ビッ ト ス ト リームに送信します。

° AXI4-Stream および AXI4-Lite インターフェイスをサポート し、 動的にカスタマイズ可能です。

° Partial Reconfiguration Controller IP の詳細は、 『Partial Reconfiguration Controller LogiCORE IP 製品ガイ ド』(PG193) を参照してください。

• UltraScale デバイスのサポート を拡張

° これまでにサポート されていた KU040、 KU060、 および VU095 に加え、 KU115、 VU125、 および VU190 デバイスをインプ リ メンテーシ ョ ンのみでサポート

° ビッ ト ス ト リームの生成は ES2 シ リ コン (VU440 を除く Virtex UltraScale) またはプロダクシ ョ ン シ リ コン(Kintex UltraScale および VU440) が入手可能になり、 検証されるまでディ スエーブル

• 詳細は、 『Vivado Design Suite ユーザー ガイ ド : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG909) [参照 5] の 「デバイスのコンフ ィギュレーシ ョ ン」 を参照してください。

Page 88: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 88UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

Tandem コンフ ィギュレーシ ョ ン

• Tandem PROM および Tandem PCIe を、 パーシャル リ コンフ ィギュレーシ ョ ンがサポート されている UltraScaleデバイス (KU115、 VU125、 および VU190) で使用可能

• パーシャル リ コンフ ィギュレーシ ョ ンと同様、 ビッ ト ス ト リームの生成は ES2 シ リ コン (VU440 を除く VirtexUltraScale) またはプロダクシ ョ ン シ リ コン (Kintex UltraScale および VU440) が入手可能になり、 検証されるまでディ スエーブル

• 詳細は、 『UltraScale Architecture Gen3 Integrated Block for PCI Express 製品ガイ ド』 (PG156 : 英語版、 日本語版) を参照してください。

RTL 合成

• Windows でマルチコアをサポート (Linux では既にサポート済み)

• デフォルト合成設定 (flatten_hierarchy は rebuilt) での階層セル ピンの名前を改善

• 全般的に統合 DSP ブロ ッ クの推論を向上 (特に UltraScale DSP ブロ ッ ク特有の二乗モードの推論)

• 合成ログ ファイルに新し く有限ステート マシン (FSM) のレポート を追加

シミ ュレーシ ョ ン フロー

• Aldec Active-HDL および Riviera-PRO を統合

• ModelSim および QuestaSim を個別に統合して ModelSim PE および DE をサポート

• シ ミ ュレーシ ョ ン スク リプ トのアルゴ リズムを向上するこ とによ り コンパイルを 2.5 倍高速化

シミ ュレーシ ョ ン パフォーマンス

• 新規 UltraScale メモ リ (メモ リ IP) BFM モデルを提供し、 シ ミ ュレーシ ョ ンを 4 倍高速化

• UltraScale PCIe シ ミ ュレーシ ョ ンのパフォーマンスを向上

• MMCM および PLL モデルをアップデート してシ ミ ュレーシ ョ ンを高速化

Vivado シミ ュレータ

• UltraScale メモ リのシ ミ ュレーシ ョ ンをサポート

• デバッグ EOU の機能 :

° テキス ト エディ ターおよび波形でのデバッグ操作をイネーブル

- レポート ド ラ イバー

- [Force] ダイアログ ボッ クス

° テキス ト エディ ターと波形の間でのクロスプローブ

° 右ク リ ッ ク メニューから波形、 オブジェク ト、 およびソース コードの信号を検索

• その他の Vivado シ ミ ュレータのパフォーマンス向上点 :

° PCIe シ ミ ュレーシ ョ ンを 25% 高速化

° ディ スクのフッ トプ リ ン ト を平均 10 分の 1 に削減

Page 89: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 89UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

Vivado 物理インプリ メンテーシ ョ ンおよび消費電力ツール

• UltraScale 3D IC インターコネク ト リ ソースの Laguna フ リ ップフロ ップのサポート を向上

° タイ ミ ングが向上する場合に Laguna サイ トにレジスタを自動配置

° Pblock を使用した Laguna サイ ト範囲へのマップ

° LOC 割り当ておよび IDE でのド ラ ッグ アンド ド ロ ップを使用して特定の Laguna サイ トに手動マップ

• 配線後の物理最適化 (phys_opt_design) を使用したデザイン パフォーマンスを向上。 サポート されるすべてのデバイスで、 -directive オプシ ョ ンのすべての値が使用可能になり ました。

• UltraScale デバイスで、 リセッ ト (セッ ト / リセッ ト /プリセッ ト /ク リ ア) を使用しないレジスタを リセッ トが使用される CLB に配置可能。 リセッ ト を使用しないレジスタではリセッ トは無視されます。

• デザイン解析レポート : report_design_analysis コマンドに、最上位デザインの密集メ ト リ ッ クをレポートする -congestion オプシ ョ ンを追加。 place_design および route_design コマンドを実行した後、 同じセッシ ョ ンで report_design_analysis を実行する と、 得られる情報量が最も多くな り ます。

• IDE を使用して消費電力をレポートする際に、 レポートの実行間でスイ ッチング アクティビティ設定を保持

• CRC レポート : Vivado 統合設計環境 (IDE) サポート を追加。 CDC レポートによ り、 新し く追加された 4 個のトポロジを含む 16 個の CDC ト ポロジが特定されます。 GUI レポートにはクロ ッ ク ペアごとのサマ リ、 ルール タイプごとのサマ リが含まれ、 回路図および RTL へのクロスプローブが可能です。

Vivado IP インテグレーター

• ボ ト ムアップ合成フロー オプシ ョ ンによ りデザインの反復実行を高速化。 各 IP はそれぞれ合成されるので、 再合成が必要なのは変更した IP のみです。

• IP インテグレーター用に最適化された新しいレイアウ ト を導入

• デザインの生成および検証を含むプロジェク ト フローの時間を最大 50% 削減

• リ ビジ ョ ン制御の使いやすさを向上 :

° 推奨される手法に従って リモート ソースに対して write_bd_tcl コマンドをサポート

° 同じバージ ョ ンの Vivado で、.bd ファ イルのみからデザインを再生成可能 (ブロ ッ クの位置/コ メン トは .bdファ イルに保存されない)

• デザインの検証済みステートでの保存をサポート。 生成中に検証を再実行する必要はあ り ません。

• [Add IP] をク リ ッ ク したと きに表示されるダイアログ ボッ クスでの検索機能を向上、IP の詳細に簡単にアクセス可能

Vivado IP パッケージャー

• ブロ ッ ク デザインのパッケージをサポート

• パッケージされた IP を IP カタログのカスタム カテゴ リに追加可能

• IP カスタマイズ GUI に依存関係オプシ ョ ンを追加

Vivado IP フロー

IP 合成のキャッシュをイネーブルにするオプシ ョ ンを追加し、 デザインを反復実行する場合に合成時間を短縮

Vivado IP カタログ

Vivado IP の [Alliance Partners] フォルダーに Northwest Logic DMA Back-End IP を追加

Page 90: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 90UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

Vivado デバッグ

• 7 シ リーズ、 Zynq、 および UltraScale デバイスすべてをサポートする Vivado Lab Edition を提供。 これは、 ラボ環境で使用するための新しいコンパク ト なスタンドアロン製品です。

° ダウンロード サイズ (1GB) およびフッ トプ リ ン ト サイズが小さい

° ライセンスは不要

° 64 ビッ トおよび 32 ビッ ト OS プラ ッ ト フォームをサポート

° プログラムおよびロジッ ク /シ リ アル I/O デバッグのすべての機能を提供

• MARK_DEBUG の向上点 :

° HDL フローでの名前の保持を向上

• ハードウェア マネージャーのウ ィンド ウを管理しやすく変更

° ダッシュボードのレイアウ ト を完全にカスタマイズ可能

- ダッシュボードをアップデートするコンフ ィギュレーシ ョ ン可能なド ッキング マネージャー

- 複数の VIO、 または VIO および ILA コアを表示するよ う設定可能

° プロジェク トのレイアウ トおよび内容を保持

消費電力解析

IDE の [Report Power] コマン ドおよび report_power Tcl コマン ド で、 UltraScale SSI デバイ ス を含むすべてのUltraScale デバイスをサポート

Vivado System Edition ツール

Vivado HLS

• ソフ ト ウェアで定義された無線アプリ ケーシ ョ ン (数値制御オシレーター (NCO)、 QAM 変調器、 および復調器)に焦点を置いた新しい合成可能な C++ ラ イブラ リ関数。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : 高位合成』 (UG902) [参照 4] を参照してください。

• LogiCore DDS (Direct Digital Synthesizer) IP をサポート

• OpenCL カーネルのコンパイル

• AXI マスターの 64 ビッ ト アドレス指定

• 全般的な QoR (結果の品質) の向上

Vivado System Generator for DSP

• アドバンス ハードウェア協調シ ミ ュレーシ ョ ン バース ト モードのサポートによ りシ ミ ュレーシ ョ ンのパフォーマンスを 100 倍向上

• タ イ ミ ング解析の向上によ り、 ク ロスプローブを使用してタイ ミ ングが満たされていないパスをすばやく特定可能

• ゲート ウェイの補足セッ ト を調整するため Vivado IP インテグレーターから SoC プラ ッ ト フォーム デザインを解析する機能が追加され、 簡単で正確な IP 開発が可能

• 複数の AXI4-Lite インターフェイスのサポートが向上され、レジスタを個別にクロ ッ ク ド メ インに揃えるこ とが可能

• MATLAB® 2015A をサポート

Page 91: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 91UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

重要な情報

既存 IP のアップデート

次の表に、 2015.1 リ リースでの既存の IP のアップデート を示します。

表 6-5 : アップデートの内容

既存の IP アップデートの内容

Integrated 100G Ethernet for UltraScale (CMAC)

• シンプレッ クス Tx および Rx サポート を追加

10G Ethernet Subsystem • レイテンシの短い 32 ビッ ト イーサネッ ト MAC/PCS をサポート

• UltraScale デバイス に 1588 ハードウェア タイムスタンプを追加

• スタ ッ ク ド VLAN のサポート を追加

• 64 ビッ ト IP のレイテンシを削減

AXI 10G Ethernet MAC • 10G Ethernet MAC および 10GBASE-KR または 10GBASE-R• IP インテグレーターおよび IP カタログから使用可能 • UltraScale Kintex デバイスのサポート を追加

• Zynq-7000 AP SoC および 7 シ リーズ防衛グレード デバイスを追加

10G Ethernet PCS/PMA • 64 ビッ ト IP のレイテンシおよびサイズを削減

XAUI • UltraScale GTY のサポート を追加

RXAUI • UltraScale GTY のサポート を追加

10G BASE-R • 新しいオプシ ョ ンの 32 ビッ トのレイテンシが短くサイズが削減された IP• Zynq-7000 AP SoC および 7 シ リーズ防衛グレード デバイスを追加

100G IEEE 802.3bj Reed-Solomon Forward Error Correction IP

• Virtex UltraScale をサポート

• Integrated 100G Ethernet MAC または Soft 100G Ethernet MAC に接続

• SR4、 CWDM4、 PSM4、 ER4f などの光学ソ リ ューシ ョ ンをイネーブル

AXI 1G/2.5G Ethernet Subsystem • Kintex-7、 Virtex-7、 Zynq、および UltraScale ファ ミ リで 2.5G のサポート を追加

• 2500BASE-X または 2.5G SGMII シングル レート をサポート• Tri-Mode Ethernet Media Access Controller (TEMAC)

• Kintex-7、 Virtex-7、 Zynq、および UltraScale デバイスで 2.5G のサポート を追加

• 1G/2.5G Ethernet PCS/PMA or SGMII

• Kintex-7、 Virtex-7、 Zynq、 および UltraScale ファ ミ リで 2500BASE-X のサポート を追加

• Kintex-7、 Virtex-7、 Zynq、および UltraScale ファ ミ リで 2.5G SGMII シングル レートのサポート を追加

PCI Express® • Gen3 PCI Express ハード ブロ ッ クの AXI-MM サポート (Virtex-7 XT/HT)• UltraScale FPGA デバイスのユーザー ガイ ドのパッケージ移行セクシ ョ ン

• UltraScale FPGA デバイスの Tandem PCIe®/PROM サポート (ベータ )• GT ウ ィザードをアップグレード

• PLL およびコア ク ロ ッ クを選択する GUI オプシ ョ ンをアップデート

• Tandem PCIe で追加のデバイス /パッケージをサポート

Page 92: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 92UG973 (v2015.4) 2015 年 11 月 18 日

第 6 章 : 以前のバージョ ンのリ リース ノート

注記 : パーツ名は、 シ リ コンが入手可能かど うかに対応しています。 XCKU040 ES1 はサポート されなくなっており、複数の ES1 パーツが ES2 に変更されています。

Documentation Navigator• ザイ リ ンクス サポート ウェブサイ トで資料を検索する [Web Search Results] タブを統合

• メ インのカタログ ビューでデザイン ハブをサポート

° 推奨される主な概念および FAQ で情報を習得

• 各デザイン ハブに [Send Feedback] リ ンクを追加

Vivado Design Suite 資料のアップデート

Vivado Design Suite 2015.1 では、ツールのリ リース時にリ リースされない資料があ り ます。DocNav で [Update Catalog]ボタンをク リ ッ ク し、 2015.1 の最新の資料を確認してください。

ライセンス

アクティベーシ ョ ン ライセンスは、 USB ドングル、 SOLARIS OS 上で実行しているフローティング サーバー、 またはト リプル リ ダンダン ト サーバー コンフ ィギュレーシ ョ ンではサポート されていません。

IP の既知の問題および変更リスト

IP の既知の問題は、 『IP リ リース ノート ガイ ド』 (XTP025) [参照 9] を参照してください。

32 ビッ ト OS サポートの削除

Vivado 2015.1 から、32 ビッ ト OS およびアプリ ケーシ ョ ンのサポートは、デザイン入力およびインプ リ メンテーシ ョン フローすべてで削除されています。 Windows 7 および Red Hat Enterprise Linux 6 の 32 ビッ トは、 Vivado 2015.1 LabEdition ではサポート されます。 Lab Edition は、 プログラムおよびデバッグ ツールの無償のエディシ ョ ンです。

Aurora • 追加の UltraScale FPGA デバイスをサポート

• ラボ ツールをイネーブルにしたシ ミ ュレーシ ョ ンのサポート

• AXI4-Lite から DRP へのインターフェイスに準拠 (Aurora 64B/66B)• ライン レートのサポート を 16.375G に拡張

• フロー制御インターフェイスをグループ化し、 AXI4-ST に準拠

• GUI でレーン位置を選択可能

IBERT for UltraScale • すべての UltraScale IBERT コアでエラーがすべてレポート されない問題を修正

• 非常に長いテス ト または深いスキャンを実行する場合は、IP を再生成する必要があ り ます。

• その他すべての場合、 アップグレードを強くお勧めします (ザイ リ ンクスアンサー 63768 を参照)。

表 6-5 : アップデートの内容 (続き)

既存の IP アップデートの内容

Page 94: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 94UG973 (v2015.4) 2015 年 11 月 18 日

付録 A

その他のリソースおよび法的通知

ザイリンクス リソースアンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照してください。

ソリューシ ョ ン センターデバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 ト ピックには、 デザイン アシスタン ト、 アドバイザリ、 ト ラブルシュート ヒ ン ト などが含まれます。

Xilinx Documentation Navigatorザイ リ ンクス ツールおよびハードウェア資料は、Xilinx Documentation Navigator またはザイ リ ンクス ウェブサイ トから表示できます。 Documentation Navigator は、 Vivado® Design Suite に統合されているザイ リ ンクス資料およびビデオのカタログです。

Documentation Navigator の詳細は、 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) を参照してください。

ライセンスおよびエンド ユーザー ライセンス契約ザイ リ ンクスは、 ザイ リ ンクス デザイン ツールで次のサードパーティ ベンダーのライセンスを使用する許諾を受けています。 各ライセンスは、 該当するソフ ト ウェアのみに適用されるもので、 その他に適用されるものではあ り ません。 サードパーティの所有するライセンスは英文のまま記載しています。 ライセンス ファ イルを生成する前に、ザイリ ンクス デザイン ツールおよびサードパーティ製品のエンド ユーザー ライセンス契約 (EULA) を許諾しておく必要があ り ます。

サードパーティ ライセンスの詳細および EULA については、 エンドユーザー使用許諾契約を参照してください。

ザイ リ ンクス デザイン ツール ライセンスの詳細および EULA については、http://japan.xilinx.com/cgi-bin/docs/rdoc?v=2015.4;d=end-user-license-agreement.pdf を参照してください。

Page 95: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 95UG973 (v2015.4) 2015 年 11 月 18 日

付録 A : その他のリソースおよび法的通知

参考資料注記 : 日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

1. 『UltraFast™ 高生産性設計手法ガイ ド』 (UG1197)

2. 『UltraFast エンベデッ ド デザイン設計手法ガイ ド』 (UG1046 : 英語版、 日本語版)

3. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900)

4. 『Vivado Design Suite ユーザー ガイ ド : 高位合成』 (UG902)

5. 『Vivado Design Suite ユーザー ガイ ド : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG909)

6. 『Vivado Design Suite チュート リ アル : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG947)

7. 『Vivado Design Suite ユーザー ガイ ド : 階層デザイン』 (UG905)

8. 『Vivado Design Suite チュート リ アル : 階層デザイン』 (UG946)

9. 『IP リ リース ノート ガイ ド』 (XTP025)

10. 『USB ケーブル インス トール ガイ ド』 (UG344)

11. 『Platform Cable USB II データシート 』 (DS593)

12. 『ザイ リ ンクス パラレル ケーブル IV データシート 』 (UG097 : 英語版、 日本語版)

13. ザイ リ ンクス ダウンロード センター (http://japan.xilinx.com/support/download/index.htm)

14. Xilinx Design Tools WebTalk ページ (http://japan.xilinx.com/webtalk/index.htm)

15. Vivado Design Suite ビデオ チュート リ アル (http://japan.xilinx.com/training/vivado/index.htm)

16. Vivado Design Suite 資料

ト レーニング リソースザイ リ ンクスでは、本書に含まれるコンセプ ト を説明するさまざまな ト レーニング コースおよびオンライン ビデオを提供しています。 次のリ ンクから関連する ト レーニング リ ソースを参照してください。

1. Vivado Design Suite 入門ワークシ ョ ップ ト レーニング コース

2. Vivado デザイン ツール フロー

3. Vivado での FPGA 設計導入

Page 96: Vivado Design Suite - Xilinx · 1. C ベース デザインおよび最適化された再利用 2. IP サブシステムの再利用 3. 統合の自動化 4. 高速化されたデザイン

Vivado Design Suite 2015 リ リース ノート japan.xilinx.com 96UG973 (v2015.4) 2015 年 11 月 18 日

付録 A : その他のリソースおよび法的通知

お読みください : 重要な法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」 、 法人その他の団体の場合には 「貴社」 。 以下同じ )に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する最大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) とい う状態で提供

され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこれら

に限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿または貴

社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負わない (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損害には、

直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信用の損失、

その他あらゆる種類の損失や損害を含みます) が含まれる ものと し、 それは、 たとえ当該損害や損失が合理的に予見可能であった

り、ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。ザイ リ ンクスは、本情報に含まれる

いかなる誤り も訂正する義務を負わず、本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負いません。事前

の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ません。 一定の製品は、

ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 http://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンクスの販売条件

を参照して ください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したラ イセンスに含まれる保証と補助的条件に従う こ とに

な り ます。ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプリ ケーシ ョ ンに使用する

ために、設計されたり意図されたり していません。そのよ うな重大なアプリ ケーシ ョ ンにザイ リ ンクスの製品を使用する場合のリ ス

ク と責任は、 貴殿または貴社が単独で負う ものです。 http://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンクスの販売条件を参照

してください。

© Copyright 2012 - 2015 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含

まれるその他の指定されたブランドは、米国およびその他各国のザイ リ ンクス社の商標です。すべてのその他の商標は、それぞれの

保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。