Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure...

70
Laser-Plasma Sources for Extreme-Ultraviolet Lithography BJÖRN A. M. HANSSON Doctoral Thesis Stockholm, Sweden 2003

Transcript of Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure...

Page 1: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

Laser-Plasma Sources for Extreme-UltravioletLithography

BJÖRN A. M. HANSSON

Doctoral ThesisStockholm, Sweden 2003

Page 2: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

TRITA FYS 2003-56ISSN 0280-316XISRN KTH/FYS/--03:56--SEISBN 91-7283-658-X

KTHSE-100 44 Stockholm

SWEDEN

Akademisk avhandling som med tillstånd av Kungl Tekniska högskolan framläggestill offentlig granskning för avläggande av teknologie doktorsexamen fredagen den19 december 2003 kl. 10.00 i Kollegiesalen, Administrationsbyggnaden, Kungl Tekniskahögskolan, Valhallavägen 79, Stockholm.

c© Björn A. M. Hansson, november 2003

Tryck: Universitetsservice US AB

Page 3: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

iii

Abstract

This thesis describes the development and characterization of a liquid-xenon-jet laser-plasma source for extreme-ultraviolet (EUV) radiation. It isshown how this source may be suitable for production-scale EUV lithography(EUVL).

EUVL is one of the main candidates to succeed deep-ultraviolet (DUV)lithography for large-scale manufacturing of integrated circuits (IC). However,a major obstacle towards the realization of EUVL is the current unavailabilityof a source meeting the tough requirements on especially power and clean-liness for operation in an EUVL stepper. The liquid-xenon-jet laser-plasmaconcept has key advantages that may make it suitable for EUVL since, e.g.,its plasma consists only of the inert noble gas xenon and since the liquid-jet target technology enables plasma operation at large distances from thesource-hardware thereby reducing sputtering and to allowing for high-poweroperation.

At the beginning of the work described in this thesis, a spatial insta-bility of the liquid-xenon-jet made stable operation of a plasma at practicaldistances from the nozzle orifice difficult. However, an invention of a stabiliza-tion method based on applying localized heating to the tip of the jet-formingnozzle, resulted in stable jet operation. The longitudinal droplet stability ofa liquid-droplet laser-plasma source has also been investigated and improved.

Continuous improvements of especially the laser-power to EUV-radiationconversion efficiency (CE) and the stability of laser-plasma operation at largedistances (several centimeter) from the nozzle are reported for the liquid-xenon-jet laser plasma source. Furthermore, this source is characterized re-garding many parameters relevant for EUVL operation including, ion emissionfrom the plasma and related sputtering of nearby components, source size andshape, the repetition-rate limit of the source and non-EUV emission from theplasma.

Although the main focus of the thesis has been the development andcharacterization of a liquid-xenon-jet laser-plasma source for production-scaleEUVL, the source may also be suitable for small field applications that ben-efit from the high potential brightness of the source. A method to scan theplasma and thus minimize the photon losses while maintaining the objectplane uniformity was developed. Furthermore, the first operation of a liquid-tin-jet laser plasma is reported. Quantitative EUV flux measurements yieldrecord CE, but quantitative contamination measurements also indicate that aliquid-tin-jet laser plasma is not likely to be applicable as a source for EUVL.

Page 4: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

iv

Page 5: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

Contents

Contents v

List of papers vii

Other publications ix

List of acronyms xi

1 Introduction 11.1 Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.2 Extreme-ultraviolet radiation . . . . . . . . . . . . . . . . . . . . . . 21.3 Semiconductor technology . . . . . . . . . . . . . . . . . . . . . . . . 3

2 Microlithography 52.1 Overview of microlithography . . . . . . . . . . . . . . . . . . . . . . 52.2 The limitations of present microlithography . . . . . . . . . . . . . . 72.3 Next-generation lithography . . . . . . . . . . . . . . . . . . . . . . . 8

3 EUV lithography 113.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113.2 Multilayer optics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113.3 A brief history of EUV lithography . . . . . . . . . . . . . . . . . . . 133.4 Overview of an EUV-lithograpy stepper . . . . . . . . . . . . . . . . 143.5 Main challenges for EUV lithography . . . . . . . . . . . . . . . . . . 153.6 The wafer level - the resist . . . . . . . . . . . . . . . . . . . . . . . . 153.7 The projection optics . . . . . . . . . . . . . . . . . . . . . . . . . . . 163.8 The mask . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163.9 The collector/illuminator . . . . . . . . . . . . . . . . . . . . . . . . 173.10 Contamination issues . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

4 EUV sources 234.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234.2 Gas-discharge plasma . . . . . . . . . . . . . . . . . . . . . . . . . . 24

v

Page 6: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

vi CONTENTS

4.3 Laser plasma . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254.4 Synchrotron radiation . . . . . . . . . . . . . . . . . . . . . . . . . . 254.5 Other sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284.6 Source-generated contamination . . . . . . . . . . . . . . . . . . . . . 284.7 Debris mitigation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

5 Laser plasma 315.1 Plasma physics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 315.2 Choice of target material . . . . . . . . . . . . . . . . . . . . . . . . . 325.3 Target geometry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

6 Liquid-xenon-jet laser plasma 376.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 376.2 Liquid-xenon-jet operation . . . . . . . . . . . . . . . . . . . . . . . . 396.3 Laser-plasma operation . . . . . . . . . . . . . . . . . . . . . . . . . 406.4 A suitable source for EUV lithography? . . . . . . . . . . . . . . . . 41

7 Summary of the papers 43

Acknowledgments 45

Bibliography 47

Page 7: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

List of papers

Paper 1 B. A. M. Hansson, L. Rymell, M. Berglund, and H. M. Hertz, “A Liquid-Xenon-Jet Laser-Plasma X-Ray and EUV Source”, Microel. Engin. 53, 667–670 (2000).

Paper 2 O. Hemberg, B. A. M. Hansson, M. Berglund and H. M. Hertz, “Stability ofdroplet-target laser-plasma soft x-ray sources”, J. Appl. Phys. 88, 5421–5425(2000).

Paper 3 B. A. M. Hansson, L. Rymell, M. Berglund, O. Hemberg, E. Janin, J. Thore-sen, and H. M. Hertz, “Liquid-Xenon-Jet Laser-Plasma Source for EUV Lithog-raphy”, SPIE 4506, 1–8 (2001).

Paper 4 B. A. M. Hansson, M. Berglund, O. Hemberg, and H. M. Hertz, “Stabi-lization of liquefied-inert-gas jets for laser-plasma generation”, submitted toJ. Appl. Phys.

Paper 5 B. A. M. Hansson, S. Mosesson, and H. M. Hertz, “Improved emission unifor-mity from a liquid-jet laser-plasma EUV source”, submitted to Appl. Opt.

Paper 6 P. A. C. Jansson, B. A. M. Hansson, O. Hemberg, M. Otendal, A. Holm-berg, J. de Groot, and H. M. Hertz, “Liquid-metal-jet laser-plasma extremeultraviolet generation”, submitted to Appl. Phys. Lett.

Paper 7 B. A. M. Hansson, O. Hemberg, H. M. Hertz, M. Berglund, B. Jacobsson,E. Janin, S. Mosesson, L. Rymell, J. Thoresen, and M. Wilner, “Characteriza-tion of a liquid-xenon-jet laser-plasma EUV source”, submitted to Rev. Sci. In-strum.

vii

Page 8: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze
Page 9: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

Other publications

The following papers and patents are related to the work in the thesis but have notbeen included in this thesis.

Papers

• L. Rymell, M. Berglund, B. A. M. Hansson, and H. M. Hertz,"X-ray and EUVlaser-plasma sources based on cryogenic liquid-jettarget", Proc. SPIE 3676,421 (1999).

• H. M. Hertz, B. A. M. Hansson, M. Berglund, and L. Rymell,"Liquid-jet tar-get laser-plasma sources for EUV and x-ray lithography", Proc. SPIE 3767,2 (1999) (invited).

• B. A. M. Hansson, M. Berglund, O. Hemberg, and H. M. Hertz, “Xenonliquid-jet laser-plasma source for EUV lithography”, Proc. SPIE 3997, 729(2000).

• O. Hemberg, B. A. M. Hansson, M. Berglund, and H. M. Hertz, "Drift analysisand stabilization of laser-plasma droplet-target system", Proc. SPIE 4144,38 (2000).

• H. M. Hertz, M. Berglund, B. A. M. Hansson, O. Hemberg, and G. A. Jo-hansson, "Liquid-jet laser-plasma source for microscopy and lithography",J. Phys. IV France 11, 389 (2001) (invited).

• B. A. M. Hansson, L. Rymell, M. Berglund, O. Hemberg, E. Janin, S. Moses-son, J. Thoresen, and H. M. Hertz, "Status of the Liquid-Xenon-Jet Laser-Plasma Source for EUV Lithography", Proc. SPIE 4688, 102 (2002)

Patent and patent application

• Hans M. Hertz, Oscar Hemberg, Lars Rymell, Magnus Berglund ,Björn A. M.Hansson, "Method and apparatus for generating x-ray or EUV radiation",

ix

Page 10: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

x OTHER PUBLICATIONS

Swedish patent SE 520 087 (2003). Published international patent applicationWO 02/32197.

• European Patent Application No. EP 1 365 635

Page 11: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

List of acronyms

BW BandwidthCoO Cost of OwnershipCD Critical DimensionCE Conversion EfficiencyCW continuous waveDUV Deep UltravioletEPL electron projection lithographyETS Engineering Test StandEUV Extreme UltravioletEUV LLC Extreme Ultraviolet Limited Liability CompanyEUVL Extreme Ultraviolet LithographyFCII Flying Circus IIFEL Free Electron LaserHCT Hollow-Cathode Triggered gas dischargeIC Integrated CircuitIF Intermediate FocusITRS International Technology Roadmap for SemiconductorsIR InfraredLWR Line Width RoughnessMET Micro Exposure ToolNA Numerical ApertureNGL Next Generation LithographyOPC Optical-Proximity CorrectionPSM Phase-Shifting MasksPXL Proximity X-ray LithographySCALPEL Scattering with Angular Limitation in Projection Electron Lithographysr steradianTBD To Be DeterminedUV UltravioletVNL Virtual National LaboratoryVUV Vacuum ultravioletXPS X-ray Photoelectron Spectroscopy

xi

Page 12: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze
Page 13: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

One

Introduction

1.1 Background

The work presented in this thesis is part of the development of laser-plasma sourcesfor extreme-ultraviolet (EUV) radiation that takes place at the Royal Institute ofTechnology and also took place at the former company Innolite AB. The purposeof the work has been to develop laser-plasma sources and to investigate their ap-plicability for EUV lithography (EUVL).

EUVL may be the main lithographic choice for semiconductor production to-wards the end of this decade and the beginning of next. A major problem, though, isthat no EUV source is available today that meets the requirements for a production-scale EUVL stepper. In particular, no source has been able to sustain the highaverage powers needed or prove capability to do so. Furthermore, no source hasshown its ability to operate at the required power for long times without degradingthe fragile optical components in its vicinity.

However, laser-plasma sources based on liquid jets or liquid droplet targets havecertain features such as the ability to use a liquefied inert gas as target material,and the ability to generate the plasma far from any source hardware, that indicatesthe possibility to meet the requirements of EUVL. Therefore, the work describedin this thesis has mainly been to develop liquid jet/droplet laser plasma sourcesand to investigate and improve their performance from a system’s point of view forapplicability in EUVL.

This chapter gives a short introduction to the field of EUV radiation and brieflymentions the importance of microlithography for the development of semiconductortechnology. Chapter 2 introduces microlithography in general and the limitations oftoday’s deep-ultraviolet (DUV) lithography, motivating the development of EUVL.Chapter 3 gives an overview to EUVL, with an emphasize on aspects relevant froma source point of view. Chapter 4 introduces the specific demands on a source forEUVL and the other source alternatives that may be applicable. Chapter 5 followsby covering laser-plasma sources in particular. Finally, Chapter 6 together with

1

Page 14: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

2 CHAPTER 1. INTRODUCTION

Papers 1-7 describes the actual development of laser-plasma sources performed atthe Royal Institute of Technology and former Innolite AB, with a clear emphasison the liquid-xenon-jet laser-plasma that is identified as a source concept that mayreach the future requirements for production scale EUVL.

1.2 Extreme-ultraviolet radiation

EUV radiation is electromagnetic radiation just like gamma rays, x-rays, ultraviolet(UV) light, visible light, infrared (IR) light, microwaves and radio waves, locatedin a specific region of the electromagnetic spectra. The physics and applicationsof EUV radiation are thoroughly described by Attwood [1] and only briefly intro-duced in this section. Just like radiation from the other named spectral regions,EUV radiation interacts with matter in its characteristic way, and require its owncharacteristic means to generate the radiation, thus motivating the separate treat-ment of EUV. Figure 1.1 illustrates the position of EUV in the electromagneticspectrum.

Visib

le

Figure 1.1: A section of the electromagnetic spectrum indicating the position ofextreme-ultraviolet (EUV) radiation (adapted from Attwood [1]).

The main characteristics of EUV radiation is that all matter is highly absorbingdue to the presence of a multitude of atomic resonances in this wavelength region.Actually, the neighbors in the electromagnetic spectra (cf. Fig. 1.1), vacuum ul-traviolet (VUV) and soft x-rays, share basically the same characteristics, and theboundaries between them are not well defined. When generally discussing EUV inthis section, VUV and soft x-rays are also considered. At longer wavelengths (UV,visible, etc.), air and other gases are mainly transparent. Also transparent solidmaterials exist, with the lower limit of transparency around λ∼120 nm for, e.g.,MgF2 or CaF2. At shorter wavelengths, x-rays are transmitted by many materialsas is well known from, e.g., medical applications. Due to the high absorption ofEUV in all matter, EUV applications require a vacuum environment.

Page 15: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

1.3. SEMICONDUCTOR TECHNOLOGY 3

EUV is also characterized by the sources required to generate the radiation, orrather by the sources that are not applicable. For IR, visible and UV, the laseris a very powerful light source that has made many applications possible in thesewavelength regions. Although some EUV lasers have been demonstrated, they arestill not applicable for wider use. For harder x-rays, the electron impact source,commonly called the x-ray tube, is a powerful source, but its effectiveness dropswith decreasing photon energy, and it cannot generate substantial powers at EUVwavelengths. The unavailability of EUV sources have resulted in limited explorationof this wavelength region, but today, with powerful synchrotron sources and theavailability of compact sources, as those described in this thesis, more applicationsare emerging.

A positive feature with EUV radiation is that it can be controlled by opticalelements. Although refractive optics is not applicable due to the high absorbance,other solutions are available. At grazing-incidence angles, many materials havesubstantial reflectance of EUV, and at normal-incidence angles high reflectivitycan be achieved through multilayer coatings, as discussed in Section 3.2, allowingfor reflective optics. Novel microfabrication techniques have also made it possibleto manufacture diffractive optics, e.g., zone-plates, for these short wavelengths.

EUV radiation can be a sensitive tool for elemental and chemical character-ization due to the multitude of atomic resonances in the specific energy range.The high absorption in matter makes EUV radiation especially suitable for surfacescience with applications like x-ray photoelectron spectroscopy (XPS). The com-bination with the availability of reflective and diffractive optics also makes EUVastronomy using space-born telescopes possible, opening a new wavelength windowinto the universe. Furthermore, due to the short wavelength of EUV radiation and,thus, limited diffraction, high-resolution imaging and printing can be accomplished.An emerging application, of especially the shorter-wavelength soft x-ray radiation,is microscopy. The wavelength region λ=2.3–4.4 nm (called the water-window) isespecially interesting since it is characterized by a natural contrast between waterand protein, making detailed imaging of, e.g., living cells in their aquatic environ-ment possible. The main topic of this thesis is, however, the use of the short EUVwavelength to print semiconductor structures with smaller features using EUVL.

1.3 Semiconductor technology

Ever since the inventions of the transistor in 1947 and the integrated circuit (IC) inthe late 1950s, the development of semiconductor technology has been amazing. Notonly have we continuously gotten faster and smaller personal computers, but micro-processors, computer memories and application-specific integrated circuits (ASIC)are found in most technical products today. The impact of semiconductor technol-ogy on society in general is certainly far reaching as well, although that aspect isoutside the scope of this thesis.

From a very basic technical point of view, the development has been straight

Page 16: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

4 CHAPTER 1. INTRODUCTION

forward. It has mainly been a question of putting more and smaller transistors onthe ICs. More transistors means increased functionality, and smaller transistorsmeans increased switching speed and less power consumption for each transistor.The whole process has basically followed the famous "Moore’s law", formulatedby Gordon Moore in 1965 [2]. Moore stated that the number of components perIC would roughly double every second year. And indeed, the number of transis-tors have, e.g., gone from 2,250 transistors on an Intel 4004 processor in 1971 to42,000,000 on an Intel Pentium 4 in 2000.

The single most important driver of Moore’s law has probably been microlithog-raphy. Lithography is derived from the Greek words "lithos" meaning stone, and"graphia" meaning to write, and "stone writing" is not a bad expression for theprocess of defining circuits on a silicon wafer. Made out of sand, as it is, one canvery well look upon the silicon wafer as an artificial stone.

However, microlithography is facing major challenges in the future to allow thesemiconductor industry to continue following Moore’s law. In general, many tech-nological developments are needed for each step to smaller dimensions, and in anattempt to keep the semiconductor industry along the same path, the industrycollaborate on a document called the International Technology Roadmap for Semi-conductors (ITRS) [3]. This is a document that tries to predict the main trends inthe semiconductor industry and the areas where specific efforts are needed, looking15 years into the future. Next generation lithography is identified as one of the ar-eas of concern, an area to which EUVL belongs. The work described in this thesishas been aimed at making a contribution to this specific area.

Page 17: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

Two

Microlithography

2.1 Overview of microlithography

The lithographic process generates a semiconductor wafer partially covered withphotoresist so that the uncovered regions are available for different kinds of pro-cessing, e.g., etching, ion implantation or metallization [4]. This is schematicallyillustrated in Fig. 2.1, describing the process of opening up contact holes in the in-sulating oxide covering a transistor, thereby allowing for the formation of electricalinterconnects through filling of these holes with metal [5].

Figure 2.1: A lithographic process defines structures in the resist to allow for etchingof the insulating layer. Electrical interconnects can now be made by filling thecontacts holes with metal (from Levinson [5]).

5

Page 18: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

6 CHAPTER 2. MICROLITHOGRAPHY

The most important steps of the lithographic process are [5]: (1) Resist coat,when the wafer is coated with a light sensitive chemical called photoresist. This istypically done through dispensing a small volume of liquid resist onto the wafer andthen spinning it at high velocity to fling off excess liquid and leave behind a thin(∼1-2 µm) resist film. (2) Soft bake is then performed at an elevated temperatureto drive off residual solvent in the resist and increase the density of the resist layer.(3) Exposure, when light is shone on selective parts of the resist, a step that willbe covered further below. (4) Development, the step when the exposed positiveresist, or non-exposed negative resist, is removed through immersion in a liquiddeveloper. The wafer can now be processed for a specific purpose over the areas thatare not covered by the remaining resist. After that, the remaining resist patternis removed and the process repeated again for another purpose. Approximately25 such lithographic layers are required to produce contemporary semiconductordevices [6].

The simplest form of exposure, and the technology used in the infancy of mi-crolithography, is 1× contact printing where the mask is in contact with the resist-coated wafer as illustrated in Fig. 2.2a [7]. Collimated light is shone through the

Figure 2.2: Different principles of optical microlithography: (a) contact printing,(b) proximity printing and (c) projection printing (from Sze ed. [8]).

mask to expose the resist. To reduce wear and tear of the masks, proximity printingas illustrated in Fig. 2.2b was further applied.

Since the early days of contact printing, microlithography has undergone sub-stantial development in order to print continuously finer structures [9]. Today,projection lithography, as illustrated in Fig. 2.2c, is mainly used.

Page 19: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

2.2. THE LIMITATIONS OF PRESENT MICROLITHOGRAPHY 7

2.2 The limitations of present microlithography

The resolution of an optical system, like a projection-lithography system, is tradi-tionally determined by the Rayleigh criterion,

resolution = 0.61λ

NA, (2.1)

where λ is the wavelength of the light and NA1 the numerical aperture of theoptical system. However, the Rayleigh criterion treats the ability to resolve twopoint sources and not the printability of semiconductor structures. Instead theequation

smallestfeature = k1λ

NA(2.2)

is used, where k1, usually referred to as the "k-factor", is introduced [5]. k1 isnot only dependant on the optical system, but also on the lithographic process ingeneral and the resist characteristics in particular.

From Eq. 2.2 it is obvious that there are three ways to decrease the obtainableminimum feature size: to increase the NA or to decrease the wavelength or k1. Asis illustrated in Table 2.1, there has been a continuous increase in NA and decreasein wavelength over the years toward today’s DUV lithography using λ=193 nmArF eximer lasers and NA=0.85 [10]. k1 was steady at 0.8 until about 1993-1994

Table 2.1: The development of stepper optics (from Levinson [5]).

Wavelength NA Year of first use Light source436 nm 0.30 1982 Hg arc lamp (g-line)365 nm 0.45 1990 Hg arc lamp (i-line)365 nm 0.60 1994 Hg arc lamp (i-line)248 nm 0.50 1994 Hg arc lamp or KrF eximer laser248 nm 0.60 1997 KrF eximer laser248 nm 0.70 1999 KrF eximer laser193 nm 0.60 1999 ArF eximer laser193 nm 0.75 2001 ArF eximer laser

and has since then decreased to almost 0.4 using only binary masks [9]. Severalmethods, partly used already today, such as off-axis illumination, optical-proximitycorrection (OPC) and phase-shifting masks (PSM) can be used to decrease k1 evenfuther [5]. However, the physical or economical limit for 193-nm lithography isapproaching and alternatives are needed. These future alternatives are popularlyreferred to as next-generation lithography (NGL).

1In vacuum, NA = sin θmax, where θmax is the half-angle of the maximum cone of light pickedup by, e.g., a lens.

Page 20: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

8 CHAPTER 2. MICROLITHOGRAPHY

2.3 Next-generation lithography

157 nm lithography

Optical lithography at 157 nm is possible using F2 excimer laser sources [11]. Onemay argue if 157-nm lithography classifies as NGL, but significant developments areneeded to operate at this wavelength. A major difficulty for 157-nm lithography isthat fused silica, normally used for lens manufacturing in steppers, is semi opaqueat this wavelength. Instead CaF2 is likely to be used [11]. However, good-qualityCaF2 is difficult to manufacture in large amounts, and it has lately been shownthat CaF2 suffers from intrinsic bifringence that requires lens-design workarounds[12]. Furthermore, the optical path must be purged with, e.g., high-purity nitrogenor helium since 157 nm radiation is strongly absorbed in water. The availability ofhigh-performance single-layer resists is also a problem [9].

Immersion lithography

The idea of immersion lithography is to have a liquid medium of high index ofrefraction, n, between the objective and the wafer. Since the effective wavelengthin a medium, λeff , is

λeff = λ0/n, (2.3)

where λ0 is the vacuum wavelength, Eq. 2.2 for the minimum feature size caninstead be written as

smallestfeature = k1λ0/n

NA, (2.4)

leading to an n× improvement compared to dry lithography. Immersion lithographyis not a new idea [13, 14], but it has gained renewed interest lately as a meanto push DUV lithography further. However, several issues remain to be solvedincluding finding suitable immersion liquids with the right properties. Water looksas a realistic candidate at 193 nm, with n∼1.47 [15] corresponding to λeff=131 nm.Immersion lithography at 193 nm would therefore be usable for smaller features thandry 157 nm lithography. As for the possibility of 157 nm immersion lithography,finding a suitable liquid with high transparency remains a challenge [15]. In general,major issues that remain for immersion lithography include, development of wafer-stages incorporating the liquid, effects of, e.g., temperature or pressure-gradientinduced variations in the liquid’s index of refraction and micro bubbles, etc.[15]

EUV lithography

EUVL is a major development of optical lithography since it incorporates a radicaldecrease in wavelength to λ∼13.5 nm and a switch to all-reflective optics. EUVLis the main topic of this thesis and is discussed in Chapter 3.

Page 21: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

2.3. NEXT-GENERATION LITHOGRAPHY 9

Proximity x-ray lithography

Proximity x-ray lithography (PXL) [16] is basically an extension of the proximity-printing technique of Fig. 2.2b. The optimal wavelength for PXL is in the λ∼1 nmregion where the balance between diffractive effects and photoelectron blur is op-timal [17, 18]. A major difficulty for PXL is the manufacturing of 1× defect-freemembrane masks and the fact that they have to be operated at gaps less than 10 µmto obtain <100 nm resolution [5]. PXL has traditionally operated with synchrotronradiation [19], but point sources, especially laser-plasma sources, are also applicable[20–22].

Electron-projection lithography

A non-photon lithography alternative is electron-projection lithography (EPL) [5].This is a mask-based lithography using electrons instead of photons and electronoptics instead of lenses or mirrors. The principle of EPL is illustrated in Fig. 2.3.A key feature is the concept that the mask does not absorb electrons in the opaque

Figure 2.3: The principle of electron-projection lithography with a scattering mask(from Levinson [5]).

regions, but rather scatter them so that they are absorbed in an aperture in a focalplane instead [23]. This concept was given the acronym SCALPEL for SCatteringwith Angular Limitation in Projection Electron Lithography. SCALPEL limits theheating and subsequent distortion of the mask that would result from absorptionof a high election current [23]. A key advantage with EPL is the short wavelengthof the electrons that limit diffraction effects. However, a major problem is theCoulomb interaction between the electrons that blur the images as the beam current

Page 22: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

10 CHAPTER 2. MICROLITHOGRAPHY

is increased in order to reach high throughput [5]. An EPL stepper is only expectedto print 30–40 wafers per hour [24]. Today, mainly the Japanese company Nikon isdeveloping an EPL stepper [25].

Other lithography techniques

Other lithographic technologies that will not be mentioned in more detail includedifferent maskless technologies [26], ion-projection lithography [27] and imprintlithography [28, 29].

Next-generation-lithography workshops

When discussing future lithography it is hard not to mention a series of 5 workshopsthat were organized by International Sematech to evaluate the different future op-tions [30]. At each conference, the participating companies were asked, e.g., whatlithography solution they would chose for a certain technology node if they had tochose at that moment. It is especially interesting to see the historical developmentof the surveys where the general trend is that the existing technologies are extendedlonger than first expected. The last workshop in 2001 [31] downselected the NGLalternatives to EUVL and EPL, abandoning ion-projection lithography and PXL.EUVL was the technology receiving by far most votes for the 45 nm node, however,today EUVL rather seems targeted towards the 32 nm node as, e.g., presented byHarned [32].

Page 23: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

Three

EUV lithography

3.1 Introduction

Conventional optical lithography have continuously improved the resolution bystretching all the factors of the equation determining the smallest printable fea-ture size, introduced in Chapter 2,

smallestfeature = k1λ

NA. (2.2)

The numerical aperture has been increased, the k1-factor and the wavelength havebeen decreased. However, there will eventually be a limit to how far these factorscan be stretched. The wavelength reaches its lower limit when all materials becomehighly absorbing and opaque, making manufacturing of refractive lenses impossible.A natural step is then to switch to reflective optics, but the problem is, as will bediscussed below, that a reduced freedom of optical design will limit the achievableNA. However if the reduction in wavelength is greater than the loss in NA, thereis still a gain in resolution according to Eq. 2.2. Thanks to the development ofmultilayer mirrors, sufficient reflectivities can be obtained at an order of magnitudeshorter wavelength than DUV wavelengths, i.e., EUV wavelengths, making EUVLpossible. Before discussing EUVL in more detail, a brief introduction of thesemirrors is therefore appropriate.

3.2 Multilayer optics

Unfortunately no surface in itself show any substantial reflectivity of EUV radiationat near-normal incidence. The reason for this is that the complex index of refraction,n, is very close to unity for all matter at EUV wavelengths, and the normal incidencereflectivity, R, in vacuum is given by [33]

R =|1− n|2|1 + n|2 . (3.1)

11

Page 24: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

12 CHAPTER 3. EUV LITHOGRAPHY

Improved reflectivity in the EUV wavelength range can, however, be obtained bycoating the mirrors with a large number of alternating layers of materials with dif-ferent indexes of refraction. If the periodicity of the double layers is approximatelyλ = 1/2, constructive interference between small reflections in individual interfacescan increase the total reflectivity to substantial levels [34].

The highest peak reflectivities have been obtained in the rather narrow wave-length band 11.4–14 nm with Mo/Be or Mo/Si [35] multilayers [36]. The availabilityof these mirrors defines the possible operating wavelength band for EUVL. Today,it is anticipated that EUVL steppers will have Mo/Si optics, and the desired wave-length is λ = 13.5 nm [37].

Lately, it has been shown that interface-engineered multilayers with thin bufferlayers of boron carbide between the Mo and Si can achieve increased reflectivity bylimiting the intermixing of Mo and Si in the interface [38]. 70.0% peak reflectivityat λ = 13.5 nm and 71.0% at λ = 12.7 nm has been achieved as shown in Fig. 3.1.

Figure 3.1: Example of near-normal-incidence-reflectivity curves from interface-engineered Mo/Si multilayers with boron carbide buffer layers (from Bajt et al.[38]).

High peak reflectivity is important since the optical system of a stepper musthave as high total transmission as possible. However, peak reflectivity is not theonly important factor. The power delivered to the wafer, Pw, is given by theintegral of the spectral power of the source (into the collectable angle), Ps(λ), andthe multiplied reflectivities, R(λ), of the n mirrors,

Pw =∫

Ps(λ)n∏

k=1

Rk(λ)dλ. (3.2)

Page 25: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

3.3. A BRIEF HISTORY OF EUV LITHOGRAPHY 13

Therefore, the bandwidth of each mirror, the center-wavelength match of the differ-ent mirrors and the match of the source’s spectra are equally important to achievehigh flux on the wafer and in that way high wafer throughput.

3.3 A brief history of EUV lithography

After early multilayer-based optical system designs and experiments mainly for as-tronomy and microscopy [39, 40], the idea of EUVL was presented, starting in 1985,in several publications [41–44]. The first experimental results, achieving 0.5 µm res-olution, were then shown in 1989 by Kinoshita et al. using a Schwarzschild demagni-fying optics and synchrotron radiation as the source [45]. The optical arrangementand the result from that article is shown in Fig. 3.2. Basically diffraction-limited

(a) (b)

Figure 3.2: (a) The experimental arrangement for the first demonstration of EUVLand (b) the result obtained, demonstrating 0.5 µm resolution (from Kinoshita et al.[45])

performance was shown in 1990 by Bjorkholm et al. resolving sub 0.1 µm structuresalso using a Schwarzschild objective and synchrotron radiation [46]. Later thoseresults were repeated with a laser-plasma source by Tichenor et al. [47].

Since then, a large number of EUVL tools have been developed world wide.Today, the first full-field scanned EUV stepper has been constructed and operated.The engineering test stand (ETS), shown in Fig. 3.3a, is the main physical result ofa large US EUVL effort (VNL / EUV LLC) [48]. The ETS has lately managed tosuccessfully print 70 nm features over a large 24×32.6 mm2 field through scanningoperation as illustrated in Fig. 3.3b [49].

Currently, a small-field, high-NA micro stepper, NA=0.3, the Micro ExposureTool (MET), is being developed, and it is the first EUVL tool to be "commerciallyavailable" [50]. Its application will mainly be in resist development apart fromgeneral learning on EUVL. A similar tool, the HiNA, is developed in Japan [51].

Page 26: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

14 CHAPTER 3. EUV LITHOGRAPHY

Reticle s tage

Wafer s tage

Pro jection

optics

C1 collector

Las er- produced

plas ma

Drive las er

beam

Xenon s pray jet

as s e mbly

C4 element

C2, C3 pupil

optics

Spectral purity filter

(a) (b)

Figure 3.3: The Engineering Test Stand (ETS) (a) is a full-field scanning EUVLstepper. It has successfully printed 70 nn features (b). (Courtesy of Sandia NationalLaboratories)

ASML, a Dutch stepper manufacturer, is currently developing what they callan α-tool, i.e., a demonstration stepper [52, 53]. This is a full-field, NA=0.25 toolthat should achieve 50 nm resolution but only print ∼1 wafer/h. The α-tool wasoriginally scheduled for year 2003 [53] but appears to be delayed. Canon and Nikonof Japan jointly develop what they call a β-tool [54]. This is a full-field, NA=0.25tool that should print ∼7 wafer/h and it is scheduled for year 2005. Although thespecifications and timings of these future tools tend to change over time, with theworld economy etc., at least the fact that all three major stepper manufacturersare involved in the development of EUVL indicate strong industry interest.

3.4 Overview of an EUV-lithograpy stepper

A very brief overview of the function of an EUVL stepper, as shown schematicallyin Fig. 3.4, is as follows: (1) the EUV radiation is generated by an EUV sourceand is collected by a collector mirror. (2) Illumination optics shape and transferthe EUV beam to achieve the desired illumination properties at the reticle (mask).(3) The reticle stage scans synchronously with the wafer during exposure. (4)The projection optics transfers a demagnified image of the reticle to the resist-coated wafer (5). The wafer stage performs a step motion after each scan. SinceEUV radiation is absorbed by air or any other gas, the EUV stepper must be invacuum. The different components of an EUVL stepper will be covered one by onebelow, starting at the wafer and moving backward through the system towards thesource, although the source will be covered in next chapter. However, first a brief

Page 27: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

3.5. MAIN CHALLENGES FOR EUV LITHOGRAPHY 15

retic le stage

wafer s ta ge

3 2 14

5

Vacuu

m

pum

p

Vacuum

pumpillumination optics

EUV source system

lens

Figure 3.4: Overview of an EUVL stepper (adopted from Mailing et al. [52]).

introduction to the challenges of EUVL.

3.5 Main challenges for EUV lithography

An EUVL stepper is a very complicated system and several technologies have to beadvanced significantly in order for the technology to become an industrial reality.The following is a list of critical issues that were identified and prioritized by thesteering committee of the 1st international EUV symposium in Dallas, October2002 [55]. Most of the issues in the list will be covered in the following review ofthe components of an EUVL stepper.

1. Source output2. Defect-free multilayer coated mask blank manufacturing, including inspection3. Source & condenser optics reliability4. Cost of ownership of EUV lithography5. Defect-free patterned mask manufacturing/commercial availability6. Reticle defect protection (from inspection through exposure)7. Effective contamination control of optical path (lifetime)8. High NA optics manufacturing9. Thermal management of reticle & projection optics at high throughput10. Resist - high sensitivity at low power with low line-edge roughness

3.6 The wafer level - the resist

The wafer level include the scanning stage, the wafer and the resist. As for thescanning stage the main difference from conventional lithography is that the stagehave to operate in vacuum. This is not anticipated to be a problem. As for theresist, on the other hand, several issues have to be solved. However, not all issuesare related to EUVL specifically, but rather to the fact that EUVL might not be

Page 28: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

16 CHAPTER 3. EUV LITHOGRAPHY

inserted until the 32 nm node, posing tough requirements on resolution and linewidth roughness (LWR) etc. [56]. Specific problems for EUV resists are that theymust have very low outgassing, also when illuminated by EUV and out-of-bandradiation, not to contaminate the optics. Furthermore, reasonable transmittance ofEUV radiation is required to obtain good resist side-wall profiles [56]. Two issuesof the resists are related strongly to the source. First, the power requirement forthe source is inversely proportional to the resist sensitivity. The current goal forthe resist sensitivity is 2-5 mJ/cm2, where certainly the lower number is preferablefrom the source point of view. Unfortunately studies indicate that the LWR valuesincrease for resists with higher sensitivities [57]. Second, the response of the resistfor non-EUV radiation will influence the out-of band requirements of the source(cf. Paper 7). In particular, DUV radiation may expose the resist [58]. Actually,many EUV resists are based on resists for 248 nm lithography, and are thereforeespecially sensitive at that wavelength [59].

3.7 The projection optics

The role of the projection optics is to demagnify and transfer the image of themask to the resist-coated wafer. It has to resolve the smallest features of the chipdesign with high contrast over a large field. In conventional DUV objectives, agreat number of lenses can be used to obtain the desired performance as illustratedin Fig. 3.5a. However, in an all-reflective design only few mirrors can be useddue to two main reasons. First, the effect of obscuration, i.e., one mirror cannotobscure the beam-path between other mirrors, making the design with a greatnumber of mirrors very difficult. Second, the reflectivity of each mirror is limitedto ∼70%, meaning that the use of many mirrors will drastically lower the totaltransmission of the optical system, requiring more power from the source. Sinceonly few mirrors can be used, they instead require aspheric surfaces to achievethe imaging requirements [62]. Figure 3.5b illustrates one suggested optical designfor EUVL projection optics. Six mirror systems will be needed in production-scale steppers [63]. Common for different designs of EUV projection optics is thataberrations are well corrected over a ring field centered on the optical axis [61, 64]as illustrated in Fig. 3.6a. This ring-field therefore have to be scanned over themask pattern as illustrated in Fig. 3.6b.

3.8 The mask

As can be seen in the list of main challenges for EUVL in Section 3.5, many ofthe items of concern are related to the mask. However, the mask will only bediscussed briefly here since its performance is little related to the source. A reviewof requirements and potential solutions regarding EUVL masks is given in a paperby Hector [65].

Page 29: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

3.9. THE COLLECTOR/ILLUMINATOR 17

(a)

(b)

Figure 3.5: (a) Example of a projection optics system for a current DUV stepper(from Schuster et al. [60]) and (b) one suggested optical design for the projectionoptics of an EUVL stepper (from Hudyma [61]).

The EUVL mask is a multilayer substrate coated with a patterned absorbingtop layer. The general mask problem is that it must be manufactured and keptfree from printable defects over its full area of ∼106×132 mm2. A difficult issue isthe manufacturing of a defect-free multilayer substrate. Substrates with 0.05 addeddefects/cm2 can be achieved, but another factor of 10 improvement is needed [66].A further problem, as discussed by Meiling et al. [53] is to keep the mask defectfree during operation and handling, especially since no pellicle1 can be used due tothat no material sufficiently transparent to EUV is available.

3.9 The collector/illuminator

The role of the collector/illuminator optics is to capture as much light as possibleof the radiation emitted by the source and to condition it to illuminate the ring-field on the mask correctly. As illustrated in Fig. 3.7, each point on the ringfield should be illuminated with an identical cone of light from the illuminator

1A pellicle is a thin transparent film that protects the surface of a photomask from particles.The particles instead attach to the pellicle surface that is not in the image plane.

Page 30: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

18 CHAPTER 3. EUV LITHOGRAPHY

(a) (b)

Figure 3.6: Although only for a 1× projection system, the concept of a well correctedring field is illustrated in (a). The scanning principle is further illustrated in (b)(from Hoh et al. [42]).

[67]. Typically, the setup is that schematically illustrated in Fig. 3.4 where a

Figure 3.7: Illustration of how each point on the ring field should be illuminatedwith an identical cone of light from the illuminator (from Murphy et al. [67]).

collector mirror collects as much radiation as possible from the plasma, transferringit further to the illumination optics that transforms the radiation to achieve thedesired illumination characteristics.

Starting with the collector, it should collect the largest possible solid angle tominimize the photon losses from the source. However, the physical attributes of thesource will influence the design possibilities available for the collector. For laser-plasmas with solid bulk targets or discharge sources, only the forward directionis available, limiting the collector design to, e.g., grazing-incidence collectors oroff-axis mirrors (cf. Fig. 3.8). Laser plasmas based on free-standing targets,

Page 31: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

3.9. THE COLLECTOR/ILLUMINATOR 19

Figure 3.8: Discharge sources are limited to collect radiation in the forward directionwith, e.g., grazing incidence collectors (from Fomenkov et al. [68]).

e.g., gas, spray, droplets or jets, have a larger degree of freedom and can collectin the backward direction, as the ETS collector illustrated in Fig. 3.3a, or even,theoretically, in both directions as illustrated in Fig. 3.9

Nozzle

Plasma

Xe jet

Condenser mirror

Illumination

optics

Figure 3.9: Illustration of how the liquid-jet-target concept in principle could allowfor collection in both the forward and the backward direction (from Paper 3).

However, not only the geometrical access to the source limits the available col-lection angle. There is a more fundamental limit to how much radiation can becollected. In a classical optical system, the product of field, A, and NA2 is in-variant [69]. For unobscured and circular pupils this invariant, the étendue orHelmholtz-Lagrange-Invariant, can be written as

etendue = A π NA2. (3.3)

A and NA can represent the field size and the NA of the objective but also theprojected source area and the NA of the collector. If this source/collector étendue

Page 32: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

20 CHAPTER 3. EUV LITHOGRAPHY

is larger than the étendue of the objective, only a fraction of the power emittedfrom the source is usable. Either the collection angle has to be limited or only asmaller effective area of the source can be collected. However, since a plasma sourceis three-dimensional in its nature, a more detailed analysis is required compared toEq. 3.3. Derra et al. [70] perform such an analysis when investigating how muchuseful power can be collected from a gas discharge plasma.

In general, two main methods of illumination are possible, as discussed by, e.g.,Chapman et al. [71], critical illumination where the source is directly imaged ontothe object, or Köhler illumination where the source is imaged in the back focalplane of the objective and instead the collector pupil is imaged onto the object.However, a problem discussed by Antoni et al. [69] is that the shape of mostsources is basically symmetric, but the arc field has a very high aspect ratio. Theillumination system therefore has to transform the étendue in the space domainwithout altering the angular domain.

One way to achieve the transformation of étendue is through the fly’s eye conceptwith segmented optics [69, 72] as illustrated in Fig. 3.10a with a refractive setup.This is basically Köhler illumination, however, instead of imaging the collector pupilonto the mask, several pupil facets image their corresponding field facets onto themask. If the field facets are arc shaped, as illustrated in Fig. 3.10b, the arc-shapedfield will be obtained. However, rectangular field facets can be transformed by,e.g., a toroidal field lens [69]. Another method to transform the étendue is theripple-plate concept described by Chapman et al. [71].

Alternatively, if the source had the same aspect ratio as the field, critical illumi-nation could in principle be applied. One possible solution is to scan the positionof a circular source and in that way obtain a time-integrated source of high aspectratio [73]. However, since a production-scale stepper will require exposure of thefull arc-shaped field with a repetition-rate exceeding 7 kHz [37], a scanning conceptmay require too high total repetition rate to be feasible. For development toolswithout such high repetition-rate demands, the method might, on the other hand,be applicable as suggested in Paper 5. Instead of scanning, one could imagine ashaped source through, e.g., a capillary discharge source with a shaped capillary[74] or through driving a liquid-jet laser plasma with a line focus [75].

Finally, a hybrid collector/illuminator design is used in the ETS that is criticalin the scanning direction and Köhler-type perpendicular to the scanning direction[76]. It creates the ring-field by a primary aspheric collector.

A further component might have to be included with the collection/illuminationoptics, and that is a spectral purity filter. Only a certain amount of non-EUVradiation is acceptable [58] after the collector. As discussed in section 3.6 above,the DUV radiation may expose the resist, but also other wavelengths may lead toespecially thermal problems. Primarily, this is a source problem, and the out-of-band emission from the source should be minimized. However, if the ratio out-of-band/in-band radiation is too large, an additional component have to be addedthat filters out the non-EUV radiation. This may be a grating as described byNaulleau et al. [77] or a thin membrane as in the ETS [78] (cf. Fig. 3.3a). Although

Page 33: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

3.10. CONTAMINATION ISSUES 21

Field Facets Pupil Facets

(a)

(b)

Figure 3.10: (a) Illustration of the fly’s eye concept (from Antoni et al. [69]). (b)Arc-shaped field facets will generate an arc-shaped field since the field facets areimaged to the object field by the pupil facets (from Komatsuda et al. [72]).

a grating-based spectral purity filter might reach as high as 70% transmission [77],it is still preferable if this extra component can be avoided since it directly raisesthe required source power.

3.10 Contamination issues

Contamination of the optical path is one of the obstacles for EUVL to overcomesince it will limit the lifetime of the optical system. Meiling et al. [53] talk about alifetime target of 30,000 hours of exposures where the lifetime is defined as when anirreversible transmission loss of ∼10% is reached. From the source point of view,the problem is mainly connected to debris and ions destroying the first collectormirror. That will, however, be discussed in the next chapter about EUV sources.Here the other sources of contamination will briefly be mentioned.

Two major issues of contamination exist in the optical path: oxidation and

Page 34: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

22 CHAPTER 3. EUV LITHOGRAPHY

carbon growth on the mirror surfaces during EUV exposures [79]. The mirrorsurfaces emit secondary electrons under EUV irradiation, and these electrons areresponsible for dissociation of hydrocarbons adsorbed to the mirror surface [80]and similar for adsorbed water [79]. Several experiments have been performed,both with EUV illumination and by simulating EUV exposure with an e-beam, allindicating unacceptable contamination rates [79, 81, 82]. Carbon contaminationsseems, however, possible to remove through in-situ cleaning [83, 84] but oxidationappears to be an irreversible process.

Several methods are proposed to limit contaminations. The obvious is certainlyto improve the vacuum conditions, but this may complicate the mechanical designto an unacceptable level [53]. Instead, other methods have to be used. Klebanoff[83] have, e.g., shown how an ethanol background can stop oxidation. A promisingapproach is to introduce oxidation resistant capping layers to the multilayer mirrors.Both ruthenium [38] and carbon [85] capping layers have proven effective to limitthe oxidation.

Page 35: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

Four

EUV sources

4.1 Introduction

An EUV source has to meet very demanding requirements to be suitable for oper-ation in production-scale EUVL steppers. An estimation of the final requirementson an EUVL-stepper source is given in a list of requirements jointly published bythe three major stepper manufacturers: ASML, Canon and Nikon (cf. Table 4.1)[37].

Table 4.1: The Sep. 2003 EUVL source requirements as jointly agreed by ASML,Canon and Nikon (from Franken et al. [37]).

Source characteristic RequirementWavelength 13.5 nmEUV Power (in-band) 115 W ∗

Repetition Frequency >7–10 kHz ∗∗∗Integrated Energy Stability ±0.3%, 3σ over 50 pulses ∗∗Source Cleanliness ≥30,000 hours ∗∗Etendue of Source Output max 1–3.3 mm2sr ∗∗∗Max. solid angle input to illuminator 0.03–0.2 sr ∗∗∗Spectral Purity:130-400 nm (DUV/UV) ≤7% ∗∗∗

≥400 nm (IRVis) at Wafer TBD ∗∗∗∗ At intermediate focus (IF)∗∗ After IF∗∗∗ Design dependant

The desired wavelength is currently 13.5 nm. Banine et al. [86] discuss thewavelength and conclude that 13.5 nm is desirable since another wavelength wouldrule out the possible use of a lithium dense-plasma-focus source. However, today

23

Page 36: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

24 CHAPTER 4. EUV SOURCES

the use of lithium is little considered which may allow for a change in wavelength.On the other hand spectra from xenon discharge sources [87–89] have a spectralpeak at ∼13.5 nm that would still motivate this wavelength.

The required in-band (2%BW around 13.5 nm) power is 115 W into the inter-mediate focus (IF). The IF is defined as an imaginary focus after the first collectormirror and a possible spectral-purity filter as illustrated in Fig. 4.1. With this

Figure 4.1: The definition of the intermediate focus (IF) (from Franken et al. [37]).

power definition it is possible to compare different sources even if they have dif-ferent étendue and different geometrical collection ability etc. (cf. Section 3.9). Abackground to the power demand can be found in the typical throughput modelalso jointly published by ASML, Canon and Nikon [90]. This shows how thepower demand is calculated from several assumptions including resist sensitivity of5 mJ/cm2 (cf. Section 3.6), throughput of 100 wafers/hour, peak mirror reflectivityof 67.5% (cf. Section 3.2), illuminator transmission of 8.1% and (stage overheadtime)/(exposure time)=3. The source power requirement will change with theseassumptions accordingly.

The repetition frequency and the integrated source stability influence the doserepeatability and, thus, the critical dimension (CD) control, as discussed by Ban-ine et al. [86]. The integrated dose is the important factor, and the highest possiblerepetition rate is therefore obviously desirable.

The source cleanliness is discussed further in Section 4.6 and Paper 7. Theétendue output of the source limits the collectable power as discussed further inSection 3.9 and Paper 5, and the maximum solid-angle input to the illuminatorwill be determined by the illuminator design. Finally, the out-of-band emission willdetermine if a spectral purity filter is needed or not (cf. Sections 3.6 & 3.9 andPaper 7).

4.2 Gas-discharge plasma

Gas-discharge-plasma sources constitute a straightforward way of generating aplasma. Although, there are several different types of gas-discharge plasmas, the

Page 37: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

4.3. LASER PLASMA 25

general operating principle is common for all. By running a current between ananode and a cathode, the current will induce a magnetic field that compresses theionized gas, forming a plasma hot enough to emit EUV radiation as discussed inChapter 5 [91]. Figure 4.2 is an overview of the main gas-discharge types used forEUV generation.

Gas-discharge sources have a clear advantage in their direct electrical to EUVconversion. Furthermore their complexity is lower compared to the electron-storagerings for synchrotron radiation or laser plasmas that require high-average-powershort-pulse lasers. During the last couple of years, the EUV-in-band power availablefrom gas-discharge sources have increased quickly and today, e.g., ∼7 W/2%BWis available during continuous operation into a calculated IF from a xenon z-pinchgas-discharge source [87].

A disadvantage for gas-discharge-plasma sources is that the plasma typicallyis operated in the close vicinity of the electrodes. Although increased minimum-operating distances to ∼15 mm has been reported for a xenon hollow-cathode trig-gered (HCT) source by Pankert et al. [88] and ∼10 mm by McGeoch et al. [92]for a xenon star pinch, Pankert et al. [94] still see about 30 kW input power asthe thermal limit for a xenon HCT source, resulting in an EUV performance of∼30 W/2%BW into the IF. Furthermore, the operation of the plasma in closevicinity of the electrodes will lead to erosion of the electrodes, resulting in debristhat has to be mitigated [89, 95].

Lately, the use of tin vapor instead of xenon gas has been proposed and evaluated[87, 94], demonstrating ∼ 2.4× better conversion efficiency (CE) compared to xenon[87], which is not surprising in accordance to the discussion in Section 5.2. However,the use of tin will require sophisticated mitigation techniques since tin, unlike xenon,will deposit on surfaces including the collector [88].

Gas-discharge sources are further characterized by rather large and elongatedplasma shapes, typically several 100 µm in diameter and several millimeters inlength [95]. This leads to difficulties in achieving large collection angles accordingto the étendue discussion in Section 3.9, as presented in detail by Derra et al. [70].

4.3 Laser plasma

The laser plasma is covered in Chapters 5 and 6.

4.4 Synchrotron radiation

The traditional high-power source for EUV radiation is synchrotron radiation fromelectron storage rings. A detailed description of this radiation source can, e.g., befound in Attwood [96]. Synchrotron radiation is generally obtained from electronscirculating at relativistic speeds in a storage ring. The radiation is emitted when theelectrons undergo a change of direction, i.e., they are accelerated, which naturally

Page 38: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

26 CHAPTER 4. EUV SOURCES

(a) (b)

(d) (e)

(c)

Step 1 Step 2

Figure 4.2: Different gas-discharge geometries and operating principles: (a) hollow-cathode triggered gas discharge (HCT) (from Pankert et al. [88]), (b) dense plasmafocus (from Fomenkov et al. [68]), (c) star pinch also describing it’s two steps ofoperation (from McGeoch et al. [92]), (d) z-pinch (from Stamm et al. [87]) and (e)capillary discharge (from Fornaciari et al. [93]).

Page 39: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

4.4. SYNCHROTRON RADIATION 27

occurs in the bending magnets keeping the electrons in the ring. Actually, syn-chrotron radiation was first observed as a parasitic energy loss in electron storagerings. Furthermore, periodic magnetic structures (undulators and wigglers) may beintroduced in the straight sections between the bending magnets. Undulators haverather weak magnetic fields and generate radiation with narrow frequency spreadthat can be partially coherent. Wigglers have stronger magnetic fields and gen-erate higher total photon flux at higher photon energies compared to undulators.However, the brightness is higher from undulators due to the narrower frequencyspread and the narrower radiation cone. Figure 4.3 illustrates the tree methods togenerate synchrotron radiation and their characteristic photon output.

Bending magnet

radiation

Photons

Wiggler

radiation

Photons

Undulator

radiation

Photons

Figure 4.3: Generation of synchrotron radiation through bending magnets, undu-lators and wigglers (Courtesy of G. Johansson, KTH [97]).

The main advantages of synchrotron radiation as an EUVL source is that it isinherently clean and effectively continuous wave (CW). However, one synchrotron-source study, performed by Oxford Instruments, concluded that the most optimisticEUV-in-band output power from a synchrotron would be ∼30 W/2%BW [98]. Fur-thermore, the study points out the problem of installing a synchrotron into anexisting fab, especially given the required radiation shielding weighing at least 100-200 tons. Figure 4.4 illustrates a possible arrangement of the storage rings, steppersand shielding in a fab. A further study, published by Dattoli et al. [100], con-firms that a traditional synchrotron source cannot meet the power requirementfor EUV lithography, but that a free electron laser (FEL) would produce enoughpower. However, an EUV FEL as, e.g., under construction at the TESLA Test Fa-cility at DESY, Germany, is a very large facility hardly suitable for semiconductormanufacturing.

Although, the use of storage rings as sources for production scale EUVL steppersis not considered today, much development tools for EUVL depend and benefit fromsynchrotron radiation. Tools and applications at synchrotron facilities include theHiNA exposure tool discussed in Section 3.3 [51], at-wavelength EUV interferometry[101] and lithographic characterization of EUVL-stepper optics [102].

Page 40: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

28 CHAPTER 4. EUV SOURCES

Figure 4.4: Illustration of a possible arrangement of storage rings, steppers andshielding (from Ockwell et al. [99]).

4.5 Other sources

A conventional electron impact source, normally used to obtain hard x-rays, canalso generate EUV. However, the integrated CE, η, from electrons to continuumx-ray energy is given by [103],

η = 1.1 · 10−9 Z V, (4.1)

where Z is the atomic number of the target material and V is the acceleratingvoltage. With a voltage, V ∼ 100 V, suitable for EUV generation, it is clear thatthe CE is very low.

Other means to generate EUV radiation, that will not be discussed further here,include high harmonic generation [104, 105] and table-top soft x-ray lasers [106].

4.6 Source-generated contamination

As discussed by Banine et al., [107] a major factor which determines whether asource is suitable for an exposure tool is its cleanliness. Especially if the collector hasto be replaced frequently due to contamination from the source, this will increasethe cost of ownership (CoO) of the source significantly [86].

The source-generated contaminants (considering plasma sources) can roughly bedivided in two groups: (I) atoms, ions or particles from the source that are harmfulonly when energetic or highly charged, and (II) atoms, ions or particles that areharmful also when thermal and neutral. The second group can, furthermore, bedivided in two subgroups, (IIa) material from the plasma itself, and (IIb) material

Page 41: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

4.7. DEBRIS MITIGATION 29

that is emitted from the source hardware due to some ablation process. As anexample, the sources mainly under consideration for EUVL will emit contaminantsbelonging to the different groups as:

• A tin gas-discharge plasma emits type I, IIa and IIb contaminants.

• A xenon gas-discharge plasma emits type I and IIb contaminants.

• A tin liquid-jet/liquid-droplet laser plasma emits type I and IIa contaminantsgiven that the plasma can be operated far enough from the source hardwareto eliminate source-hardware sputtering that would result in type IIb con-taminants.

• A xenon gas/cluster/spray laser plasma emits type I and IIb contaminantssince the plasma has to be operated close to the nozzle, leading to nozzleerosion [108].

• A xenon liquid-jet laser plasma ideally only emits type I contaminants giventhat the plasma can be operated far enough from the source hardware toeliminate sputtering.

4.7 Debris mitigation

Depending on if the contaminants belong to group I or II, different approaches tothe contamination reduction can be applied.

A background buffer gas may be used as a mean for debris mitigation. InPaper 7 it is shown how a xenon-background pressure of >1 mbar will eliminatesputtering of silicon substrates facing a liquid-xenon-jet laser plasma. However,this xenon pressure effectively absorbs almost 100% of the in-band EUV, so in-termediate pressures and other background gases should be investigated. Otherexperimental results have been shown for, e.g., helium backgrounds [109–111] andkrypton backgrounds [112, 113] although the use of krypton as background wouldrequire the operating wavelength to be λ>14.2 nm in order to obtain high EUVtransmission through the krypton [113]. Furthermore, Ginter et al. [109] note thateffective mitigating effect of a <100 mTorr helium background may be due to cou-pling of the expanding debris with a stationary plasma of helium ions photoionizedby the radiation from the plasma. A gas background should mainly be effectiveagainst type I contaminants since repeated collisions between the contaminant andthe background gas mainly will thermalize the contaminant. The contaminant maystill reach the collector mirror making the static gas background less suitable forclass II contaminants. An exception is if a localized gas flow is applied since it cantransport the contaminants away from the collector optics [114, 115].

Another efficient addition to the background buffer gas is the foil trap techniqueintroduced by Shmaenok et al. [116]. It is based on an optically transparentassembly of foils in the buffer gas as illustrated in Fig. 4.5. Particles that collide

Page 42: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

30 CHAPTER 4. EUV SOURCES

and scatter with the buffer gas have a large probability of depositing on the foilsrather than being transmitted through the arrangement.

Figure 4.5: The foil-trap debris mitigation technique (from Shmaenok et al. [116]).

Further debris-mitigation methods proposed include fast shutters [117], secondary-plasma-based mitigation [118], electrostatic repeller field [119] and magnetic-fieldshield [120].

Page 43: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

Five

Laser plasma

5.1 Plasma physics

All matter at a temperature above absolute zero will spontaneously emit electro-magnetic radiation. Although the specific spectral features will differ from sub-stance to substance, the overall wavelength distribution will be given by its tem-perature through the theory of blackbody radiation. The relation between peak-emission wavelength, λmax, and temperature, T , for a blackbody is given by Wien’sdisplacement law,

λmax =2.898 · 10−3m ·K

T. (5.1)

By inserting the wavelength of interest for EUVL, λ ∼ 13.5 nm, one finds that thetemperature of the matter should be ∼200,000 K. At these temperatures, matter isin the plasma state, sometimes considered the fourth state of matter. In a plasma,atoms are free as in a gas and partly or completely ionized. The plasma definitioncover states ranging from the dilute plasmas of, e.g., earth’s ionosphere, to hot denseplasmas found, e.g., in the stars. A laser plasma is a hot dense plasma although ata small scale. This section gives a very brief introduction to plasma physics. Moredetailed descriptions of especially the hot dense laser plasmas are given by Turcoet al. [121] and Attwood [1].

On the particle level, several processes take place, transferring energy withinthe plasma, and generating radiation eventually escaping the plasma. When a freeelectron collides with an atom/ion, it can transfer a part of its kinetic energy toa bound electron in the atom/ion. This will lead either to collisional excitationwhere the bound electron is excited to a higher state or, if the energy of the freeelectron is higher than the binding energy of the bound electron, to collisionalionization. The reverse processes can also take place. In collisional de-excitation,the colliding electron will gain energy from the de-exitation of a bound electron orcollisional recombination (also called three-body recombination) where one electronrecombines while another collides and gain energy from the recombining electron.

31

Page 44: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

32 CHAPTER 5. LASER PLASMA

In analogy with the electron case, an incoming photon can be absorbed by theatom/ion leading to photo-excitation or photo-ionization. The reverse processesare photo-de-excitation and photo-recombination where a photon is emitted. A freeelectron can also be de-accelerated through the interaction with an ion, emittinga photon with an energy corresponding to the lost kinetic energy. This is calledbremsstrahlung. In the reverse process, inverse bremsstrahlung, an electron in thevicinity of an ion gain energy from an incoming photon absorbed by the ion. Thisinverse bremsstrahlung is the main process involved in heating the plasma in laser-plasma generation as discussed below.

The characteristics of the radiation emitted from the plasma depends on theatomic processes involved. Photo-recombination is bound-bound transitions be-tween discrete energy levels and thus yield discrete spectral lines. Photo recombi-nation is free-bound transitions and bremsstrahlung is free-free transitions. Bothwill therefore generate spectrally continuous emission.

Apart from the individual atomic events described above, collective processesare very important in a plasma. Especially the highly mobile, low-mass electronswill behave in a collective fashion against the background of less mobile heavy ions.The plasma will have an electron density, ne, of free electrons given by the generaldensity of the plasma and the average state of ionization. This electron cloud canoscillate collectively and has a resonant frequency, the electron plasma frequency,

ωp = (e2ne

ε0m), (5.2)

where e is the electron charge, m is the electron mass and ε0 is the permittivity invacuum. An important fact in laser-plasma operation is that an electro-magneticwave can only propagate in the plasma if its frequency is higher than the electronplasma frequency, otherwise it is reflected. The electro-magnetic wave that canpropagate in the plasma will in its turn be attenuated as it penetrates the plasma. Itwill cause the free electrons to oscillate, and some of them will loose energy throughelectron-ion collisions transferring their oscillation energy to random energy, heat.This process is the inverse bremsstrahlung discussed above and is the main heatingprocess in laser-produced plasmas.

5.2 Choice of target material

The choice of proper target material for laser-plasma EUV generation is based onmany different factors. The most obvious is the spectral output of the material,but of equal importance is the contamination characteristics of the substance.

Figure 5.1 illustrates EUV spectra from three materials basically neighbors inthe periodic system, 50Sn from a liquid-tin-jet target (cf. Paper 6), 53I from aliquid-jet target of sodium iodine solved in water (cf. Paper 6) and 54Xe from aliquid-xenon-jet target (cf. Paper 3). The spectra show similar narrow emissionpeaks for all materials although the peaks are at shorter wavelengths for the heavier

Page 45: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

5.3. TARGET GEOMETRY 33

10 11 12 13 14 15 16 17 180

0.5

1

0

0.5

1

0

0.5

1

λ [nm]

Pho

ton

flux

[a.u

.]

Xe

NaI+H2O

Sn

Figure 5.1: EUV emission spectra from xenon, iodine and tin. Shaded area depictsthe 2% bandwidth region around λ=13.5 nm with high reflectivity of a Mo/Simultilayer system (data from Papers 3 & 6)

substances. Such peaks have been observed and explained in laser-plasmas fromelements ranging from 50Sn to 82Pb [122–125]. The figure also illustrates the 2%bandwidth region around λ=13.5 nm interesting for EUV lithography. From onlythe spectral-emission point, tin is the optimal substance. And indeed, a CE of2.5 %/(2%BW 2πsr) at λ=13.45 nm has been obtained for a liquid-tin-jet laserplasma (Paper 6), compared to 0.95 % for a liquid-xenon-jet laser plasma (Paper 7).

However, as was discussed in Section 4.6, the demands on cleanliness on a sourcefor EUVL makes the operation of any target that might condense on or react withespecially the collector mirror difficult. Therefore, it is preferable to use a noblegas as target material [126]. So, although xenon does not have optimal spectralemission, it may still be the best target from a total system’s perspective.

5.3 Target geometry

For each new laser shot, fresh target material has to be available at the laser-focus position. Since a source for an EUVL stepper is anticipated to operate at arepetition rate >7 kHz with 25% duty cycle basically 24 hours a day, this puts high

Page 46: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

34 CHAPTER 5. LASER PLASMA

demands on the target delivery system.Traditionally solid bulk targets have been employed for laser plasma generation.

They are normally translated between each shot to provide a fresh target surfaceand can therefore only operate for a very limited time until the whole surface isfilled with craters. In addition, large fractions of molten material will be ejecteddue to the shock wave reflected in the bulk material [121]. An alternative is to usea thin tape as a target as illustrated in Fig. 5.2a [127]. The tape target limits

Figure 5.2: Different target geometries for laser-plasma generation: (a) tape target,(b) gas/cluster target, (c) liquid-spray target, (d) liquid-jet target and (e) liquid-droplet target.

the debris by allowing the shock wave to escape through the tape rather than bereflected back to the surface [121]. However, the debris emission is still substantialfrom a tape target [128]. In addition, tape targets are limited to materials that canbe processed into a tape, and the tape will eventually run out during prolongedhigh-repetition rate operation.

For gaseous target materials, the gas-puff target is an alternative as illustratedin Fig. 5.2b [129]. The clear advantage is that no solid debris is created directly fromthe plasma target. However, the laser has to be focused close to the nozzle in orderfor the target gas to have sufficient density for effective laser-plasma generation.This may lead to sputtering of nozzle material that in its turn may coat sensitive

Page 47: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

5.3. TARGET GEOMETRY 35

components [108]. Under the right operating conditions, the gas will form clustersenhancing the EUV production from the gas target [130–132].

A similar target is the liquid-spray target (cf. Fig. 5.2c) , that can be appliedto liquids or condensed gases, where larger droplets are formed. Compared to thegas/cluster target, this target has the advantage of higher target density, leadingto improved EUV generation, and better collimation of the target flow allowingfor slightly longer working distances, although still in the few millimeter range[133, 134].

The preferred target type of this thesis is, however, the liquid-jet [135, 136](cf. Fig. 5.2d) or liquid-droplet target [137] (cf. Fig. 5.2e). It is formed by urginga liquid through a small nozzle orifice, thereby creating a collimated jet. The jetwill naturally break up into droplets after travelling some distance. If a periodicperturbation is added, this droplet formation can be stimulated to a certain fre-quency. The laser pulse can be focused to either the jet portion closer to the nozzleor the droplet portion further away. The jet has an advantage of not requiringtemporal synchronization of the laser pulses to the droplets. However, such syn-chronization is achievable as described in Paper 2. The drawback with the liquidjet concept is that the plasma normally have to be operated closer to the nozzlethan a droplet plasma. This could lead to unwanted heating and sputtering of thenozzle. An important exception is, however, jets of liquefied gases that may freezebefore forming droplets, thereby staying in the jet shape. This is described for es-pecially liquid-xenon-jets in Paper 4 and will be further discussed in next chapter.The liquid-droplet target was shown to limit the debris deposition compared to atape target by a factor of 200–300 [137], and the liquid-jet target has equally lowdeposition as the droplet target [135]. A disadvantage with these target types isthat not all substances can be used, but many are available apart from naturalliquids through different techniques, e.g., liquefied gases as in Berglund et al. [138],Wieland et al. [139] and Papers 1, 3–5 & 7, molten metals as in Korn et al. [140]and Paper 6 and solutions as in Rymell et al. [141], Tompkins et al. [142] andPaper 6.

Page 48: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze
Page 49: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

Six

Liquid-xenon-jet laser plasma

6.1 Introduction

The source primarily considered in this thesis is the liquid-xenon-jet laser plasma.The motivation for mainly working with this source is that it appears to be oneof the few source concepts that theoretically could meet the tough requirementsfor operation in an EUVL stepper. From the source overview of Chapters 4 & 5it seems clear that only a plasma-based source can meet the power-requirementsof a production-scale EUV stepper. Furthermore, assuming that no debris mitiga-tion technique can mitigate the type II contaminants discussed in Section 4.6 withsufficient efficiency, the plasma material has to be a non-reactive, non-condensingmaterial, i.e., a noble gas. In addition, this plasma should be operated far enoughfrom any source hardware to eliminate the generation of type-IIb contaminantsand to handle the thermal load from tens of kilowatt of drive power. In short, thepreferred source type is a noble-gas plasma located several centimeters from anysource hardware.

A laser plasma is suitable since lasers can deliver the energy needed to drivethe plasma without requiring physical proximity to the plasma. Furthermore, thetarget material has to be transported to the point of laser interaction. As describedin Section 5.3, liquid-droplet or liquid-jet target types appear to be the most suit-able target technologies for transportation of target material in a collimated fashionto a point in space several centimeters from any source hardware. However, as isdemonstrated in Paper 4 (cf. Fig. 6.1), liquid-droplet operation using xenon is diffi-cult since the xenon jet will freeze before the theoretical minimum drop-formationdistance due to the evaporation-induced cooling of the jet. In order to obtaindroplets, the jet would have to be injected into an environment where the pressureis closer to the xenon vapor pressure at the temperature of the jet. However, therequired xenon pressure is much too high for laser-plasma EUV generation purposessince the emitted radiation would rapidly be absorbed in the surrounding xenongas. The droplets could be injected into high vacuum through a pinhole, but it

37

Page 50: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

38 CHAPTER 6. LIQUID-XENON-JET LASER PLASMA

0 0.5 1 1.5 2 2.5110

120

130

140

150

160

170

x [mm]

T

[K] Freezing

Theoretical minimum

drop-formation

distance

1 mm

(a)

(b)

Figure 6.1: (a) The calculated cooling process of a 10 µm xenon jet injected intovacuum at 30 bar corresponding to ∼40 m/s. The theoretical model indicatesthat the jet freezes well before the droplet formation point, thereby inhibiting theformation of droplets. (b) A ten-nanosecond-flash photograph of a xenon jet severalcentimeters from the nozzle orifice. The jet is broken at several locations but nosigns of general droplet formation are visible (from Paper 4).

has been shown that the gas drag through the pinhole would deflect the dropletsmaking stable operation at large distances difficult [143]. Therefore, the liquid-jettarget geometry is the preferred, although if a stable xenon-droplet source could bedeveloped, it should be equally suitable as the liquid-xenon-jet source.

The argument above only gives an indication that a liquid-xenon-jet laser-plasma source could be applicable for production scale EUVL. Detailed studieshave to be performed regarding all aspects of the relation between source and step-per to conclude if the requirements can finally be met. The work described in thisthesis has attempted to study some of those aspects through the development andevaluation of a liquid-xenon-jet laser-plasma source.

Page 51: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

6.2. LIQUID-XENON-JET OPERATION 39

6.2 Liquid-xenon-jet operation

The most recent arrangement for operation of a liquid-xenon-jet laser-plasma targetis illustrated in Fig. 6.2. The liquid-jet is formed by forcing xenon gas under high

Turbo-m

olecular

pumpTu

rbo-

molec

ular

pum

p

Xe recycling,

purification and

pressurization

system

Cold

reservoirZr filters

Quartz filter

High-pressure

xenon

Lo

w-p

ressu

re x

eno

n

Figure 6.2: The general experimental arrangement for liquid-xenon-jet laser-plasmageneration and EUV-in-band-emission monitoring.

pressure into a reservoir cooled to liquefy the xenon. A tapered glass capillary nozzlewith an orifice diameter of typically 10–30 µm is attached to the reservoir, producinga microscopic liquid jet into an ultra-high-vacuum compatible chamber. Vacuum ismaintained by two ∼2000 l/s turbomolecular pumps resulting in pressures of 10−4–10−3 mbar during operation. The base pressure of the system before operation istypically in the 10−8–10−7 mbar range but could be further reduced through, e.g.,baking of the system. To reduce the load on the turbomolecular pumps duringoperation, the non-evaporated part of the xenon jet is extracted from the chamberthrough a differential-pumping scheme. The xenon evacuated through both theturbomolecular pumps and the jet-extraction system is further collected by an in-house-built recycling, purification and pressurization system allowing for closed-loop usage of xenon. Before the construction of this recycling system, the high costof xenon was strongly limiting the experimental time.

As is reported in Paper 1, during the initial experiments with a liquid-xenon-jetlaser-plasma, a directional instability of the liquid-xenon jet made stable laser-

Page 52: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

40 CHAPTER 6. LIQUID-XENON-JET LASER PLASMA

plasma operation difficult. Paper 4 contain a detailed discussion regarding thestability of of liquefied-inert-gas jets and also presents a method to stabilize thejets [144]. It is shown how heating of the nozzle tip can increase the directionalstability of the jet significantly. Most likely, this heating is effective since the heavyevaporation of the liquefied-inert-gas jets in vacuum otherwise will cool the nozzletip so that some substance, most probably the liquefied gas itself, freezes in the tip,thereby changing the flow characteristics of the jet.

6.3 Laser-plasma operation

The plasma is typically generated by focusing Nd:YAG-laser pulses of λ = 1064 nm,∼5 ns pulse length and up to 350 mJ pulse energy onto the jet through a focussingsystem theoretically capable of obtaining a FWHM ∼10 µm spot. Figure 6.3 illus-trates a plasma operated 50 mm from the nozzle.

Figure 6.3: Picture of the liquid-xenon-jet laser-plasma source during operationwith a nozzle-to-plasma distance of 50 mm (from Hansson et al. [145]).

The EUV emission is normally monitored by a flying-circus tool [146] (FCII)as illustrated in Fig. 6.2. This tool, allowing for absolute-calibrated pulse-to-pulsemeasurements, is further described in Paper 3. During the work described in thisthesis, the highest measured CE from laser to in-band-EUV (at λ∼13.5 nm) hasincreased from the first reported preliminary measurement of 0.1 %/(2%BW 2πsr)[147] using a spectrograph, through the first FCII measurements of 0.55 %/(2%BW2πsr) reported in Paper 3, to 0.95 %/(2%BW 2πsr) reported in Paper 7. The pulse-to-pulse stability at 50 mm from the nozzle has been measured to ±8.4% (3σ) [145].

Page 53: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

6.4. A SUITABLE SOURCE FOR EUV LITHOGRAPHY? 41

6.4 A suitable source for EUV lithography?

This final section will try to answer the question if the liquid-xenon-jet laser-plasmasource may be applicable as a source in a production-scale EUVL stepper. Thiswill be done by looking back at the source requirements discussed in Section 4.1.

A main question is if 115 W into the IF can be obtained. The following is oneexample of how this could be achieved.

CE 1.2%/(2%BW 2πsr)Laser pulse energy 1 JRepetition rate 20 kHzLaser power 20 kWPower into 2πsr 240 WCollector transmission 45 %No spectral purity filter –Power into IF 108 W

Is this realistic for a liquid-xenon-jet laser-plasma source? The CE of 1.2% is higherthan the 0.95% (cf. Paper 7) that has been shown with this source. However, 1.2%has been demonstrated for a solid xenon target [148] and could probably be reachedwith further optimization of the liquid-xenon-jet laser plasma as well. A pulseenergy of 1 J has not been investigated in this work since higher pulse energiesthan 350 mJ has not been available, but so far highest CE was observed for thehighest pulse energy indicating that CE at least should not scale inversely with thelaser-pulse energy. Another study actually indicates increasing CE with increasingpulse energy [149]. The ability to operate at 17 kHz was simulated successfully inPaper 7 and an increase to 20 kHz should be feasible with slightly higher jet speed.Furthermore, a preliminary theoretical and experimental study [150] have shownthat the thermal load from >10 kW laser power is manageable at 50 mm workingdistance from the nozzle. At somewhat longer distance, 20 kW should therefore bemanageable as well. The collector transmission of 45 % is for 5 steradian collection[149]. Even at such high collection angles, the étendue of the source is lower thanthe maximum allowed as discussed in Paper 7, and the plasma is geometricallyaccessible over that solid angle as discussed in Paper 3. Finally, Paper 7 reportsthat the spectral purity specification may be reachable, and this is further supportedby another study [151].

According to above, the repetition rate specification of >10 kHz can be met.Furthermore, the specification for integrated dose stability is defined for 50 pulses,but if the source is operated at 20 kHz, double the specified minimum repetitionrate, the dose stability should instead be defined for N=100 pulses. The reportedpulse-to-pulse (p2p) stability at 50 mm, sp2p = ±8.4% (3σ) [145], would correspondto sN = sp2p/

√N = ±0.84% (3σ) which is within a factor of three from the

specification. It should therefore not be impossible to reach the final specificationof sN = ±0.3% (3σ).

Page 54: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

42 CHAPTER 6. LIQUID-XENON-JET LASER PLASMA

The source cleanliness is specified as >30,000 hours for components after thesecondary focus. As discussed in Paper 7, the xenon plasma emits multi-keV ions.If the energy of these ions can be reduced to under the sputtering threshold ofany material in the vicinity of the plasma, then the liquid-xenon-jet laser-plasmahas the potential of being a ∼100% clean source. If no sputtering takes place,then no contaminating material should be created and no contamination shouldoccur that is source induced. However, it should be emphasized that it is a majortask to show that ions from the plasma can be stopped. A first positive gas-background experiment was reported in Paper 7, but further experiments at realisticgas pressures have to be performed.

The conclusion of the arguments above is that it may be physically possible forthe liquid-xenon-jet laser-plasma source to meet the specifications of a productionscale EUVL stepper. However, many key experiments still have to be performedto verify this. Furthermore it must be mentioned that the anticipated high cost ofsuitable high-power lasers is a major disadvantage for the liquid-xenon-jet concept.However, this may be the only way to meet the tough requirements on an EUVLsource. Finally it is noted that the liquid-xenon-jet laser plasma concept today isdeveloped by several commercial source suppliers [148, 152, 153] indicating a strongbelief in the concept.

Page 55: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

Seven

Summary of the papers

This thesis is based on the following seven papers which are all directed towardsthe development of sources for EUV lithography.

Paper 1 presents the first quantitative photon-flux measurement from a liquid-xenon-jet laser plasma in the λ = 1− 2 nm wavelength range and the first spectralmeasurement in the λ = 9− 15 nm range interesting for EUV lithography.

Paper 2 describes an investigation on longitudinal droplet stability for liquid-droplet laser-plasma sources. A long-term drift in longitudinal drop position wasexplained by an evaporation-induced thermal effect. This long-term drift was suc-cessfully compensated for by an automatic control system, allowing for long-termunattended stable source operation.

Paper 3 presents the first quantitative in-band EUV flux measurement from aliquid-xenon-jet laser-plasma source together with a detailed description of the mea-surement technique. The paper further contains the first discussion on the liquid-xenon-jet source characteristics in relation to the requirements for EUV lithography.

Paper 4 introduces a method to spatially stabilize a liquid-xenon-jet in vacuumby heating the tip of the nozzle. This method significantly increases pulse-to-pulselaser-plasma emission stability, which is of significant importance for lithography.

Paper 5 introduces a method to scan a small laser-plasma to obtain increasedillumination uniformity while minimizing photon losses. The method is especiallyapplicable for small-field EUV tools.

Paper 6 demonstrates the first liquid-tin-jet laser plasma EUV source. Quanti-tative photon-flux and debris measurements are presented.

43

Page 56: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

44 CHAPTER 7. SUMMARY OF THE PAPERS

Paper 7 describes in-depth quantitative characterization of a liquid-xenon-jetlaser-plasma source based on the requirements for an EUV lithography source.The paper reports on non-EUV emission from the plasma, size and shape of thein-band EUV-emitting plasma including size scalability, maximum-repetition-rateestimation and quantitative ion-emission and sputtering measurements. The paperalso report on improved in-band EUV performance.

The work in this thesis is fully directed towards the development of laser-plasmasources for EUV lithography although many results also are applicable to laser-plasma generation for other wavelengths. The author has been the main respon-sible for papers 1, 3–5 and 7. However, in paper 7, the author only performedthe maximum-repetition-rate experiment personally, but was actively involved inthe planning and performance of all other experiments. In paper 2, the authorcontributed to the experimental part concerning the laser-plasma source and inthe preparation of the manuscript. The author was mainly responsible for thequantitative measurements of the EUV flux in paper 6, and also contributed tothe general setup and performance of the experiment as well as the preparation ofthe manuscript. The author was, however, not involved in the development of theliquid-tin-jet system.

Page 57: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

Acknowledgments

The last five years of my life have been a very rich and enjoyable time. A lot hascertainly been due to the interesting and unique technology I have gotten the chanceto work with, but even more is due to the people that have been there during thistime.

A big thanks goes to my Professor, Hans Hertz, for sharing his straightforward anduncomplicated approach to physics and to the fact that one can count on him. Hisdoor IS always open and so is his mind. I have never regretted my decision topursue my PhD under his wings.

Many thanks to my ex boss, Hee-June Choi for sharing his experience and for beinga true mentor. Working for him was demanding but rewarding and a great time.

For his hard work, amazing enthusiasm, and for all the fun it has been, I wantto thank Jalmar Thoresen. Since he first stepped into the lab he has always beenthere.

A big thanks goes to Oscar Hemberg for all the times we have shared one mind andfor the inspiration he is. I would do any project with him any time, for the fun andthe good chance of success.

I would also like to thank:

Lars Rymell and Magnus Berglund for sharing their wisdom, introducing me to awonderful technology and for the long journey we did together.

Emmanuelle Janin, Sofia Mosesson, Kira Mannerblom, Björn Jacobsson and MartinWilner for their hard work at Innolite and the best christmas party ever.

Göran Johansson for sharing the fascination for soft x-rays and EUV and for makingthe normal working day such a pleasant experience.

Martin Wiklund for not sharing the fascination for soft x-rays and EUV and formaking the normal working day such a pleasant experience (and for finding Jalmar).

The rest of my colleagues at the department: Anders Holmberg, Anders Lilje-borg, Göran Manneberg, Heide Stollberg, Jaco de Groot, Jessica Hultström, KjellCarlsson, Klaus Biedermann, Linda Lundström, Mats Gustafsson, Mikael Otendal,

45

Page 58: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

46 CHAPTER 7. SUMMARY OF THE PAPERS

Milan Pokorny, Nils Åslund, Per Jansson, Peter Unsbo, Stefan Rehbein, ThomasKoch and Tomi Tuohimaa for joint work, interesting discussions and making thedepartment a great workplace.

Kjell Hammarström and Rolf Helg at the KTH mechanical workshop for makingmany of the experiments possible.

ITACT, Martin Gren, Magnus Ryde and Ed Schneider for their support of Innolite.

Sven-Ingmar Ragnarsson, Vinnova, for his continuous support of the technology.

Tor Björn Sandström, Micronic, and Tommy Skoog, ACREO (formerly with Scan-ditronix), for their initial involvement in the project.

Caspar Bruineman of Scientec Engineering for good collaboration in developingcharacterization equipment.

René de Bruijn for a fun week in the lab.

All the people, too many to mention anybody in particular, in the EUV lithographycommunity. I have really enjoyed the interaction with all of you.

And finally, but of course most important, friends and family!

Page 59: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

Bibliography

[1] D. Attwood, Soft X-Rays and Extreme Ultraviolet Radiation (Cambridge Uni-versity Press, New York, 1999).

[2] G. E. Moore, Electronics 38(8) (1965).

[3] International Technology Roadmap for Semiconductors (ITRS), available athttp://public.itrs.net/.

[4] S. M. Sze, Semiconductor devices, physics and technology (John Wiley &Sons, New York, 1985).

[5] H. J. Levinson, Principles of Lithography (SPIE PRESS, Bellingham, Wash-ington, USA, 2001).

[6] P. J. Silverman, Intel Technology Journal 06(02), 55 (2002),http://developer.intel.com/technology/itj/index.htm.

[7] P. Rai-Choudhury, ed., Handbook of microlithography, micromachining & mi-crofabrication, vol. 1 (SPIE – The International Society for Optical Engineer-ing, Bellingham, Washington, USA, 1997).

[8] S. M. Sze, ed., VLSI technology (McGraw-Hill, New York, 1983).

[9] B. Fay, Microelectron. Eng. 61–62, 11 (2002).

[10] See, e.g., ASML’s latest 193 nm stepper TWINSCAN XT:1250 atwww.asml.com.

[11] T. M. Bloomstein, M. W. Horn, M. Rothschild, R. R. Kunz, S. Palmacci, andR. Goodman, J. Vac. Sci. Technol. B 15(6), 2112 (1997).

[12] J. Mulkens, T. J. Fahey, J. A. McClay, J. M. Stoeldraijer, P. Wong,M. Brunotte, and B. Mecking, in Optical Microlithography XV, edited byA. Yen (2002), vol. 4691 of Proceedings of SPIE, pp. 613–625.

47

Page 60: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

48 BIBLIOGRAPHY

[13] A. Takanashi, T. Harada, M. Akeyama, Y. Kondo, T. Kurosaki, S. Kuniyoshi,S. Hosaka, and Y. Kawamura, Pattern forming apparatus (1984), US PatentNo. 4,480,910.

[14] B. J. Lin, Microelectron. Eng. 6(1–4), 31 (1987).

[15] M. Switkes, M. Rothschild, R. R. Kunz, S.-Y. Baek, D. Cole, and M. Yeung,Microlithography World 12(2), 4 (2003).

[16] D. L. Spears and H. I. Smith, Electron. Lett. 8(4), 102 (1972).

[17] H. I. Smith and M. L. Schattenburg, IBM J. Res. Develop. 37(3), 319 (1993).

[18] M. Khan, L. Mohammad, J. Xiao, L. Ocola, and F. Cerrina, J. Vac. Sci.Technol. B 12(6), 3930 (1994).

[19] L. G. Lesoine and J. A. Leavey, Solid State Technol. 41(7), 101 (1988).

[20] D. J. Nagel, R. R. Whitlock, J. R. Grieg, R. E. Pechacek, and P. M. C., inDevelopments in Semiconductor Microlithography III, edited by D. R. Ciarlo,J. W. Dey, and K. Hoeppner (1978), vol. 135 of Proceedings of SPIE, pp.46–53.

[21] L. Malmqvist, A. L. Bogdanov, L. Montelius, and H. Hertz, J. Vac. Sci.Technol. B 15(4), 814 (1997).

[22] C. J. Gaeta, H. Rieger, I. C. E. Turcu, R. A. Forber, K. L. Cassidy, S. M.Campeau, M. F. Powers, J. R. Maldonado, J. H. Morris, R. M. Foster, H. I.Smith, and M. H. Lim, in Emerging Lithographic Technologies VI, edited byR. L. Engelstad (2002), vol. 4688 of Proceedings of SPIE, pp. 818–833.

[23] S. D. Berger and J. M. Gibson, Appl. Phys. Lett. 57(2), 153 (1990).

[24] T. Yamaguchi, Nikon Electron Beam Projection Lithography Program (2001),Next Generation Lithography (NGL) Workshop, Pasadena, California, avail-able at http://www.sematech.org.

[25] N. Hirayanagi, T. Fujiwara, K. Hada, T. Shimoda, and K. Suzuki, in EmergingLithographic Technologies VII, edited by R. L. Engelstad (2003), vol. 5037 ofProceedings of SPIE, pp. 504–511.

[26] Maskless Lithography Workshop, Pasadena, California, available atwww.sematech.org (2001).

[27] S. Hirscher, M. Kümmel, O. Kirch, W.-D. Domke, A. Wolter, R. Käsmaier,H. Buschbeck, E. Cekan, A. Chalupka, A. Chylik, S. Eder, C. Horner, et al.,Microelectron. Eng. 61–62, 301 (2002).

Page 61: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

49

[28] S. Y. Chou, P. R. Krauss, and P. J. Renstrom, Appl. Phys. Lett. 67(21), 3114(1995).

[29] D. J. Resnick, W. J. Dauksher, D. P. Mancini, K. J. Nordquist, T. C. Bailey,S. C. Johnson, N. A. Stacey, J. G. Ekerdt, C. G. Willson, S. V. Sreenivasan,and N. E. Schumaker, in Emerging Lithographic Technologies VII, edited byR. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp. 12–23.

[30] Reports from the 4:th and the 5:th Next Generation Lithography (NGL)Workshops are available at http://www.sematech.org.

[31] Next Generation Lithography (NGL) Workshop, Pasadena, California, avail-able at http://www.sematech.org (2001).

[32] N. Harned, ASML’s EUVL Program Progress Update (2003), presentedat EUVL Source Workshop in Antwerpen, Belgium. To be published athttp://www.sematech.org.

[33] D. Attwood, Soft X-Rays and Extreme Ultraviolet Radiation, chap. 3, in [1](1999).

[34] D. Attwood, Soft X-Rays and Extreme Ultraviolet Radiation, chap. 4, in [1](1999).

[35] T. W. Barbee Jr., S. Mrowka, and M. C. Hettrick, Appl. Opt. 24(6), 883(1985).

[36] Center for X-Ray Optics (CXRO) - X-Ray Multilayer Results. http://www-cxro.lbl.gov/multilayer/survey.html.

[37] H. Franken, Y. Watanabe, and K. Ota, Joint spec ASML, Canon, Nikon(2003), presented at EUVL Source Workshop in Antwerpen, Belgium. To bepublished at http://www.sematech.org.

[38] S. Bajt, J. Alameda, T. Barbee, W. Clift, J. Folta, B. Kaufmann, andE. Spiller, Opt. Eng. 41(8), 1797 (2002).

[39] J. Underwood, T. Barbee, and D. Shealy, in High Resolution Soft X-RayOptics, edited by E. Spiller (1981), vol. 316 of Proceedings of SPIE, pp. 79–89.

[40] I. Lovas, W. Santy, E. Spiller, R. Tibbetts, and J. Wilczynski, in High Reso-lution Soft X-Ray Optics, edited by E. Spiller (1981), vol. 316 of Proceedingsof SPIE, pp. 90–97.

[41] B. Lai, F. Cerrina, and J. H. Underwood, in Applications of Thin-Film Multi-layered Structures to Figured X-Ray Optics, edited by G. F. Marshall (1985),vol. 563 of Proceedings of SPIE, pp. 174–179.

Page 62: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

50 BIBLIOGRAPHY

[42] K. Hoh and H. Tanino, Bull. Electrotech. Lab. 49(12), 47 (1985).

[43] A. V. Vinogradov and N. N. Zorev, Sov. Phys.–Doklady 33(9), 682 (1988).

[44] A. M. Hawryluk and L. G. Seppala, J. Vac. Sci. Technol. B 6(6), 2162 (1988).

[45] H. Kinoshita, K. Kurihara, Y. Ishii, and Y. Torii, J. Vac. Sci. Technol. B 7(6),1648 (1989).

[46] J. E. Bjorkholm, J. Bokor, L. Eichner, R. R. Freeman, J. Gregus, T. E. Jewell,W. M. Mansfield, A. A. M. Dowell, E. L. Raab, W. T. Silfvast, L. H. Szeto,D. M. Tennant, et al., J. Vac. Sci. Technol. B 8(6), 1509 (1990).

[47] D. A. Tichenor, G. D. Kubiak, M. E. Malinowski, R. H. Stulen, S. J. Haney,K. W. Berger, L. A. Brown, R. R. Freeman, W. M. Mansfield, O. R. Wood II,D. M. Tennant, J. E. Bjorkholm, et al., Opt. Lett. 16(20), 1557 (1991).

[48] C. W. Gwyn, R. Stulen, D. Sweeney, and D. Attwood, J. Vac. Sci. Technol.B 16(6), 3142 (1998).

[49] D. J. O’Connell, S. H. Lee, W. P. Ballard, D. A. Tichenor, L. J. Bernardez II,S. J. Haney, T. A. Johnson, P. K. Barr, A. H. Leung, K. L. Jefferson, W. C.Replogle, J. E. Goldsmith, et al., in Emerging Lithographic Technologies VII,edited by R. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp. 83–94.

[50] D. Stark, K. Dean, P. Gabella, J. Meute, J. Cashmore, M. Whitfield, A. Brun-ton, P. Gruenewald, and M. Gower, in 1st International EUV LithographySymposium, Dallas (2002), available at http://www.sematech.org.

[51] T. Oshino, M. Shiraishi, N. Kandaka, K. Sugisaki, H. Kondo, K. Ota,K. Mashima, K. Murakami, H. Oizumi, I. Nishiyama, and S. Okazaki, inEmerging Lithographic Technologies VII, edited by R. L. Engelstad (2003),vol. 5037 of Proceedings of SPIE, pp. 75–82.

[52] H. Meiling, J. P. Benschop, U. Dinger, and P. Kuerz, in Emerging LithographicTechnologies V, edited by E. A. Dobisz (2001), vol. 4343 of Proceedings ofSPIE, pp. 38–50.

[53] H. Meiling, V. Banine, P. Kuerz, B. D. Blum, G. J. Heerens, and N. Harned,in Emerging Lithographic Technologies VII, edited by R. L. Engelstad (2003),vol. 5037 of Proceedings of SPIE, pp. 24–35.

[54] Y. Horiike, Present Status and Issues of Japan EUVL Development, availableat www.euva.or.jp.

[55] K. Kemp, in 1st International EUV Lithography Symposium, Dallas (2002),available at http://www.sematech.org.

Page 63: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

51

[56] H. Cao, W. Yueh, M. Chandhok, S. Lee, G. Cardinale, D. O’Connell,M. Shumway, and J. Bokor, Patterning Capabilities of EUV Resists (2003),presented at EUVL Source Workshop in Antwerpen, Belgium. To be pub-lished at http://www.sematech.org.

[57] H. B. Cao, J. M. Roberts, J. Dalin, M. Chandhok, R. P. Meagley, E. M.Panning, M. K. Shell, and B. J. Rice, in Advances in Resist Technology andProcessing XX, edited by T. H. Fedynyshyn (2003), vol. 5039 of Proceedingsof SPIE, pp. 484–491.

[58] J. Benschop, R. Gontin, V. Banine, and N. Harned, in EUV lithography sourceworkshop, Matsue, Japan (2001), available at http://www.sematech.org.

[59] H. B. Cao, personal communication (many EUV resists are based on 248 nmresists and therefore sensitive to that wavelength).

[60] K.-H. Schuster and H. Beierl, Microlithographic reduction objective, projectionexposure equipment and process (2002), US Patent No. 6,349,005 B1.

[61] R. Hudyma, High Numerical Aperture Ring Field Projection System for Ex-treme Ultraviolet Lithography (2000), US Patent No. 6,033,079.

[62] T. E. Jewell, J. M. Rodgers, and K. P. Thompson, J. Vac. Sci. Technol. B8(6), 1519 (1990).

[63] Y. Watanabe (2001), presented at 3rd InternationalWorkshop on EUVLithography, Matsue, Japan.

[64] D. W. Sweeney, R. M. Hudyma, H. N. Chapman, and D. R. Shafer, in Emerg-ing Lithographic Technologies II, edited by Y. Vladimirsky (1998), vol. 3331of Proceedings of SPIE, pp. 2–10.

[65] S. D. Hector, in Emerging Lithographic Technologies VI, edited by R. L.Engelstad (2002), vol. 4688 of Proceedings of SPIE, pp. 134–149.

[66] J. A. Folta, J. C. Davidson, C. C. Larson, C. C. Walton, and P. A. Kearney,in Emerging Lithographic Technologies VI, edited by R. L. Engelstad (2002),vol. 4688 of Proceedings of SPIE, pp. 173–181.

[67] J. B. Murphy, D. L. White, A. A. MacDowell, and O. R. Wood II, Appl. Opt.32(34), 6920 (1993).

[68] I. Fomenkov, R. Oliver, S. Melnychuk, N. Böwering, R. Ness, O. Khodykin,C. Rettig, and J. Hoffman, Performance and Scaling of a Dense Plasma Fo-cus Light Source for EUV Lithography, EUV Source Workshop, Santa Clara,California (2003), available at http://www.sematech.org.

Page 64: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

52 BIBLIOGRAPHY

[69] M. Antoni, W. Singer, J. Schultz, J. Wangler, I. Escudero-Sanz, andB. Kruizinga, in Soft X-Ray and EUV Imaging Systems, edited by W. M.Kaiser and R. H. Stulen (2000), vol. 4146 of Proceedings of SPIE, pp. 25–34.

[70] G. H. Derra and W. Singer, in Emerging Lithographic Technologies VII, editedby R. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp. 728–741.

[71] H. N. Chapman and K. A. Nugent, in Soft X-Ray and EUV Imaging Systems,edited by C. A. MacDonald, K. A. Goldberg, J. R. Maldonado, H. H. Chen-Mayer, and S. P. Vernon (1999), vol. 3767 of Proceedings of SPIE, pp. 225–236.

[72] H. Komatsuda, in Emerging Lithographic Technologies IV, edited by E. A.Dobisz (2000), vol. 3997 of Proceedings of SPIE, pp. 765–776.

[73] G. Sommargren and L. Seppala, Appl. Opt. 32(34), 6938 (1993).

[74] G. D. Kubiak and W. C. Sweatt, Radiation Source with Shaped Emission(2003), US Patent No. 6563907, filed Dec. 7, 2001.

[75] B. A. M. Hansson, M. Berglund, O. Hemberg, and H. M. Hertz, in 2ndannual international workshop on EUV lithography (2000), available athttp://www.sematech.org.

[76] W. C. Sweatt (1993), vol. 18 of OSA proceedings on Soft X-Ray projectionLithography, pp. 70–72.

[77] P. P. Naulleau, W. C. Sweatt, and D. A. Tichenor, Opt. Commun. 214(1–6),31 (2002).

[78] F. R. Powell and T. A. Johnson, in Emerging Lithographic Technologies V,edited by E. A. Dobisz (2001), vol. 4343 of Proceedings of SPIE, pp. 585–589.

[79] N. Koster, B. Mertensa, R. Jansena, A. van de Runstraata, F. Stietzb, M. We-dowskib, H. Meilingc, R. Kleind, A. Gottwaldd, F. Scholzed, M. Vissere,R. Kurte, et al., Microelectron. Eng. 61–62, 65 (2002).

[80] K. Boller, R.-P. Haelbich, H. Hogrefe, W. Jark, and C. Kunz, Nucl. Inst. &Meth. in Phys. Res. 208, 273 (1983).

[81] M. Wedowski, S. Bajt, J. Folta, E. Gullikson, U. Kleineberg, L. Klebanoff,M. Malinowski, and W. Clift, in EUV, X-Ray, and Neutron Optics andSources, edited by C. A. MacDonald, K. A. Goldberg, J. R. Maldonado, H. H.Chen-Mayer, and S. P. Vernon (1999), vol. 3767 of Proceedings of SPIE, pp.217–224.

[82] B. Mertens, N. Koster, R. Jansen, A. van de Runstraat, H. Werij, F. Stietz,M. Wedowski, H. Meiling, R. Klein, R. Thornagel, F. Scholze, G. Ulm, et al.,Mitigation of molecular mitigation of molecular contamination of EUV con-tamination of EUV optics (2001), presented at 3rd InternationalWorkshopon EUV Lithography, Matsue, Japan.

Page 65: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

53

[83] L. E. Klebanoff, in 2nd annual international workshop on EUV lithography(2000), available at http://www.sematech.org.

[84] M. Malinowski, L. Klebanoff, P. Grunow, C. Steinhaus, and M. Clift, in2nd annual international workshop on EUV lithography (2000), available athttp://www.sematech.org.

[85] A. E. Yakshin, E. Louis, E. Maas, F. Bijkerk, R. Klein, F. Scholze, P. Zalm,F. Stietz, M. Wedowski, S. Müllender, B. Mertens, and H. Meiling (2001), pre-sented at 3rd InternationalWorkshop on EUV Lithography, Matsue, Japan.

[86] V. Banine, J. P. Benschop, M. Leenders, and R. Moors, in Emerging Litho-graphic Technologies IV, edited by E. A. Dobisz (2000), vol. 3997 of Proceed-ings of SPIE, pp. 126–135.

[87] U. Stamm, I. Ahmad, I. Balogh, H. Birner, D. Bolshukhin, J. Brudermann,S. Enke, F. Flohrer, K. Gäbel, S. Götze, G. Hergenhan, J. Kleinschmidt,et al., in Emerging Lithographic Technologies VII, edited by R. L. Engelstad(2003), vol. 5037 of Proceedings of SPIE, pp. 119–129.

[88] J. Pankert, K. Bergmann, J. Klein, W. Neff, O. Rosier, S. Seiwert, C. Smith,S. Probst, D. Vaudrevange, G. Siemons, R. Apetz, J. Jonkers, et al., in Emerg-ing Lithographic Technologies VII, edited by R. L. Engelstad (2003), vol. 5037of Proceedings of SPIE, pp. 112–118.

[89] I. V. Fomenkov, R. M. Ness, I. R. Oliver, S. T. Melnychuk, O. V. Khodykin,N. R. Bowering, C. L. Rettig, and J. R. Hoffman, in Emerging LithographicTechnologies VII, edited by R. L. Engelstad (2003), vol. 5037 of Proceedingsof SPIE, pp. 807–821.

[90] K. Ota, in EUVL Source Workshop Feb. 23, Santa Clara, USA (2003), avail-able at www.sematech.org.

[91] A. P. Thorne, Spectrophysics (Chapman and Hall Ltd, London, 1974).

[92] M. McGeoch and C. Pike, Star Pinch Scalable EUV Source, EUVSource Workshop, Santa Clara, California (2003), available athttp://www.sematech.org.

[93] N. R. Fornaciari, H. Bender, D. Buchenauer, M. P. Kanouff, S. Karim, G. D.Kubiak, C. D. Moen, G. M. Shimkaveg, W. T. Silfvast, and K. D. Stewart,in Emerging Lithographic Technologies V, edited by E. A. Dobisz (2001), vol.4343 of Proceedings of SPIE, pp. 226–231.

[94] J. Pankert, Update of Philips’ EUV source performance, EUV Source Work-shop, Santa Clara, California (2003), available at http://www.sematech.org.

Page 66: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

54 BIBLIOGRAPHY

[95] R. Lebert, K. Bergmann, L. Juschkin, O. Rosier, and W. Neff, in EmergingLithographic Technologies V, edited by E. A. Dobisz (2001), vol. 4343 ofProceedings of SPIE, pp. 215–225.

[96] D. Attwood, Soft X-Rays and Extreme Ultraviolet Radiation, chap. 5, in [1](1999).

[97] G. Johansson, Compact Soft X-Ray Microscopy, Ph.D. thesis, Royal. Inst. ofTech., Stockholm, Sweden (2003).

[98] J. P. Benschop, U. Dinger, and D. C. Ockwell, in Emerging LithographicTechnologies IV, edited by E. A. Dobisz (2000), vol. 3997 of Proceedings ofSPIE, pp. 34–47.

[99] D. C. Ockwell, N. C. E. Crosland, and V. C. Kempson, J. Vac. Sci. Technol.B 17(6), 3043 (1999).

[100] G. Dattoli, A. Doria, G. P. Gallerano, L. Giannessi, K. Hesch, H. O. Moser,P. L. Ottaviani, E. Pellegrin, R. Rossmanith, R. Steininger, V. Saile, andJ. Wust, Nucl. Instum. Methods Phys. Res. A 474(3), 259 (2001).

[101] E. Tejnil, K. A. Goldberg, S. Lee, H. Medecki, P. J. Batson, P. E. Denham,A. A. MacDowell, J. Bokor, and D. Attwood, J. Vac. Sci. Technol. B 15(6),2455 (1997).

[102] P. P. Naulleau, K. A. Goldberg, E. H. Anderson, J. Bokor, B. D. Harteneck,K. H. Jackson, D. L. Olynick, F. Salmassi, S. L. Baker, P. B. Mirkarimi,E. A. Spiller, C. C. Walton, et al., in Emerging Lithographic TechnologiesVII, edited by R. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp.36–46.

[103] A. H. Compton and S. K. Allision, X-rays in Theory and Experiment (Prince-ton, Van Nostrand, 1935), 2 ed.

[104] A. Rundquist, C. G. Durfee III, Z. Chang, C. Herne, S. Backus, M. M. Mur-nane, and H. C. Kapteyn, Science 280, 1412 (1998).

[105] R. A. Bartels, A. Paul, H. Green, H. C. Kapteyn, M. M. Murnane, S. Backus,I. P. Christov, Y. Liu, D. Attwood, and C. Jacobsen, Science 297(5580), 376(2002).

[106] J. J. Rocca, Rev. Sci. Instrum. 70(10), 3799 (1999).

[107] V. Y. Banine, J. P. H. Benschop, and H. G. C. Werij, Microelectron. Eng.53(1–4), 681 (2000).

[108] G. D. Kubiak, L. Bernardez, and K. Krenz, in Emerging Lithographic Tech-nologies II, edited by Y. Vladimirsky (1998), vol. 3331 of Proceedings of SPIE,pp. 81–89.

Page 67: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

55

[109] M. L. Ginter and T. J. McIlrath, Appl. Opt. 27(5), 885 (1988).

[110] F. Bijkerk, E. Louis, J. Van Der Wiel, E. C. I. Turcu, G. J. Tallents, andD. Batani, J. X-Ray Sci. Technol. 3(2), 133 (1992).

[111] M. Richardson, W. T. Silfvast, H. A. Bender, A. Hanzo, V. P. Yanovsky,J. Feng, and J. Thorpe, Appl. Opt. 32(34), 6901 (1993).

[112] L. Shmaenok, F. Bijkerk, E. Louis, A. van Honk, M. J. van der Wiel,Y. Platonov, S. A., A. Mitrofanov, H. Frowein, B. Nicolaus, F. Voss, andR. Desor, Microelectron. Eng. 23(1–4), 211 (1994).

[113] F. Flora, L. Mezi, S. Bollanti, F. Bonfigli, P. D. Lazzaro, T. Letardi, andC. E. Zheng, in Applications of X Rays Generated from Lasers and OtherBright Sources II, edited by G. A. Kyrala and J.-C. J. Gauthier (2001), vol.4504 of Proceedings of SPIE, pp. 77–86.

[114] L. Rymell and H. M. Hertz, Rev. Sci. Instrum. 66(10), 4916 (1995).

[115] N. R. Fornaciari, H. Bender, D. Buchenauer, J. L. Dimkoff, M. P. Kanouff,S. Karim, C. Romeo, G. M. Shimkaveg, W. T. Silfvast, and K. D. Stewart,in Emerging Lithographic Technologies VI, edited by R. L. Engelstad (2002),vol. 4688 of Proceedings of SPIE, pp. 110–121.

[116] L. A. Shmaenok, C. C. de Bruijn, H. Fledderus, R. Stuik, A. A. Schmidt,D. M. Simanovskii, A. A. Sorokin, T. A. Andreeva, and F. Bijkerk, in Emerg-ing Lithographic Technologies II, edited by Y. Vladimirsky (1998), vol. 3331of Proceedings of SPIE, pp. 90–94.

[117] A. L. Hoffman, G. F. Albrecht, and E. A. Crawford, J. Vac. Sci. Technol. B3(1), 258 (1985).

[118] D. N. Ruzic, B. E. Jurczyk, M. J. Williams, E.-V. Lopez, M. J. Neumann, andM. A. Jaworski, Secondary-Plasma-Based Debris Mitigation for Next Genera-tion EUVL Sources (2003), presented at EUVL Source Workshop in Antwer-pen, Belgium. To be published at http://www.sematech.org.

[119] K. Takenoshita, C.-S. Koay, M. C. Richardson, and I. C. E. Turcu, in Emerg-ing Lithographic Technologies VII, edited by R. L. Engelstad (2003), vol. 5037of Proceedings of SPIE, pp. 792–800.

[120] G. Niimi, Y. Ueno, K. Nishigori, T. Aota, H. Yashiro, and T. Tomie, inEmerging Lithographic Technologies VII, edited by R. L. Engelstad (2003),vol. 5037 of Proceedings of SPIE, pp. 370–377.

[121] I. C. E. Turco and J. B. Dance, X-Rays From Laser Plasmas (John Wiley &Sons, Chichester, England, 1999), ISBN 0 471 98397 7.

Page 68: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

56 BIBLIOGRAPHY

[122] P. K. Carroll and G. O’Sullivan, Phys. Rev. A 25(1), 275 (1982).

[123] P. Mandelbaum, M. Finkenthal, J. Schwob, and M. Klapisch, Phys. Rev. A35(12), 5051 (1987).

[124] G. M. Zeng, H. Daido, T. Nishikawa, H. Takabe, S. Nakayama, H. Aritome,K. Murai, Y. Kato, M. Nakatsuka, and S. Nakai, J. Appl. Phys. 75(4), 1923(1994).

[125] W. Svendsen and G. O’Sullivan, Phys. Rev. A 50(5), 3710 (1994).

[126] T. Mochizuki and C. Yamanaka, in Soft X-Ray Optics and Technology (1987),vol. 733 of Proceedings of SPIE, pp. 23–27.

[127] M. S. Schulz, A. G. Michette, and R. E. Burge, in X-Ray Microscopy III,edited by A. G. Michette, G. R. Morrison, and C. J. Buckley (Springer,Berlin, 1992), vol. 67 of Springer Series in Optical Sciences, pp. 58–61.

[128] R. Bobkowski and R. Fedosejevs, J. Vac. Sci. Technol. B 14(4), 1973 (1996).

[129] H. Fiedorowicz, A. Bartnik, Z. Patron, and P. Parys, Appl. Phys. Lett. 62(22),2778 (1993).

[130] H. Fiedorowicz, A. Bartnik, Z. Patron, and P. Parys, Laser and ParticleBeams 12(3), 471 (1994).

[131] A. McPherson, T. S. Luk, B. D. Thompson, A. B. Borisov, O. B. Shiryaev,X. Chen, and C. K. Boyer, K. Rhodes, Phys. Rev. Lett. 72(12), 1810 (1994).

[132] G. D. Kubiak, L. J. Bernardez, K. D. Krenz, D. J. O’Connell, R. Gutowski,and A. M. M. Todd, in Extreme Ultraviolet Lithography, edited by G. D. Ku-biak and D. R. Kania (1996), vol. 4 of OSA Trends in Optics and Photonics,pp. 66–71.

[133] R. H. Moyer, H. Shields, A. Martos, S. W. Fornaca, R. J. St. Pierre, andM. B. Petach, in Emerging Lithographic Technologies V, edited by E. A.Dobisz (2001), vol. 4343 of Proceedings of SPIE, pp. 249–254.

[134] M. Segers, M. Bougeard, E. Caprin, T. Ceccotti, D. Normand, M. Schmidt,and O. Sublemontier, Microelectron. Eng. 61–62, 139 (2002).

[135] L. Malmqvist, L. Rymell, M. Berglund, and H. M. Hertz, Rev. Sci. Instrum.67(12), 4150 (1996).

[136] H. M. Hertz, L. Malmqvist, L. Rymell, and M. Berglund, Method and Appara-tus for Generating X-Ray or EUV Radiation (1999), US Patent No. 6,002,744.

[137] L. Rymell and H. M. Hertz, Opt. Commun. 103(1–2), 105 (1993).

Page 69: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

57

[138] M. Berglund, L. Rymell, H. M. Hertz, and T. Wilhein, Rev. Sci. Instrum.69(6), 2361 (1998).

[139] M. Wieland, T. Wilhein, M. Faubel, C. Ellert, M. Schmidt, and O. Suble-montier, Appl. Phys. B 72(5), 591 (2001).

[140] G. Korn, A. Thoss, H. Stiel, U. Vogt, M. Richardson, T. Elsaesser, andM. Faubel, Opt. Lett. 27(10), 866 (2002).

[141] L. Rymell, M. Berglund, and H. M. Hertz, Appl. Phys. Lett. 66(20), 2625(1995).

[142] R. J. Tompkins, I. P. Mercer, M. Fettweis, C. J. Barnett, D. R. Klug, L. G.Porter, I. Clark, S. Jackson, P. Matousek, A. W. Parker, and M. Towrie, Rev.Sci. Instrum. 69(9), 3113 (1998).

[143] B. Trostell, Nucl. Inst. & Meth. in Phys. Res. A 362, 41 (1995).

[144] Hans M. Hertz and Oscar Hemberg and Lars Rymell and Magnus Berglundand Björn A. M. Hansson, Method and Apparatus for Generating X-Ray orEUV Radiation (2000), swedish patent SE 520 087. Published internationalpatent application WO 02/32197.

[145] B. A. M. Hansson, R. Lars, M. Berglund, O. E. Hemberg, E. Janin, J. Thore-sen, S. Mosesson, J. Wallin, and H. M. Hertz, in Emerging Lithographic Tech-nologies VI, edited by R. L. Engelstad (2002), vol. 4688 of Proceedings ofSPIE, pp. 102–109.

[146] R. Stuik, R. C. Constantinescu, P. Hegeman, J. Jonkers, H. F. Fledderus,V. Banine, and F. Bijkerk, in Soft X-Ray and EUV Imaging Systems, editedby W. M. Kaiser and R. H. Stulen (2000), vol. 4146 of Proceedings of SPIE,pp. 121–127.

[147] Björn A. M. Hansson and Magnus Berglund and Oscar Hemberg and HansM. Hertz, in Emerging Lithographic Technologies IV, edited by E. A. Dobisz(2000), vol. 3997 of Proceedings of SPIE, pp. 729–732.

[148] H. Shields, S. W. Fornaca, M. B. Petach, M. Michaelian, R. D. McGregor,R. H. Moyer, and R. J. St. Pierre, in Emerging Lithographic TechnologiesVI, edited by R. L. Engelstad (2002), vol. 4688 of Proceedings of SPIE, pp.94–101.

[149] S. McNaught, NGST/CEO presentation - Laser-Produced Plasma EUVSource Program, EUV Source Workshop, Santa Clara, California (2003),available at http://www.sematech.org.

[150] Björn A. M. Hansson, Innolite Update - Status of the Liquid-Xenon-Jet Laser-Plasma EUV Source, EUV Source Workshop, Dallas, USA (2002), availableat http://www.sematech.org.

Page 70: Laser-PlasmaSourcesforExtreme-Ultraviolet Lithography9513/FULLTEXT01.pdf · 2005. 3. 17. · Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b)proximityprintingand(c)projectionprinting(fromSze

58 BIBLIOGRAPHY

[151] TRW / Cutting Edge Optronics Laser-Produced Plasma EUV SourceProgram, EUV Source Workshop, Dallas, USA (2002), available athttp://www.sematech.org.

[152] U. Stamm, I. Ahmad, V. M. Borisov, F. Flohrer, K. Gaebel, S. Goetze, A. S.Ivanov, O. B. Khristoforov, D. Kloepfel, P. Koehler, J. Kleinschmidt, V. Ko-robotchko, et al., in Emerging Lithographic Technologies VI, edited by R. L.Engelstad (2002), vol. 4688 of Proceedings of SPIE, pp. 122–133.

[153] A. Endo, H. Sato, H. Komori, T. Abe, H. Mizoguchi, K. Toyoda, andY. Horiike, in EUVL Source Workshop Feb. 23, 2003 (2003), available athttp://www.sematech.org.