บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5...

18
บทที 5 ฟล ปฟลอป (Flip-Flops) สอนโดย อาจารย์ ดร. นรรัตน์ วัฒนมงคล ภาคเรียนที 2 ปีการศึกษา 2555 ภาควิชาวิศวกรรมไฟฟ คณะวิศวกรรมศาสตร์ มหาวิทยาลัยบูรพา 1 5.1 บทนํา วงจรลอจิกแบ่งตามลักษณะของการทํางานแบ่งได้ 2 ประเภท คือ วงจรคอมบิเนชันหรือวงจรลอจิกเชิงจัดหมู ซึ งเป็นวงจรที ให้ค่าทางเอาท์พุต แปรตามค่าทางอินพุตอย่างคงที และอีกประเภทหนึ ่งคือ วงจรซีเควนเชียล ( ti l i it ) หร อวงจรลอจ กเชงลาด การทางานจะแตกตางจาก (sequential circuits) หรอวงจรลอจกเชงลาดบ การทางานจะแตกตางจาก แบบแรก คือค่าทางเอาท์พุตจะแปรตามค่าทางอินพุต และค่าสถานะของวงจร อนหนาจะถกเกบไววยวงจรทาหนาทเปนหนวยความจแลวป อนกล กอนหนาจะถกเกบไวดวยวงจรททาหนาทเปนหนวยความจา แลวปอนกลบ เข้าไปใหม่ อุปกรณ์ต่างๆ เช่น เครื ่องคิดเลข คอมพิวเตอร์ เครื ่องเล่นเกมส์ รวมทั ้ง วิทยุ โทรทัศน์ จะมีวงจรหน่วยความจําเป็นส่วนประกอบทั ้งสิ ้น 2 5 2 วงจรซเควนเชยล 5.2 วงจรซเควนเชยล วงจรคอมบิเนชันจะประกอบขึ ้นด้วยลอจิกเกตต่าง ต่อเป็น โครงข่ายดังได้กล่าวมาแล้วในบทที ่ผ่านมา ส่วนวงจรซีเควนเชียลจะประกอบ ขึ ้นจากวงจรคอมบิเนชัน และวงจรส่วนที ่ทําหน้าที ่เป็นหน่วยความจํา ที ่จะ เกบคาสถานะกอนหนานน สาหรบอนกลบเขาวงจรคอมบเนนเพอหคา เอาท์พุตเปลี ่ยนไปตามที ่ต้องการ 3 บล็อกไดอะแกรมของวงจรซีเควนเชียล 5 3 อปกรณหน วยความจ าพนฐาน 5.3 ปกรณหนวยความจาพนฐาน (L t h) อุ กรณท ชเ นหนวยความจาพนฐาน เรยกวา แลตซ (Latch) หรือฟลิปฟลอป (Flip-flop) ซึ ่งเป็นอุปกรณ์ลอจิกที ่ประกอบขึ ้นจากเกตพื ้นฐาน แลตซหร อฟลปฟลอปแตละต วสามารถเกบขอมลได1 2 เอาทพตทแลตซหรอฟลปฟลอปแตละตวสามารถเกบขอมลได 1 บต 2 เอาทพตทมคา ตรงข้ามกัน โดยกําหนดให้เป็น และ ถ้า เป็นลอจิก “1” แล้ว จะ เป็นลอจิก “0” และค่าลอจิกทางเอาท์พตจะคงสถานะเดิมไว้ไม่ว่าอินพตยังมี Q Q Q Q สถานะเดิมอยู ่หรือไม่ แต่ถ้าต้องการให้เอาท์พุตเปลี ่ยนสถานะจะต้องป อน อินพุตที ่เหมาะสมเข้าไป 4 โครงสร้างอุปกรณ์หน่วยความจําพื ้นฐาน

Transcript of บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5...

Page 1: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

บทท 5 ฟลปฟลอป (Flip-Flops)

สอนโดยอาจารย ดร. นรรตน วฒนมงคล

ภาคเรยนท 2 ปการศกษา 2555ภาควชาวศวกรรมไฟฟา คณะวศวกรรมศาสตร มหาวทยาลยบรพา

1

5.1 บทนา

วงจรลอจกแบงตามลกษณะของการทางานแบงได 2 ประเภท คอ วงจรคอมบเนชนหรอวงจรลอจกเชงจดหม ซงเปนวงจรทใหคาทางเอาทพต

แปรตามคาทางอนพตอยางคงท และอกประเภทหนงคอ วงจรซเควนเชยล ( ti l i it ) หรอวงจรลอจกเชงลาดบ การทางานจะแตกตางจาก(sequential circuits) หรอวงจรลอจกเชงลาดบ การทางานจะแตกตางจากแบบแรก คอคาทางเอาทพตจะแปรตามคาทางอนพต และคาสถานะของวงจรกอนหนาจะถกเกบไวดวยวงจรททาหนาทเปนหนวยความจา แลวปอนกลบกอนหนาจะถกเกบไวดวยวงจรททาหนาทเปนหนวยความจา แลวปอนกลบเขาไปใหม อปกรณตางๆ เชน เครองคดเลข คอมพวเตอร เครองเลนเกมส รวมทง วทย โทรทศน จะมวงจรหนวยความจาเปนสวนประกอบทงสน

2

5 2 วงจรซเควนเชยล5.2 วงจรซเควนเชยลวงจรคอมบเนชนจะประกอบขนดวยลอจกเกตตางๆ ตอเปนๆ

โครงขายดงไดกลาวมาแลวในบททผานมา สวนวงจรซเควนเชยลจะประกอบขนจากวงจรคอมบเนชน และวงจรสวนททาหนาทเปนหนวยความจา ทจะ ป ช ใ เกบคาสถานะกอนหนานน สาหรบปอนกลบเขาวงจรคอมบเนชนเพอใหคา

เอาทพตเปลยนไปตามทตองการ

3 บลอกไดอะแกรมของวงจรซเควนเชยล

5 3 อปกรณหนวยความจาพนฐาน5.3 อปกรณหนวยความจาพนฐาน

ป ใ ป (L t h) อปกรณทใชเปนหนวยความจาพนฐาน เรยกวา แลตซ (Latch) หรอฟลปฟลอป (Flip-flop) ซงเปนอปกรณลอจกทประกอบขนจากเกตพนฐาน แลตซหรอฟลปฟลอปแตละตวสามารถเกบขอมลได 1 บต ม 2 เอาทพตทมคาแลตซหรอฟลปฟลอปแตละตวสามารถเกบขอมลได 1 บต ม 2 เอาทพตทมคาตรงขามกน โดยกาหนดใหเปน และ ถา เปนลอจก “1” แลว จะเปนลอจก “0” และคาลอจกทางเอาทพตจะคงสถานะเดมไวไมวาอนพตยงม

QQ QQ

สถานะเดมอยหรอไม แตถาตองการใหเอาทพตเปลยนสถานะจะตองปอนอนพตทเหมาะสมเขาไป

4 โครงสรางอปกรณหนวยความจาพนฐาน

Page 2: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

5 4 แลตซและฟลปฟลอป5.4 แลตซและฟลปฟลอปแลตซหรอฟลปฟลอปมสถานะเสถยรหรอคงสถานะลอจกนนไว

ได ไมวาอนพตเดมยงคงอยหรอไมกตาม จงทาใหแลตซและฟลปฟลอปเปนอปกรณหนวยความจา คอสามารถเกบขอมลไวได อาจเรยกอปกรณ

เกบขอมลนวาอปกรณไบสเตเบล (Bi-stable) เนองจากเปนอปกรณมสถานะเสถยร 2 สถานะ ( และ )QQ

5

จากรป(ก) เปนวงจรซเควนเชยลอยางงาย ประกอบขนจากจากรป(ก) เปนวงจรซเควนเชยลอยางงาย ประกอบขนจากอนเวอรเตอรและตอวงจรยอนกลบ จากเอาทพตมายงอนพต จะทาใหไดเอาทพตออกมาเปนลกคลนหรอพลซ (Pulse) ตดตอกน โดยสลบเปน 0 เอาทพตออกมาเปนลกคลนหรอพลซ (Pulse) ตดตอกน โดยสลบเปน 0 และ 1 ไปเรอยๆ ดงแสดงในรป(ข) การเกดพลซเนองจากภายในตวอนเวอรเตอรจะมชวงเวลาการหนวงระหวางอนพตและเอาทพต ทาใหการเปลยนสถานะไมพรอมกนในทนท คอจะมเวลาเหลอมกนเลกนอย

(ก) วงจรซเควนเชยลอยางงาย (ข) แสดงพลซทเอาทพต

6

(ก) วงจรซเควนเชยลอยางงาย (ข) แสดงพลซทเอาทพต

ใ ถาหากตองการใหเอาทพตมสถานะเสถยร จะตองตออนเวอรเตอรเพมอกหนงตว เอาทพต และ จะมสถานะตรงขามกน แตจะเปนคาอะไรขนอยกบการกาหนดคาลอจกทางอนพตทสวตซ เชน ถากาหนดคา

QQ

อะไรขนอยกบการกาหนดคาลอจกทางอนพตทสวตซ เชน ถากาหนดคาลอจกทางอนพต เปน “0” เอาทพตของอนเวอรเตอร A จะเปน 1 ( = 1) และจะทาใหเอาทพตของอนเวอรเตอร B เปน 0 ( = 0)Q

Q

วงจรไบสเตเบล (Bi-stable circuit)

7

การเกบขอมลของฟลปฟลอป ถงแมวาคาลอจกทางอนพตจะเปลยนไปฟลปฟลอปกยงคงสถานะอย การเกบขอมลนเรยกวา การแลตซ ฟ ปฟ ป ซ ไ ไ ดงนนคาวา ฟลปฟลอป และ แลตซ จงอาจเรยกแทนกนได อยางไรกตาม

การควบคมการทางานของอปกรณหนวยความจาจะม 2 ลกษณะ คอการทางานแบบอะซงโครนส (asynchronous) เปนฟลปฟลอปทปอนคาลอจกทางานแบบอะซงโครนส (asynchronous) เปนฟลปฟลอปทปอนคาลอจกเขาทางอนพตโดยตรงโดยไมตองมสญญาณควบคมใดๆ อาจเรยกวงจรแบบนวา ไดเรคฟลปฟลอป (direct flip – flop) หรอแลตซ โดยทวไปจะมอนพต 2 อนพต ไดแก R-S แลตซ, นอรแลตซ, แนนดแลตซ เปนตน

8

Page 3: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

อกลกษณะหนง คอการทางานแบบซงโครนส (synchronous) เปนฟลปฟลอปทจะตองมสญญาณควบคมการทางานหรอสญญาณให ใ จงหวะการทางาน สญญาณควบคมจะกระตนใหฟลปฟลอปเปลยนสถานะ

ปจจบนใหเปนสถานะใหม สญญาณควบคมนเรยกวาสญญาณคลอก (clock) ฟลปฟลอปททางานแบบเขาจงหวะนบางทเรยกวา Triggering flip (clock) ฟลปฟลอปททางานแบบเขาจงหวะนบางทเรยกวา Triggering flip – flop ไดแก R-S ฟลปฟลอป, J-K ฟลปฟลอป, D ฟลปฟลอป, และ T ฟลปฟลอป เปนตน

9

5 5 R S แลตซ5.5 R-S แลตซR-S แลตซ เปนอปกรณหนวยความจาทสามารถสรางไดจาก

นอรเกตหรอแนนดเกต เปนอปกรณหนวยความจาทประกอบขนดวย อนเวอรเตอร ถาตองการเพมอนพตใหวงจรเพอใหสามารถนาขอมลเขาไป

จดเกบหรอเพอใหเอาทพตของวงจรเปลยนสถานะตามทเราตองการจะใช นอรเกตหรอแนนดเกตแทน ดงน

10

1 5.5.1 นอรเกตแลตซ

R-S นอรเกตแลตซ

P S+Q (1)

Q R+P (2)

ใ ใ 11

(ก) ใชนอรเกตแทนอนเวอรเตอร (ข) เขยนวงจรใหม (ค) สญลกษณ

พจารณาการทางานกรณท 1 เมอ S = 0 และ R = 0 ดงนนกรณท 1 เมอ S = 0 และ R = 0 ดงนน

P 0+Q Q

Q 0+P P

Q 0+P P

จะเหนวา P มคาตรงขามกบ Q หรอ P กคอ สถานะของแลตซขณะน เราเรยกวา สถานการณเกบขอมล (Store หรอ Hold) การเกบขอมลสามารถทจะ

Q

เรยกวา สถานการณเกบขอมล (Store หรอ Hold) การเกบขอมลสามารถทจะเกบคาลอจก 0 (Q = 0, P = 1) หรอเกบลอจก 1 (Q = 1, P = 0) กได

กรณท 2 เมอ S = 1 และ R = 0 แทนคา S ใน (1) แทนคา R และ P ใน (2)P 1+Q 1 0

เมออนพต S = 1 และ R = 0 จะทาใหมการแลตซขอมลทเปนลอจก 1 ลงไป ใ ป

Q 0+0 0 1

12

เกบ จะทาให Q มสถานะเปน 1 จะเรยกสถานะของแลตซขณะนวาสถานะเซต (Set) การเซต คอการเกบคาลอจก 1 ลงในแลตซ นนคอ Q = 1

Page 4: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

กรณท 3 เมอ R = 1 และ S = 0 แทนคา R ใน (2) แทนคา S และ Q ใน (1)Q 1+P 1 0

P 0+0 0 1

ใจะเหนวาเมออนพต R = 1 และ S = 0 จะเปนการเกบคาลอจก 0 ลงในแลตซ คอ Q จะมคาลอจก เปน 0 สถานะของแลตซขณะนเรยกวา สถานะรเซต (Reset) นนคอ Q = 0เซต (Reset) นนคอ Q = 0

กรณท 4 เมอ S = 1 และ R = 1 แทนคาใน (1) และ (2)P 1+Q 1 0

Q 1+P 1 0

กรณนถอวาแลตซไมทางานตามฟงกชน คอเอาทพตทออกมาไมเปนไปตามคณสมบตของแลตซ เพราะวาเอาทพตจะเปนลอจก 0 ทงค ซงในฟงกชนท ป ปQQ

13

ทางานปกต และ จะมคาลอจกตรงกนขาม ซงสถานะนจะเปนสถานะทไมใชงาน

QQ

จากการเกดสถานะ การทางานของแลตซทง 4 กรณ สามารถทจะสรปเปนตารางความจรงไดดงรป (ก) และ (ข) แสดงการเขยนไดอะแกรมเวลาของอนพตและเอาทพต จะเหนวาแตละชวงเวลาจะเกดสถานะทแตกตางกน ขนอยกบคาลอจก

ใ ใ ของอนพต S และ R เชน ในชวงเวลา t0, S = 0, R = 1 ทาให Q = 0 แลตซจะอยในสถานะรเซต ในชวงเวลา t1, S = 0, R = 0 ทาให Q = 0 แลตซจะอยในสถานะ เดมหรอคงสถานะ เปนตนเดมหรอคงสถานะ เปนตน

14

ตารางความจรงและไดอะแกรมเวลาของ R - S นอรเกตแลตซตารางความจรงและไดอะแกรมเวลาของ R - S นอรเกตแลตซ

15

5.5.2 แนนดเกตแลตซ

R-S แลตซ นอกจากจะสรางดวยนอรเกตแลว ยงสามารถสรางไดจากแนนดเกต ดงรป (ก) และ (ข) ซงจะแตกตางจากนอรเกตแลตซ โดยจะแนนดเกต ดงรป (ก) และ (ข) ซงจะแตกตางจากนอรเกตแลตซ โดยจะเรยกแลตซนวา แลตซR S

16

Page 5: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

แนนดเกตแลตซสามารถทจะใชออรเกตแทนได โดยเพมอนเวอรเตอร หรอบบเบลอนพต

ใ แลตซใชนอรเกตแทนแนนดเกต

17

18

(ก) วงจรลอจก (ข) ตารางความจรง (ค) สญลกษณ

ตวอยาง จากรปคลน R และ S ของ R - S แลตซทกาหนดให จงเขยนรปคลน ของเอาทพต Q ทสอดคลองกบอนพต R, Sวธทา

19

ตวอยาง จงใชไอซเบอร 7400 (Nand gate ) ตอเปนวงจร R-S แลตซ( g )

20

Page 6: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

5 6 แลตซทมเกตควบคม5.6 แลตซทมเกตควบคม R-S แลตซทงสองแบบคอ R-S แลตซทสรางจากนอรเกตและแนนดเกต ทกลาวมาแลว จะเหนวาแลตซทงสองแบบทางาน ใหผลลพธทเหมอนกน

รวมทงสญลกษณกจะเขยนเหมอนกน ดงนนจงเรยกไดวาทงสองตางกเปน R S แลตซทงค ถาหากวา R S แลตซ มเกตควบคมกจะเรยกวาเกตหรอ R-S แลตซทงค ถาหากวา R-S แลตซ มเกตควบคมกจะเรยกวาเกตหรอ คลอก R-S แลตซ ซงมรายละเอยดดงน

21

5 6 1 เกต R - S แลตซ5.6.1 เกต R - S แลตซ

การตอแอนดเกตเพอควบคมการทางานของ R–S แลตซจะตองมสญญาณควบคม หรอมการปอนคาลอจกเขาไปทขา EN (Enable) จงจะทาให R-S แลตซทางานตามเงอนไขของอนพตได

22

กรณท EN มคาลอจกเปน “0” S และ R ทปอนใหแอนดเกตจะมคาเปนอะไรกได (X = don’t care) เอาทพตทงสองของแอนดเกตจะมคาลอจกเปน “0” จงทาให R-S แลตซ คงสถานะเดมของ Q (Qn คอ คาสถานะของ Q กอนท

สญญาณ EN จะเขามา สวน Qn+1 คอคาของ Q ทเกดขนใหม)กรณท EN มคาลอจกเปน 1 เอาทพตของแอนดเกตจะเปลยนไปตาม

S R EN ป 1 ใ R S ไ คาลอจกของ S และ R นนคอ เมอ EN เปน 1 จะทาให R-S แลตซ ทางานไดตามฟงกชนปกต

23

ตวอยาง จงปรบปรงวงจร R - S นอรแลตซ และ แนนดแลตซใหR Sเปนเกต R–S แลตซ

วธทา รป (ก) การตอ R-S นอรแลตซกบเกตควบคม เพอใหตาแหนงของ

R S

วธทา รป (ก) การตอ R-S นอรแลตซกบเกตควบคม เพอใหตาแหนงของอนพตและเอาทพตเปนมาตรฐานเดยวกน ซงตองมการไขวสาย สวนรป (ข) เปน แนนดแลตซ จะใชแนนดเกตเปนตวควบคม และทาหนาทกลบR S คาอนพตดวย เมอพจารณาการทางานในสถานะตาง ๆ ทงสองวงจรจะทางานไดเหมอนกน

24

Page 7: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

ตวอยาง จากรปคลนอนพต EN, R และ S ของเกต R –S แลตซ จงเขยน ,รปคลนของเอาทพต Q ทเกดขนจากอนพตทกาหนดให

25

ใ EN ป 0 (t t t t t t ) ป ในชวงเวลาท EN เปน 0 (t0 – t1, t2 – t3 และ t4 – t5) เปนชวงทแลตซไมทางาน แตยงคงคาลอจกเดม ทางเอาทพตอย (Hold) สวนชวงเวลาท EN มคาเปน 1 แลตซจะทางานตามฟงกชน และใหเอาทพตตามคาลอจก

26

EN มคาเปน 1 แลตซจะทางานตามฟงกชน และใหเอาทพตตามคาลอจกทางอนพต R และ S ทเขามา

5 6 2 เกต D แลตซ5.6.2 เกต D แลตซ การตอวงจรเกต D แลตซปรบปรงจาก R-S แลตซโดยตออนเวอรเตอร

ครอมระหวางอนพต R และ S ซงจะทาใหคาลอจกของอนพตทงสองตรงขามกนตลอดเวลา ดงนนจงทาใหแลตซนไมเกดสภาวะทไมพงประสงค ( ) เหมอนกบ R S แลตซ โดยเกต D แลตซบางครงจะเรยกวา ดาตาแลตซ (D t

Q Q

เหมอนกบ R–S แลตซ โดยเกต D แลตซบางครงจะเรยกวา ดาตาแลตซ (Data latch) หรอ Delay เพราะวาเอาทพตของเกต D แลตซจะเกดขนหลงจากขา EN ไดคาลอจกทเหมาะสมEN ไดคาลอจกทเหมาะสม

27

เกต D แลตซ จะถกนาไปใชในระบบคอมพวเตอร ทาเปนสวนประกอบของ เกต D แลตซ จะถกนาไปใชในระบบคอมพวเตอร ทาเปนสวนประกอบของรจสเตอรภายในซพย (CPU) โดยเกต D แลตซ แตละตวจะเกบขอมลได 1 บต ปกตจะมการใชงานอยสองอยางคอ 1) ใชเปนตวเกบขอมลทเรยกวา ดาตา แลตซ เนองจากขอมลทเกบจะคงคาขอมลไวแมวาขอมลทางอนพต (D) จะเปลยนแปลง และขอมลจะถกเกบไวตลอดไป ตราบใดทขา EN ยงไมมคา

ใ ลอจกทเหมาะสมเขามา 2) การใชหนวงสญญาณ (Delay) เนองจากสญญาณทสงเขามาใน D แลตซ จะยงไมถกสงไปทางเอาทพต จะตองรอสญญาณหรอคาลอจกทเหมาะสมสงเขาทขา EN กอนลอจกทเหมาะสมสงเขาทขา EN กอน

28

Page 8: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

ตวอยาง จงออกแบบวงจร เกต D แลตซ จาก R S แลตซทใชออรเกต พรอมตวอยาง จงออกแบบวงจร เกต D แลตซ จาก R-S แลตซทใชออรเกต พรอมเขยนตารางความจรง และรปคลนอนพต เอาทพต โดยใหเอาทพตเรมตนเปนลอจก 1ลอจก 1วธทา

29

5 7 การใชขอบสญญาณ กระตนฟลปฟลอป5.7 การใชขอบสญญาณ กระตนฟลปฟลอปแลตซ เปนฟลปฟลอปชนดทใหเอาทพตเปลยนไปตามสถานะของ

อนพต เรยกวาไดเรกฟลปฟลอปหรอฟลปฟลอปททางานแบบไมเขาจงหวะ ดงไดกลาวมาแลว แลตซบางชนดทมเกตควบคมหรอเกตแลตซจะมขา

ควบคม (EN) ทาหนาทเปดการทางานหรอปดการทางานตามฟงกชนของแลตซ โดยการปอนลอจก 1 หรอ 0 เขาทขา EN กสามารถควบคมการ ซไ ไ ซใ ทางานของแลตซได หรออาจจะกลาวไดวาการควบคมแลตซในลกษณะน

คอ การกระตนใหแลตซทางานดวยคาลอจก 1 หรอ 0 นนเอง

30

สาหรบวงจรลอจกทมฟลปฟลอปเปนสวนประกอบ สวนใหญจะสาหรบวงจรลอจกทมฟลปฟลอปเปนสวนประกอบ สวนใหญจะกระตนใหฟลปฟลอปทางานดวยขอบของสญญาณพลซหรอสญญาณคลอก เพอทาใหฟลปฟลอปเปลยนสถานะตามการเปลยนแปลงของสญญาณคลอก หรอกลาวอกนยหนงวา เอาทพตจะทางานเขาจงหวะกบการเปลยนแปลงของสญญาณคลอก

31

1 5.7.1 ชนดของขอบสญญาณ การกระตนดวยขอบสญญาณมอย 2 แบบ คอ การกระตนดวยขอบ

สญญาณขาขน (Leading – edge triggered) หรอการเปลยนระดบทางบวก (Positive – edge triggered) และอกแบบหนงคอ กระตนดวยขอบสญญาณขาลง (T ili d t i d) หรอการเปลยนระดบทางลบ (N ti ขาลง (Trailing – edge triggered) หรอการเปลยนระดบทางลบ (Negative – edge triggered)

32

Page 9: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

จากรปดานลางแสดงสญญาณคลอก สญญาณคลอกทใชกบวงจรดจตอล ญญ ญญ(ไอซตระกล TTL) จะเปนสญญาณทมระดบแรงดนตงแต 0 V ถง +5 V มรปคลนเปนรปคลนสเหลยม

33

(ก) แสดงขอบขาขน (ข) แสดงขอบขาลง

ป ฟ ปฟ ป จากรปดานลาง แสดงสญลกษณของฟลปฟลอปแบบกระตนดวยขอบสญญาณจะมเครองหมาย “ ” อยทคลอกอนพต สาหรบฟลปฟลอปทกระตนดวยขอบสญญาณขาลง จะมเครองหมาย “ ” (บบเบล) ประกอบอยดวย

สญญาณขาลง จะมเครองหมาย (บบเบล) ประกอบอยดวย

(ก) กระตนดวยขอบสญญาณขาขน (ข) กระตนดวยขอบสญญาณขาลง(ก) กระตนดวยขอบสญญาณขาขน (ข) กระตนดวยขอบสญญาณขาลง

34

2 5.7.2 วงจรผลตสญญาณกระตน

การกระตนฟลปฟลอปสามารถทจะกระตนดวยระดบแรงดนทเปน บวก (ลอจก 1) หรอกระตนดวยระดบแรงดนทเปนลบ (ลอจก 0) สาหรบการกระตนดวยขอบสญญาณกเชนเดยวกน สามารถทจะกระตนดวยขอบ

ไ สญญาณขาขนและขาลง ดงไดกลาวมาแลวการกระตนดวยขอบสญญาณนน จะตองทาใหเกดระดบแรงดนขนในชวงขอบสญญาณนน เพอนาไปกระตน ฟลปฟลอปใหทางาน จงตองมวงจรสรางระดบแรงดน ทสามารถจะผลตฟลปฟลอปใหทางาน จงตองมวงจรสรางระดบแรงดน ทสามารถจะผลตแรงดนขนในชวงระยะเวลาสนๆ 2 - 3 นาโนวนาท

35

แสดงสวนประกอบภายในของคลอก R S ฟลปฟลอป ทประกอบขนดวย แสดงสวนประกอบภายในของคลอก R-S ฟลปฟลอป ทประกอบขนดวย R-S แลตซ และวงจรสรางระดบแรงดน

ใ รปคลอก R-S ฟลปฟลอป (ก) สวนประกอบภายใน (ข) สญลกษณ

36

Page 10: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

วงจรทใชสรางระดบแรงดนเพอไปกระตนฟลปฟลอปดวยขอบสญญาณสามารถทาไดหลายวธ เชน รป (ก) เปนวงจรเกต D แลตซ ทตอวงจร

RC เขาทขา EN เพอเปนทางผานของสญญาณคลอก เมอสญญาณคลอกผานวงจร RC จ ทาใหเกดพลซ ทชวงบวกเปนยอดแหลมดงรป (ข) แลวปอนเขาวงจร RC จะทาใหเกดพลซ ทชวงบวกเปนยอดแหลมดงรป (ข) แลวปอนเขาขา EN ทาใหการสงถายขอมลของฟลปฟลอป จะเกดขนในชวงขอบขาขนของสญญาณคลอกสญญาณคลอก

ฟ ปฟ ป 37

(ก) วงจร D ฟลปฟลอป (ข) การเกดพลซบวกทเอาทพตวงจร RC ขณะท CLK เปลยนระดบแรงดนจาก 0 ไป 1

จากรปดานลางเปนการสรางระดบแรงดน โดยอาศยการหนวงเวลาของสญญาณดจตอลภายในตวไอซเกต ทาใหเกดสญญาณแคบๆ ทชวงขอบขาขนของสญญาณคลอกดงรป (ก) และ (ข) เกดขนในชวงขอบขาลง ไป ป สญญาณแคบๆ ทเกดขนนเรยกวา สญญาณสไปก (spike) ซงเปนคาลอจก

HIGH ในชวงเวลาสน ๆ

38

พจารณารป (ก) เมอสญญาณ CLK เปลยนจาก 0 ไป 1 ขณะนนพจารณารป (ก) เมอสญญาณ CLK เปลยนจาก 0 ไป 1 ขณะนนเอาทพตของอนเวอรเตอร (สญญาณ ) ยงเปน 1 อย เนองจากเกตทางานชาหรออาจจะกลาวไดวามการหนวงเวลาภายในตวเกต ทาใหเอาทพต

CLK

ของแอนดเกต (Positive: PT) เกดลอจก 1 ขนทนท ในชวงเวลาสนๆ ซงตรงกบขอบขาขนของสญญาณคลอกพอด

พจารณารป (ข) กเชนเดยวกน เอาทพตของแอนดเกต จะมลอจกเปน 1 ในชวงเวลาสนๆ ตรงกบขอบขาลงของสญญาณคลอก

39

5.7.3 ชมตต ทรกเกอร5.7.3 ชมตต ทรกเกอร

สญญาณทใชในระบบดจตอลตองมลกษณะเปนสญญาณรป สเหลยม คอตองมลกษณะเปนลอจก 0 หรอ 1 คอนขางชดเจน ถาหากเปน

รปสเหลยมทมรปรางไมเหมาะสม อาจเชอไมไดวาระบบทางานถกตอง จงมการสรางวงจรพเศษเพอทาหนาทปรบแตงรปรางของสญญาณทางมการสรางวงจรพเศษเพอทาหนาทปรบแตงรปรางของสญญาณทางเอาทพต ใหเปนรปคลนสเหลยม เพอใหอปกรณดจตอลทางานเทยงตรงขน วงจรดงกลาวจะประกอบลงในตวไอซลอจกเกต ซงเรยกวา ไอซแบบชมตตวงจรดงกลาวจะประกอบลงในตวไอซลอจกเกต ซงเรยกวา ไอซแบบชมตตทรกเกอร (Schmitt trigger)

40

Page 11: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

สญลกษณของแนนดเกตและอนเวอรเตอรชนด สมตตทรกเกอร

41

5 8 R-S ฟลปฟลอปแบบกระตนดวยขอบสญญาณ5.8 R-S ฟลปฟลอปแบบกระตนดวยขอบสญญาณ

จากรป (ก) สญญาณคลอก (CLK) จะสงผานเขาวงจรผลตระดบ ญญแรงดน เพอผลตสญญาณพลซแคบ ๆ ในชวงขอบขาขนของสญญาณคลอก สงผานเขา R-S แลตซ ทาใหจงหวะการทางานของฟลปฟลอปเกดขนในชวง

ขอบสญญาณขาขน รป (ข) เปนสญลกษณของ R-S ฟลปฟลอปแบบกระตนดวยขอบสญญาณขาขน

42

รป (ค) แสดงตารางความจรง สญลกษณลกศร (↑) แสดงถง สญญาณคลอกชนดขอบขาขน เมออนพต R และ S มคาลอจกตามทกาหนด ขณะเดยวกนมชวงขอบสญญาณคลอกขาขนเขามากจะทาให

ป ใ ปเอาทพต (Q) เปลยนสถานะหรอเกดสถานะใหมขน สวนรป (ง) แสดงไดอะแกรมเวลา หรอความสมพนธของการเกดรปคลนทางอนพตและเอาทพต จะเหนวารปคลนของ PT จะเกดแรงดนสไปค (spike) ขนในเอาทพต จะเหนวารปคลนของ PT จะเกดแรงดนสไปค (spike) ขนในตาแหนง t0, t1, t2, t3 และ t4

43

จากรปแสดง R-S ฟลปฟลอปแบบกระตนดวยขอบสญญาณขาลง สญญาณ NT จะเปนระดบแรงดนทเกดขนในชวงแคบๆ ขณะทสญญาณคลอกกาลง

เปลยนระดบลอจกจาก HIGH ลงไป LOW

พจารณารป (ค) ในชวงเวลาท t0, t1, t2, t3 และ t4 เปนชวงขอบขาลงของสญญาณคลอก ระดบแรงดนของ NT ทเกดขน แตละครงจะทาใหเอาทพต ป ป ไป

44

เปลยนสถานะเปนไปตามตารางความจรงดงรป (ข)

Page 12: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

5 9 D ฟลปฟลอปแบบกระตนดวยขอบสญญาณ5.9 D ฟลปฟลอปแบบกระตนดวยขอบสญญาณการควบคมการทางานของ D แลตซจะใชขา EN แตสาหรบ D

ฟลปฟลอปจะใชสญญาณคลอกแทน ในรป (ก) เปนการนา R-S แลตซมาตอกบเกตควบคม (แอนดเกต) และตออนเวอรเตอรระหวางขาอนพตทงสอง จะเหนวาวงจรดงกลาวเปนวงจร D แลตซ ตาแหนงของ NT คอขา EN นนเอง ตางกนทมสวนของวงจรสรางระดบแรงดนชวงขอบของ ซ ป ช ไ ใ ปสญญาณคลอก ซงอาจจะเปนชวงขอบขาขนหรอขอบขาลงกได แตในรป

จะเปนชนดขอบขาลง (Negative: NT) รป (ข) แสดงตารางความจรงของ D ฟลปฟลอปแบบกระตนดวยขอบสญญาณขาลงD ฟลปฟลอปแบบกระตนดวยขอบสญญาณขาลง

45

รป (ค) แสดงสญลกษณ และรป (ง) แสดงไดอะแกรมเวลา D ฟลปฟลอป ซงเอาทพตจะเปลยนสถานะทตาแหนง t0, t1, t2, t3 และ t4 ซงเปนชวงการเปลยนแปลงระดบแรงดนของสญญาณคลอกจาก HIGH มาเปน LOW

46

5 9 1 อนพตพรเซต (P t) และเคลยร (Cl )5.9.1 อนพตพรเซต (Preset) และเคลยร (Clear)ในทางปฏบตเมอปอนแรงดนไฟฟาใหกบฟลปฟลอป ฟลปฟลอปจะ

เรมทางานโดยการสม ซงอาจจะใหเอาทพต (Q) มลอจกเปน 0 หรอ 1 กได ดงนนเพอใหการเรมตนทางานตรงกบความตองการของผใช ผใชจะตองสามารถกาหนดเอาทพตไดโดยตรง จงทาใหตองมขาพรเซต (PRE) และขาสามารถกาหนดเอาทพตไดโดยตรง จงทาใหตองมขาพรเซต (PRE) และขาเคลยร (CLR) ขาทงสองนเรยกวา Direct input หรอ Asynchronous input ขาทงสองจะสามารถกาหนดคาลอจกใหเอาทพตของฟลปฟลอปไดโดยตรงโดยไมทงสองจะสามารถกาหนดคาลอจกใหเอาทพตของฟลปฟลอปไดโดยตรงโดยไมเกยวของกบสญญาณคลอก

สวนอนพต D นน เรยกวา Synchronous input เพราะการแลตซขอมลจะสมพนธกบสญญาณคลอกหรอเขาจงหวะกบสญญาณคลอก

47

จากรปแสดง D ฟลปฟลอป มขาเคลยร (CLR) และขาพรเซต (PRE) เปนชนดแอกทฟ HIGH คอ เมอใหลอจก 1 แก ขาพรเซต จะใหเอาทพต Q ม

ป ใ ใ สถานะเปน 1 และใหคาลอจก 1 แกขาเคลยร จะทาใหเอาทพต Q มสถานะเปน 0 ทนท โดยไมตองรอสญญาณคลอก

48

Page 13: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

5 9 2 ตวอยางไอซ D ฟลปฟลอป5.9.2 ตวอยางไอซ D ฟลปฟลอป

ป ( ) 74X74 ป ไ ใ ไ D ฟ ปจากรป (ก) 74X74 เปนไอซททแอล ภายในไอซระกอบดวย D ฟลปฟลอปแบบกระตนดวยขอบสญญาณขาขน จานวน 2 ตว มขาฟรเซต และขาเคลยร เปนแบบแอกทฟ LOW ทงสองตวทางานแยกกนโดยอสระเคลยร เปนแบบแอกทฟ LOW ทงสองตวทางานแยกกนโดยอสระ

49

ป ป ไ ใ ป ฟ ปจากรป (ข) 74X175 เปนไอซททแอล ภายในประกอบดวย D ฟลปฟลอปแบบกระตนขอบสญญาณขาขน จานวน 4 ตว เปนไอซ 16 ขา โดยใชสญญาณคลอกและขาเคลยรรวมกนทง 4 ตวขาเคลยรเปนแบบแอกทฟ LOW สญญาณคลอกและขาเคลยรรวมกนทง 4 ตวขาเคลยรเปนแบบแอกทฟ LOW คอเมอใหลอจก 0 ทขาเคลยรจะทาใหเอาทพต Q ทงหมดเปนลอจก 0 ทนท

50

5 10 J K ฟลปฟลอปแบบกระตนดวยขอบสญญาณ5.10 J – K ฟลปฟลอปแบบกระตนดวยขอบสญญาณ

J – K ฟลปฟลอป ไดถกพฒนาขนเพอแกไขขอบกพรองของ R - S ฟลปฟลอปกลาวคอ ในขณะทอนพต S = 1 และ R = 1 ฟลป

ฟลอปจะอยในสถานะทไมสามารถบอกไดวาเอาทพต Q จะมคาลอจกเปนอะไร หรอ Q และ มคาลอจกเหมอนกน ซงไมใชคณลกษณะของฟลปฟลอป แต J K ฟลปฟลอปจะทาใหฟลปฟลอปอยในสถานะท

Q

ฟลปฟลอป แต J – K ฟลปฟลอปจะทาใหฟลปฟลอปอยในสถานะทเรยกวา ทอกเกล (Toggle) คอเอาทพต Q และ จะมคาลอจกเปน 0 และ 1 สลบกนตลอดเวลา ทมสญญาณกระตนจากคลอก

Q

และ 1 สลบกนตลอดเวลา ทมสญญาณกระตนจากคลอก

51

รป (ก) แสดงลอจกไดอะแกรมของ J – K ฟลปฟลอปทปรบปรง

Q

จากR - S ฟลปฟลอป โดยการนาเอาทพต Q ตอยอนกลบมาเขาเกตควบคม (แอนดเกต) รวมกบอนพต K และเอาทพต ตอยอนกลบมาเขาแอนดเกต

ใ รวมกบอนพต J ดงนนจะทาใหมอนพตทจะตองพจารณา 3 อนพต คอ J, K และ Qn (Qn คอ สถานะปจจบนของ Q) และมเอาทพต Qn+1 (Qn+1 คอ เอาทพตทจะเกดขนจากผลของอนพตทง 3) และสามารถทจะเขยนเปนเอาทพตทจะเกดขนจากผลของอนพตทง 3) และสามารถทจะเขยนเปนตารางการทางานไดรป (ข)

52

Page 14: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

อธบายตามตารางการทางาน

1) เมอ J = 0, K = 0 ในตารางลาดบท 1 และ 2 จะทาใหเอาทพตของแอนดเกตทงสองตวมคาลอจกเปน “0” ไมวา Qn = 0 หรอ 1 กตาม

ดงนน R - S แลตซจะอยในสถานะเกบขอมลเดม (Qn)2) J 0 K 1 Q 0 (ใ 3) ใ 2) เมอ J = 0, K = 1 และ Qn = 0 (ในตารางลาดบท 3) ทาใหเอาทพตของแอนดเกตทงสองตวมคาลอจกเปน “0” ดงนน R - S แลตซ จะอยในสถานะเกบขอมลเดม (Q ) ทาให Q = 0 แตเมอ Q = 1 (ในลาดบท สถานะเกบขอมลเดม (Qn) ทาให Qn+1 0 แตเมอ Qn 1 (ในลาดบท 4) ทาใหเอาทพตของแอนดเกตตวลางมคาลอจกเปน “1” และตวบนมคาลอจกเปน “0” R - S แลตซจะอยในสถานะรเซตทาให Qn = “0”

53

3) เมอ J = 1, K = 0 และ Qn = 0 (ลาดบท 5) ทาใหเอาทพตของแอนดเกตตวบนมคาลอจกเปน “1” ตวลางเปน “0” R - S แลตซจะอยในสถานะเซต ทาให Q “1”แตเมอ Q 1 (ในลาดบท 6) ทาใหเอาทพตแอนดเกตทงทาให Qn+1 = “1”แตเมอ Qn = 1 (ในลาดบท 6) ทาใหเอาทพตแอนดเกตทงสองตว มคาเปน “0” R - S แลตซจะอยในสถานะเกบขอมลเดม (Qn+1)4) เมอ J = 1, K = 1 และ Q = 0 (ลาดบท 7) ทาใหเอาทพตของแอนดเกต4) เมอ J 1, K 1 และ Qn 0 (ลาดบท 7) ทาใหเอาทพตของแอนดเกตตวบนมคาเปน “1” และตวลางมคาเปน “0” แลตซอยในสถานะเซต Qn+1 = “1” หรอมคาเทากบ Qn ทานองเดยวกนเมอ Qn = 1 (ลาดบท 8) กจะทาใหแลตซอยในสถานะรเซต Qn+1 = “0” หรอมคาเทากบ Qn

54

จากตารางการทางานของ J K ฟลปฟลอปทผานมา จะสามารถจากตารางการทางานของ J – K ฟลปฟลอปทผานมา จะสามารถเขยนเปนผงคานอจห และสมการลอจกไดดงรป (ก)

55

ป ป ป ฟ ปฟ ป โ จากรป (ข) สรปเปนตารางความจรง J – K ฟลปฟลอป โดยพสจนจากสมการในรป (ก) ไดดงน

56

Page 15: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

ตวอยาง จงเขยนลอจกไดอะแกรมของ J – K ฟลปฟลอปแบบกระตนดวยขอบสญญาณขาลง มขาพรเซต และขาเคลยร ททางานแบบแอกทฟ HIGH

วธทา รป (ก) แสดงลอจกไดอะแกรมของ J – K ฟลปฟลอปทมขาพรเซตและขาเคลยร โดยใชออรเกต 2 อนพต ตอเขากบอนพตของ R - S แลตซ เมอใหลอจก 1 ทขา PRE และลอจก 0 ทขา CLR จะทาใหแลตซถกเซต

ใสงผลให Q มคาลอจกเปน 1 ทานองเดยวกน ถา CLR = 1 และ PRE = 0 แลตซจะถกรเซต Q จะมลอจกเปน 0 โดยไมสนใจอนพต J, K และ CLK

57

ตวอยาง จงเขยนไดอะแกรมเวลาของอนพตและเอาทพต ของ J K ฟลปฟลอป ตวอยาง จงเขยนไดอะแกรมเวลาของอนพตและเอาทพต ของ J – K ฟลปฟลอป แบบกระตนดวยขอบสญญาณขาขนวธทา

58

จากไดอะแกรมเวลา ทตาแหนง t t t t t t และ t เปนตาแหนงทฟจากไดอะแกรมเวลา ทตาแหนง t0, t1, t2, t3, t4, t5 และ t6 เปนตาแหนงทฟลปฟลอปจะเปลยนสถานะ อธบายไดดงน1) ชวงเวลาของสญญาณคลอกจาก t0 ถง t1 เปนชวงคาเรมตน J, K และ Q ) ญญ 0 1 , Qมคาลอจกเปน 02) ท t1 : J = 1, K = 0 ฟลปฟลอปจะเปลยนสถานะเปน เซต ทาให Q = 13) ท t2 และ t6 : J = 0, K = 0 ฟลปฟลอปจะอยในสถานะเกบขอมล หรอโฮลด ทาให Q มคาลอจกเหมอนเดม คอ เหมอนคากอนหนานน) ฟ ปฟ ป ป ป ใ 4) ท t3 และ t5 : J = 0, K = 1 ฟลปฟลอปจะเปลยนสถานะเปน รเซต ทาให

Q = 05) ท t : J = 1 K = 1 ฟลปฟลอปจะเปลยนสถานะเปน Toggle ทาใหคา 5) ท t4 : J 1, K 1 ฟลปฟลอปจะเปลยนสถานะเปน Toggle ทาใหคา Q เปลยนจากคา 0 กลบมาเปน 1

59

5 11 J K มาสเตอร สเลปฟลปฟลอป5.11 J – K มาสเตอร - สเลปฟลปฟลอปเครองมอเครองใชดจตอลบางชนดทตองการความเทยงตรง เชน

วงจรควบคมเครองมอวด และงานทตองการความเรวสง ฟลปฟลอปทใชในวงจรดงกลาว ตองทางานไดแมนยาเนองจากภายในตวไอซจะประกอบไป

ไ โ ไดวยทรานซสเตอร และไดโอด สญญาณอนพตทปอนเขาไปจะตองเสยเวลาเลกนอย (nanosecond) กอนทจะมผลออกทางเอาทพต ซงเวลาทเสยไปสวนนเรยกวาเวลาหนวงภายในตวไอซ (t ) เนองจาก J K ฟลปฟลอปสวนนเรยกวาเวลาหนวงภายในตวไอซ (tp) เนองจาก J – K ฟลปฟลอปจะตองปอนกลบจากเอาทพต Q ไปอนพต K และ ไป J จงทาใหคาทางอนพตเกดการเปลยนแปลงขณะทอยในชวงเวลาขอบขาขนหรอขอบขาลง

Q

ของคลอก เหตการณดงกลาวจะทาใหเอาทพต Q แกวงไปมาระหวาง 0 กบ 1 ซงปรากฏการณนเกดจากการวงไลกนของสญญาณ

60

Page 16: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

การแกปญหาดงกลาวจะใช J – K ฟลปฟลอป 2 ตว มาตอกนการแกปญหาดงกลาวจะใช J K ฟลปฟลอป 2 ตว มาตอกนเรยกวา มาสเตอรสเลฟฟลปฟลอป (Master - slave Flip – flop) โดยตวแรกเรยกวา มาสเตอร และตวท 2 เรยกวา สเลฟตอเชอมกนโดยเอาทพต Q ของมาสเตอรตอกบอนพต J ของสเลฟ และเอาทพต ของมาสเตอรตอกบอนพต K ของสเลฟ สญญาณควบคมหรอสญญาณคลอกจะทางานตรง โ ใ

Q

ขามกน โดยมอนเวอรเตอรตอเพอกลบสญญาณ ดงแสดงในรป (ก)

61

พจารณาจากรป (ก) สามารถอธบายการทางาน ดงนพจารณาจากรป (ก) สามารถอธบายการทางาน ดงน1) มาสเตอร-ฟลปฟลอป เปนแบบกระตนดวยขอบสญญาณขาขน และตวสเลฟ-ฟลปฟลอป ทางานชวงขอบขาลงของสญญาณคลอก เพราะสญญาณคลอกถกกลบคาดวยอนเวอรเตอร ถาให J = 1 และ K = 0 ตวมาสเตอร-ฟลปฟลอปจะอยในสถานะ เซต (Q = 1) เมอมคลอกขอบขาขน ใ เขามา Q จะสงคาลอจก 1 ใหอนพต J ของสเลฟ-ฟลปฟลอป และ

เมอคลอกมขอบขาลง (ตรงกบขอบขาขนของ พจารณารป (ข)) สเลฟจะอยในสถานะ เซต (Q = 1) เชนกน

CLKสเลฟจะอยในสถานะ เซต (Q = 1) เชนกน2) ถา J = 0 และ K = 1 มาสเตอรจะอยในสถานะรเซต ของมาสเตอรจะมลอจกเปน 1 และสงคาลอจกใหอนพต K ของสเลฟ ดงนน เมอมขอบ

Q

สญญาณคลอกขาลงเขามา สเลฟจะมสถานะเปน รเซต เชนกน

62

3) ถา J และ K มลอจกเปน 1 ทงค มาสเตอรจะอยในสถานะ T l ขณะ3) ถา J และ K มลอจกเปน 1 ทงค มาสเตอรจะอยในสถานะ Toggle ขณะทคลอกมขอบขาขนเขามา และสเลฟกจะอยในสถานะ Toggle เมอคลอกมขอบขาลงเขามาเชนเดยวกนขอบขาลงเขามาเชนเดยวกน4) ถา J และ K มลอจกเปน 0 ทงค ฟลปฟลอปกจะอยในสถานะเกบขอมล

จะเหนวามาสเตอรและสเลฟจะทางานสมพนธกน นนคอเมอมาสเตอรมสถานะเซต สเลฟกจะมสถานะเปนเซต และถามาสเตอรม

สถานะเปนรเซต สเลฟกจะมสถานะรเซต ดวยเสมอการเกดสถานะอนๆ กเชนเดยวกน การทางานของมาสเตอรสเลฟฟลปฟลอปจะเปนการทางานซ ใ ไ ซอนกนสองครง ทาใหไดลอจกทางเอาทพตถกตองและแมนยามากขน

63 64 ตาแหนงขาและสญลกษณของไอซ J – K ฟลปฟลอป

Page 17: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

ไอซ J K ฟลปฟลอปสวนใหญเปนชนดมาสเตอรสเลฟ ฟลปไอซ J-K ฟลปฟลอปสวนใหญเปนชนดมาสเตอรสเลฟ-ฟลปฟลอป ซงมทงแบบกระตนดวยระดบแรงดน หรอกระตนดวยพลซ และกระตนดวยขอบสญญาณ ตวอยางเชน 7473, 7476, 7478 และ 74107 กร ตนดวยขอบสญญาณ ตวอยางเชน 7473, 7476, 7478 แล 74107 เปนแบบกระตนดวยระดบแรงดนบวก สวนรนทปรบปรงใหมจะเปนแบบกระตนดวยขอบสญญาณขาลง ไดแก 74LS73A, 74LS76A, 74LS78A และ 74LS107A

65

5 12 T ฟลปฟลอป5.12 T ฟลปฟลอป

T ฟลปฟลอป หรอ Toggle Flip Flop เปนฟลปฟลอปทเปลยนT ฟลปฟลอป หรอ Toggle Flip – Flop เปนฟลปฟลอปทเปลยนสถานะทกครงทมสญญาณคลอกเขามา ปกตจะมอนพตเดยวคอ T การทางานจะใชสถานะของฟลปฟลอปเพยง 2 สถานะคอ เซต ทาใหเอาทพต Q = 1 และสถานะรเซตทาให Q = 0 ซงสถานะทงสองจะสลบกนเกดตลอดเวลาทสญญาณคลอกเขามา T ฟลปฟลอปอาจมอนพตอนาเบล (EN) สาหรบควบคมการทางาน ปกตถา EN = 1 ฟลปฟลอปจะทางานตามฟงกชนปกต แตถา EN = 0 ฟลปฟลอปจะหยดทางานหรอโฮลด

66

(ก) สญลกษณ T ฟลปฟลอป (ข) สญลกษณ T ฟลปฟลอปทมขาควบคม EN (ค) และ (ง) ดดแปลง D ฟลปฟลอปใหเปน T ฟลปฟลอป

67

ควบคม EN (ค) และ (ง) ดดแปลง D ฟลปฟลอปใหเปน T ฟลปฟลอป

ตวอยาง จงดดแปลง R-S ฟลปฟลอป และ J-K ฟลปฟลอปใหเปน T ฟลปฟลอปตวอยาง จงดดแปลง R S ฟลปฟลอป และ J K ฟลปฟลอปใหเปน T ฟลปฟลอปวธทา จะตองดดแปลงวงจรใหฟลปฟลอปทงสองชนดใหอยในสถานะ Toggle หรอสถานะเซต และรเซต สลบกนตลอดเวลาทมคลอกเขามาจากรป (ก) จะได S = Q และ R = Q ดงนน S และ R จะมคาตางกนอยตลอดเวลา ตามสถานะของ Q และ Q ในการทางานจะกาหนดให EN = 1 ตลอดเวลา

ใ 1) เมอเอาทพตปจจบน (Qn) มคาลอจกเปน 1 ทาให S = 0 และ R = 1 เปนผลทาใหเอาทพตทจะเกดขนตอไป (Qn+1) มสถานะเปน รเซต (Qn+1 = 0)

68(ก) ดดแปลง R-S ฟลปฟลอปเปน T ฟลปฟลอป

Page 18: บทท่ี 5 ฟลิปฟลอป (Flip-Flops)¸šทที่ 5 ฟลิปฟลอป... · หรือฟลิปฟลอป (Flip-flop) ซึ่งเป็นอุปกรณ

2) ถา Q = 0 ทาให S = 1 และ R = 0 เปนผลทาใหเอาทพตทเกดขนตอไป2) ถา Qn = 0 ทาให S = 1 และ R = 0 เปนผลทาใหเอาทพตทเกดขนตอไปมสถานะเปน เซต (Qn+1 = 1) นนคอเมอมคลอกขอบขาขนเขามาแตละครงจะทาใหฟลปฟลอปเปลยนสถานะอย 2 สถานะคอ เซตและรเซต สลบกนตลอดเวลา

จากรป (ข) กาหนดให EN = 1 เมอคลอกขอบขาขนเขามา จะทาใหฟลปฟลอปเปลยนสถานะเปนเซตและรเซต สลบกนไปตลอดเวลาตราบท EN ยงมลอจกเปน 1 เพราะวา J และ K มลอจกเปน 1 ทงค จะทาให J-K ฟ ปฟ ป ใฟลปฟลอปอยในสถานะ Toggle

69 (ข)

ตวอยาง จงเขยนรปคลน อนพต T และเอาทพต Q ของ T ฟลปฟลอปท ตอกน 2 ตว ในiรป (ก) โดยกาหนดให EN เปน HIGHวธทา

(ก) ( )

(ข)

70

จากรป แสดงไดอะแกรมเวลา รปคลน T กคอ สญญาณคลอกทจากรป แสดงไดอะแกรมเวลา รปคลน T กคอ สญญาณคลอกทมความถคงทปอนเขาขา CLK ของ ฟลปฟลอปตวแรกจะทาใหเอาทพต QA เปลยนสถานะทกครง ทมขอบขาขนของสญญาณ T ทาใหความกวางA ของรปคลน QA กวางเปน 2 เทา ของสญญาณ T

สญญาณจากเอาทพต QA ถกปอนเขามา CLK ของฟลปฟลอปตวท 2 ทาให QB เปลยนสถานะทกครงทมขอบสญญาณขาขนของ QAทาใหรปคลน Q มความกวางเปน 4 เทาของความถสญญาณ T ในทาใหรปคลน QB มความกวางเปน 4 เทาของความถสญญาณ T ในทานองเดยวกนถาตอฟลปฟลอปเพมขนอกเปน 3 หรอ 4 ตว กจะทาใหรปคลนของเอาทพตกวางขนเปน 8 หรอ 16 เทา ตามลาดบ วงจร ดงกลาวนเรยกวา วงจรหารความถ มนจะสามารถหารความถดวย 2n ถา n คอ จานวนฟลปฟลอปทตออยในวงจร

71