Phase Imaging Results of Phase Defect Using the Lensless...

Post on 04-Jul-2020

3 views 0 download

Transcript of Phase Imaging Results of Phase Defect Using the Lensless...

Phase Imaging Results of Phase DefectUsing the Lensless EUV Microscope

Tetsuo Harada1, Hiraku Hashimoto1, Tsuyoshi Amano2,Hiroo Kinoshita1, and Takeo Watanabe1

1. University of Hyogo, 2. EIDEC

Background: PHASE at MASK

Coherent EUV Scatterometry Microscope (CSM)

Micro-CSM

Future Plan Summary

Acknowledgement: This work was supported by NEDO.

Glass

3D Structure of MaskAerial image of pattern and defect strongly depends on its PHASE at the EUV exposure tool.The 3D structure of the EUV mask modulates PHASE.

PitParticle

Mo/SiMultilayer(t280 nm)

Cross Section of EUV mask

Absorber (t50 ~ 70 nm)

EUV specific key issue. At-wavelength phase imaging is essential.

100 nm 100 nm

DesignW 30 nm, D 1 nm

AFM on Quartz AFM on ML Qz. vs ML.

40% smoothed

Attenuated Phase shift mask

Not Zero

TaN material is not perfect absorber, and phase material.Mask has 3D structure (shadowing)

Amplitude > 10%

Mask absorber pattern causes EUV phase changing.

ReflectivityPhaseTaBN Absorbers

Kamo, et. al., 2007

Absorber PHASE

PHASE Defect

Lithography impact is depended on the phase structure.However, the surface profile is not equal to the phase distribution.

NewSUBARU Synchrotron Facility

Reflectometor

Interference Lithography & Resist Outgas evaluation system

Resist

Resist & Mask

Mask

Three Beamline for EUVL in NewSUBARU

BL-3

BL-9BL-10

EUV & Soft X-ray

EUV CCD Camera

FocusingMo/Simirror Coherent

EUV

Pinholeφ 5 μm

BeamShutterEUV

Mask

• EUV ACTINIC observation • LENSLESS system (Without Optical aberration)• Real space (INTENSITY & PHASE) images are reconstructed with calculations• COHERENT EUV light is required.

FOV φ5 μm

Very Simple NA: 0.14

• TARGET size of defect characterization: < W50 nm• φ140 nm focused beam by Off-Axis Fresnel Zone Plate (FZP)• At-wavelength (λ13.5 nm), intensity and “PHASE” Imaging

Off-Axis Fresnel Zone Plate

NA: 0.27

0.080.16

NA: 0.244 μm-1 4 μm-1

0.0

1.0

0.5

Inte

nsity

(arb

itrar

y un

it)

Without Defect W60 nm, D 3 nm

Micro-CSM images without and with a defect.(CCD camera images)

Reflection(FZP shape)

Scatteringon a defect

measurement points 10 × 10 = 100 pointsExposure time 5 s at each position. (total 10 min)

[1] Tetsuo Harada, Masato Nakasuji, Yutaka Nagata, Takeo Watanabe, Hiroo Kinoshita, “Phase Imaging of EUV Masks using a LenslessEUV Microscope,” SPIE Proc., 8701, 870119, 2013.[2] Tetsuo Harada, Masato Nakasuji, Yutaka Nagata, Takeo Watanabe, Hiroo Kinoshita, “Phase Imaging of Extreme-Ultraviolet Mask using Coherent Extreme-Ultraviolet Scatterometry Microscope”, Jpn. J. Appl. Phys. 52, 06GB02, 2013.[3] Tetsuo Harada, Masato Nakasuji, Masaki Tada, Yutaka Nagata, Takeo Watanabe, and Hiroo Kinoshita, Critical Dimension Measurement of an Extreme-Ultraviolet Mask Utilizing Coherent Extreme-Ultraviolet Scatterometry Microscope at NewSUBARU, Jpn. J. Appl. Phys. 50, 06GB03, 2011.

200 nm

(d) W 100 nm x 300 nm

200 nm

(a) Cross

(e) W 60 nm x 60 nm

(b) Elbow (c) W 200 nm x 200 nm

200 nm

200 nm

200 nm

Design depth: 3 nmResolution

35 nm (X), 28 nm (Y)

Cross

200 nm x 200 nm

200 nm

Phase (rad)

-2.0 +0.5

-2.0 +1.6

Phase (rad)

5.0 nm

5.0 nm

AFM 4.6 nm

AFM 4.6 nm

E

Pump lasersub-TW class, femto-second

laserNIR:800 nm

Lensless Microscope(CSM, CDI)

High Harmonic

GenerationEUV

Soft X-ray(Fully Coherent)

All components is on an optical base. (3 x 1.5 m2)

Pump

Lensless Microscope+

Commercial available pump laser (Spitfire Pro, Spectra Physics etc.)

EUV to soft X-ray generation (2 – 50 nm)Fully CoherentBest for lensless microscope

59th harmonics(13.5 nm)

High-harmonic-generation source

Very simple without objectivePhase and intensity contrastCoherent source requirementa few nm resolution was performed

in 1.8 nm wavelength with SR.

CSM optical layout

order diffractions

NA: 0.14Cross line 128 nm L/S pattern Phase defect

0th +1st-1storder diffractions

LogNA: 0.14 LinearLinear

1μmSquare

W2 μm

Diff

ract

ion

imag

eFo

urie

r spa

ce (I

)Pa

ttern

imag

eR

eal s

pace

(I +

φ)

4 μm-1 4 μm-1 4 μm-1

CSM captured phase images that modulated by the 3D structure. The phase value is quantitative because CSM records interference.

Shadowingmodulates phase!

Absorber phase

Observation result of EUV mask

Observation result of Programmed Phase Defect

Photograph of the Micro-CSM Chamber

Schematic layout of the Micro-CSM

Measurement Example

Example observation of the elbow pattern with the ptychography algorithm. (a) 10 × 10 sampling positions are shown as red solid circles on the gray solid elbow pattern. (b) Diffraction image of each sampling point.

[4] Tetsuo Harada, Hiraku Hashimoto, Yusuke Tanaka, Tsuyoshi Amano, Takeo Watanabe, Hiroo Kinoshita, “Quantitative phase imaging of a small phase structure on an extreme-ultraviolet mask by coherent diffraction imaging,” Appl. Phys. Express 8, 055202 (2015).[5] Yusuke Tanaka, Tetsuo Harada, Tsuyoshi Amano, Youichi Usui, Takeo Watanabe, and Hiroo Kinoshita, “Characterization of small phase defects using a micro-coherent extreme ultraviolet scatterometry microscope,” Jpn. J. Appl. Phys. 53, 06JC03, 2014.

[6] Takahiro Fujino, Yusuke Tanaka, Tetsuo Harada, Yutaka Nagata, Takeo Watanabe, and Hiroo Kinoshita, “Extreme ultraviolet mask observations using a coherent extreme ultraviolet scatterometry microscope with a high-harmonic-generation source,” Jpn. J. Appl. Phys. 54, 06FC01 (2015).[7] Yutaka Nagata, Tetsuo Harada, Masato Nakasuji, Hiroo Kinoshita, and Katsumi Midorikawa, “Development of coherent EUV scatterometry microscope with high-order harmonics for EUV mask inspection,” SPIE Proc., 8849, 884914, 2013.

200 nm

Edgemissing!

Edgemissing!

Reconstructed Phase

Application: Phase-shift mask

To observe pattern in phase contrast, we have developed CSM, which is lensless microscope using COHERENT EUV light.

Real space image in intensity and phase contrast is reconstructed with iterative calculation of ptychography.

We successfully observed quantitative phase structure of absorber pattern, phase modulation due to shadowing effect, and phase distribution of large phase defect.

Since the CSM system is very simple and extendable with improvement of coherent EUV source and detector performance, CSM system is very suitable for REVIEW microscope of EUV mask.

To observe small phase defect in phase contract, we have developed micro-CSM, which has EUV microprobe of φ140 nm.

Programmed phase defects image were reconstructed in intensity and phase contrast.

High spatial resolution of 28 nm was achieved.The phase value of programmed phase defect was larger than that

estimated by the AFM result that measured surface structure.

High harmonic generation (HHG) EUV source is fully coherent laboratory source, which is best laboratory source for CSM and coherent diffraction imaging. (also for STXM: Scanning transmission soft x-ray microscope)

We have developed the CSM with HHG.

Lensless microscope with HHG is quite attractive not only for EUV mask microscope but also for SOFT X-RAY MICROSCOPE. We believe this combination open up the new x-ray microscope history.

Reflection phase is key parameter in EUV mask development.

We have developed the CSM and the micro-CSM to observe absorber pattern and phase defect in phase contrast.

The micro-CSM records diffraction from a phase defect, which is illuminated with focused coherent EUV light of 140-nm diameter.

We observed phase defects with 30-nm spatial resolution in intensity and phase contrast. The phase image will be very helpful to estimate the printability of the defect on the exposure tool.

In future, we will developed the lensless microscope with high harmonic generation soft x-ray source.

We have developed many EUV tools in NewSUBARU synchrotron light facility. The key is “EUV at-wavelength” evaluation of MASK and RESIST.

EUV mask has 3D structure of absorber and multilayer coatings.

The reflection phase is shifted by the absorber, and the 3D structure modulates the phase.

In particular for the phase defect, printability of the defect is strongly depends on the phase distribution.

Phase imaging is strongly required.

in phase and intensity contrast

reconstructed phase image