Report - EDA cloud 使用說明 - cic.org.tedacloud/EDACloud_v4.pdf · 9 “Session" 請填入您自訂的名稱,"Host" 請填入CIC 提供給您的連線名稱, 這裡舉例: edac.cic.org.tw

Please pass captcha verification before submit form