ul. Wólczańska 221/223, budynek B18www.dmcs.p.lodz.pl
Katedra Mikroelektroniki i Technik Informatycznych
Specjalności studiówna kierunku Elektronika i telekomunikacja
rok akademicki 2009/2010
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 2
Pracownicy
3 profesorów 24 adiunktów 1 wykładowca 4 asystentów ponad 30 doktorantów
Kierownik Katedry:prof. dr hab. inż. Andrzej Napieralski
Spotkaliśmy się już z Państwem na zajęciach z przedmiotów: Metody numeryczne Przyrządy półprzewodnikowe mocy Komputerowe projektowanie układów elektronicznych Podstawy mikroelektroniki
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 3
Kierunki działalności naukowej
• Układyscalone VLSI
• Mikrostruktury krzemowe
• Systemy fotowoltaiczne
• Układy mikroprocesorowe i reprogramowalne
• Inżynieria oprogramowania
• Języki opisu sprzętu
• Modelowanie i symulacja
• Impulsowe układy przekształtnikowe
MIKROELEKTRONIKA
INFORMATYKA
ELEKTRONIKA PRZEMYSŁOWA
• Termika i termografia
• Technologie internetowe
• Systemy cyfrowe
• Komputery i sieci przemysłowe
• Analiza obrazów
• Systemybiometryczne
• Kompatybilność elektromagnetyczna
• Języki programowania• Technika i metody
pomiarowe
• Programowanie rozproszone
• Układy z przełączanymi pojemnościami
• Nowe materiałypółprzewodnikowe
• Oprogramowanie dlaurządzeń przenośnych
• Architektura komputerów
• Konstrukcja kompilatorów
• Sztuczna inteligencja
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 4
Programy badawcze
Projekty międzynarodowe CARE (6PR) – Coordinated Accelerator Research in Europe PERPLEXUS (6PR) – Pervasive Computing Framework
for Modeling Complex Virtually-Unbounded Systems EuCARD (7PR) – European Coordination for Accelerator
Research and Development 19 projektów zrealizowanych – programy UE, NATO i in.
Granty krajowe KBN/MNiI/MEiN/MNiSW 5 w trakcie realizacji 1 zatwierdzony 44 ukończone
Programy dla mikroelektroniki EuroChip, EuroPractice projektowanie i produkcja małych serii
układów scalonych
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 5
CARE i EuCARD – ośrodek DESY
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 6
Detektor ZEUS w tunelu HERA
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 7
CARE – tematy badawcze Katedry
Oparty na FPGA podsystem dla układu niskopoziomowego sterowania akceleratora
Precyzyjny system strojenia wnęk rezonansowych oparty na elementach piezoelektrycznych i magnetostrykcyjnych
Maszyny stanowe do sterowania akceleratorów VUV-FEL, X-FEL i ILC
Wpływ promieniowania na elementy elektroniczne – programowe i sprzętowe metody minimalizacji
Rozproszona baza danych ustawień systemu
Detekcja fazy wiązki elektronów
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 8
Inne bieżące projekty naukowe
IrisStation – system precyzyjnej akwizycji obrazów oka w czasie rzeczywistym nowa, unikalna metoda
rozpoznawania osób na podstawie wzoru tęczówki
generacja kryptograficznego klucza biometrycznego
Wieloprocesorowy superkomputer do symulacji układów chemicznych (wspólnie z Katedrą Fizyki Molekularnej PŁ) 100×100 procesorów nowatorski algorytm badania złożonych układów
w rzeczywistej skali wielkości i czasu
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 9
Nagrody
IrisStation – precyzyjny system akwizycji obrazu oka do zastosowań biometrycznych Eureka! 2007 – złoty medal z wyróżnieniem
Kompilator języka C generujący programy odporne na awarie sprzętowe Eureka! 2007 – złoty medal
Wyróżnienia lokalne Prezydenta Miasta Łodzi (Łódzkie Eureka) Nagroda Gospodarcza Wojewody Łódzkiego
Wyróżnienia prac doktorskich dr inż. Dariusz Makowski – nagroda Ministra
Nauki i Szkolnictwa Wyższego, 2007 dr inż. Piotr Pietrzak – wyróżnienie
w konkursie ABB, 2008
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 10
Współpraca z przemysłem
Freescale Semiconductor Inc. (d. Motorola)Laboratorium pomiarów i symulacji termicznych
Kinectrics Inc. (d. Ontario Hydro Technologies)Analiza termiczna przewodów energetycznych
CFD Research CorporationOprogramowanie do symulacji wielopoziomowych
Tritem Microsystems GmbHProjekty komercyjnych układów scalonych dla Atmel Corporation
Philips Lighting Polska SAElektronika w nowoczesnych źródłach światła
ComarchInformatyczne systemy wspomagania decyzji
TelecaSystemy mikroprocesorowe
Symbian AcademyNauczanie – programowanie dla platform mobilnych
Przedsiębiorstwa lokalne:Elpol, Elkomtech, Partnertech, SochorElektronika, informatyka, termografia
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 11
Najważniejsi partnerzy zagraniczni
Deutsche Elektronen-Synchrotron / DESYHamburg, Niemcy
Universiteit GentGandawa, Belgia
Universitat Politècnica de CatalunyaBarcelona, Hiszpania
Laboratoire d’Analyse et d’Architecture des SystèmesTuluza, Francja
Ecole Nationale Supérieure des TélécommunicationsParyż, Francja
Valtion teknillinen tutkimuskeskus / VTTEspoo, Finlandia
Natsional’nyi Universytet L’vivs’ka PolitekhnikaLwów, Ukraina
University of TorontoToronto, Kanada
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 12
Wyjazdy zagraniczne
Program Erasmus 13 uczelni w 7 krajach Średnio 15 wyjazdów
studenckich rocznie Realizacja prac dyplomowych
Ośrodek DESY w Hamburgu Udział w realizacji zadań
w międzynarodowych projektach naukowych
Prace dyplomowe
Wakacyjne praktyki wymienne Politechnika Lwowska –
corocznie 10 osób z każdej z uczelni
ToulouseBarcelona
GentBournemouth
Lappeenranta
Sevilla
Hasselt
Solothurn
Horsens
ParisNantes Львів
Hamburg
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 13
Czym dysponujemy 2 nowoczesne aule wykładowe, każda na 150 osób 3 nowoczesne sale wykładowe, każda na 50 osób 5 pracowni komputerowych (komputery klasy PC) pracownia projektowania układów scalonych wyposażona w 7
stacji roboczych Sun oraz silne jednostki obliczeniowe PC laboratorium układów programowalnych i systemów
mikroprocesorowych oraz sterowników i sieci przemysłowych laboratorium systemów wbudowanych laboratorium projektowania i konstrukcji układów
elektronicznych mocy stanowisko konstrukcyjne obwodów
drukowanych pracownia dyplomowa z frezarką do
płytek drukowanych 5 pracowni naukowych pracownia studenckich kół naukowych biblioteka naukowa
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 14
Nowa siedziba Katedry
Bud. B18 – ul. Wólczańska 221/223 3 424 m2 powierzchni
Adaptacja budynku jest współfinansowana z Europejskiego Funduszu Rozwoju Regionalnego i środków Ministerstwa Nauki i Szkolnictwa Wyższego
2005
2006
2008
Katedra Mikroelektroniki i Technik Informatycznych
Specjalność
Układy elektronikiprzemysłowej
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 16
prof. Andrzej Napieralski dr Tomasz Poźniak dr Sławomir Bek mgr Zbigniew Kulesza
dr Piotr Pietrzak dr Marek Kamiński mgr Łukasz Starzak mgr Konrad Przygoda
dr Witold Marańda dr Maciej Piotrowicz mgr Maciej Makowski mgr Bartosz Pękosławski
Osoby związane ze specjalnością
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 17
Zagadnienia – rynek wiedzy
Przyrządy dyskretne i układy scalone
Przekształtniki elektroniczne
Bloki sterowania – elektronika analogowa i systemy mikroprocesorowe
Akwizycja, transmisja i przetwarzanie danych
Projektowanie, konstrukcja i uruchamianie układów – narzędzia komputerowe
Sterowanie i nadzór nad procesami przemysłowymi
Kompatybilność elektromagnetyczna
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 18
Zastosowania – rynek pracy
Systemy przekształcania energii elektrycznej – zasilacze, baterie słoneczne, podtrzymanie zasilania…
Przemysł samochodowy Przemysł elektroenergetyczny
i elektromechaniczny – urządzenia produkcyjne, sprzęt AGD…
Napęd elektryczny – bramy, windy, tramwaje, samochody…
Oświetlenie i elektrotermia – wysoka sprawność i kompatybilność elektromagnetyczna
Linie produkcyjne w każdej gałęzi przemysłu
Laboratoria naukowe
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 19
Przyrządy półprzewodnikowe
Budowa i działanieBudowa i działanie Poprawne stosowaniePoprawne stosowanie
PomiaryPomiary Modelowanie zjawisk Modelowanie zjawisk
elektrycznych i cieplnychelektrycznych i cieplnychNowoczesne przyrządy
półprzewodnikowe mocy
E (–)
P Baza P
C (+)
N+ Em iter
N+
P
E G (+)
J1
J3
P+ P+
P+ Kolektor
N- Baza N
IPNP
IMOS J2
N Kanał + + + + + +
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 20
Układy scalone mocy
Układy sterowania i zasilania, sterowniki PWMUkłady sterowania i zasilania, sterowniki PWM Działanie obwodów monitorowania i zabezpieczeńDziałanie obwodów monitorowania i zabezpieczeń Metodologia analizy i projektowaniaMetodologia analizy i projektowania Realizacja praktycznych układów Realizacja praktycznych układów
hybrydowychhybrydowych
Układy smart power
Projektowanie układówsmart power
Zabezpie-czenie ESD
Czujnik napięcia
Logika sterująca
Źródło napięciowe
Zabezpieczenie przepięciowe
Zabezpieczenie bramki
Pompa ładunkowaPrzesuwnik poziomu
Czujnik temperatury
Zasilanie
Obciążenie
Sterowanie
Ograniczenie prądowe
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 21
Przekształtniki elektroniczne
Podstawowe Podstawowe topologietopologie
Techniki Techniki sterowaniasterowania
Zadanie
Pomiar
KorektorModulator
Sterownik
Zasilanie Odbiornikηdh
λ
Gvg
Rout
Projektowanie +Projektowanie +weryfikacja symulacyjnaweryfikacja symulacyjna
Prototypowanie + Prototypowanie + weryfikacja doświadczalnaweryfikacja doświadczalna
Układy impulsowe mocySterowanie przekształtników
elektronicznych
0,0 0,2 0,4 0,6 0,8 1,0 1,222,0
22,4
22,8
23,2
23,6
24,0
Uwe=10V Uwe=12V Uwe=14V
Obciążenie [A]
Nap
ięci
e w
yjśc
iow
e [V
]
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 22
Systemy sterowania
Systemy sterowania w elektronice przemysłowej
MikroprocesoryMikroprocesoryi mikrokontroleryi mikrokontrolery
Układy scaloneUkłady scalone(programowalne)(programowalne)
SterownikiSterownikiprzemysłoweprzemysłowe
SieciSieciprzemysłoweprzemysłowe
i pakiety SCADAi pakiety SCADA
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 23
Programowanie układów cyfrowych
Mikroprocesory i mikrokontrolery
AsembleryJęzyk C
Układy programowalne
VerilogVHDL
Sterowniki przemysłowe
IL, LDSTL, FDB, ST
Systemy sterowania w elektronice przemysłowej
Modelowanie i synteza układów scalonych
Kod źródłowyKod źródłowySymulacjaSymulacja
TestowanieTestowanie
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 24
Projektowanie i realizacja układów
MontażMontaż
ProjektProjekt
UruchomienieUruchomienieWprowadzenie do programów CAD
Programy CAD w praktyce inżynierskiej
Pracownia problemowa
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 25
Tegoroczne prace dyplomowe
Bezprzerwowy zasilacz komputera PC Wizualizacja procesów przemysłowych z
wykorzystaniem pakietów SCADA i Internetu System do monitoringu magistrali CAN Przetwornica impulsowa dla autonomicznego
systemu fotowoltaicznego Małogabarytowy przekształtnik napięcia
dla lampy elektroluminescencyjnej zasilanej z sieci 230 V projekt dla Regional Competence Centre firmy Philips Lighting
Zastosowanie piezoelektryków do pozyskania energii elektrycznej dla zasilania modułów pomiarowych krajowy grant badawczy
Impulsowy wzmacniacz mocy do sterowania piezoelektrycznych elementów wykonawczych część układu sterowania akceleratorem dla ośrodka fizyki cząstek elementarnych DESY w Hamburgu
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 26
Korzyści dla absolwenta
Znajomość współczesnych rozwiązań przekształtników elektronicznych działania i praktycznych zastosowań przyrządów
półprzewodnikowych i układów scalonych mocy języków programowania i opisu układów cyfrowych
Umiejętność programowania
mikrokontrolerów i sterowników przemysłowych
projektowania i konstrukcji układów od schematu do działającego urządzenia
korzystania ze sprzętu pomiarowego i narzędzi komputerowych
samodzielnego rozwiązywaniaproblemów inżynierskich
Specjalność
Systemy mikroprocesorowe
i układy programowalne
Katedra Mikroelektroniki i Technik Informatycznych
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 28
oprogramowaniesprzęt
Obszar zagadnień
układyreprogramowalne
mikroprocesory
pamięci, układy peryferyjne
języki programowania
języki opisu sprzętu
mikrokontrolery
procesory PC
procesory sygnałowe
PAL
CPLD
FPGA
systemy operacyjne
niskiego poziomu
wysokiego poziomu
VHDL
Verilog
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 29
Systemy mikroprocesorowe – liczby
W 2004 roku wyprodukowano 6,8 miliarda mikrokontrolerów, prognozowany wzrost produkcji w latach 2004-2009 to 10,3%
Wartość rynku mikrokontrolerów rośnie w tempie 8% rocznie, mikrokontrolerów 32-bitowych – 16%
Wartość rynku mikrokontrolerów 8-bitowych w 2004 była o 66% większa niż 32-bitowych
W przeciętnym samochodzie jest około 50 mikrokontrolerów, między 2006 a 2010 wartość rynku mikrokontrolerów dla motoryzacji wzrośnie o 63% (do 9,5 miliarda dolarów)
Wartość rynku układów DSP dla telefonów komórkowych w 2011 osiągnie 12 miliardów dolarów
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 30
Systemy mikroprocesorowe
Zagadnienia: obsługa urządzeń
peryferyjnych komunikacja
sieciowa systemy czasu
rzeczywistego programowanie
nisko- i wysokopoziomowe
projektowanie systemów
Aplikacje: zbieranie
i przetwarzanie sygnałów
sterowanie systemy
urządzeń mobilnych - Symbian OS
urządzenia internetowe
elementy AI
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 31
Systemy mikroprocesorowe
Platformy sprzętowe: 8-bit (8051) 32-bit
(ARM 7 TDMI, Freescale 68331)
DSP (TI TMS-320C6713)
sterowniki przemysłowe (Siemens, PEP, Omron)
komputery PC
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 32
Systemy mikroprocesorowe
Platformy programowe: asemblery kompilatory: GCC dla C
i C++ (cross i natywne), Carbide.C++, MS Visual Studio .NET 2003, 2005, 2008
dedykowane środowiska uruchomieniowe i symulacyjne dla poszczególnych układów
systemy operacyjne: Linux, Symbian OS
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 33
Programowalne układy logiczne
Poznanie architektury i zasady działania różnych rodzajów układów programowalnych
Nauka projektowania własnych systemów opartych na układach programowalnych
Nauka języków HDL przeznaczonych do syntezy i modelowania układów cyfrowych
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 34
Programowalne układy logiczne
Xilinx Xilinx XC4003EXC4003E
+ XC3020A+ XC3020A
Xilinx Xilinx Spartan Spartan 2S200E2S200E
Xilinx Virtex IIXilinx Virtex II
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 35
Prace magisterskie
Sprzętowy odbiornik internetowych stacji radiowych
System pozycjonowania pojazdu z użyciem kamery wizyjnej
Algorytmy wykrywania kształtów w analizie obrazów termograficznych
Przenośny system akwizycji obrazu z wykorzystaniem kart pamięci micro SD
Miniaturowy oscyloskop cyfrowy z zastosowaniem procesora ARM
Implementacja sztucznych sieci neuronowych w mikrokontrolerach o małej mocy obliczeniowej
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 36
Prace magisterskie
Zastosowanie procesorów ARM w aplikacjach wbudowanych
Stacja pogodowa z przesyłaniem danych za pomocą sieci GSM
Zastosowanie systemu GPS do określania pozycji pojazdu inspekcyjnego
System sterowania robotem sprzątającym
Kamera internetowa z dostępem przez sieć Ethernet
Jądro systemu rozpoznawania pisma Braille’a
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 37
Korzyści dla absolwenta
Umiejętności Dogłębna znajomość
systemów mikroprocesorowych, zarówno od strony konstrukcji sprzętu, jak i oprogramowania
Szeroki wachlarz poznanych platform sprzętowych i programowych
Znajomość i umiejętność korzystania z układów peryferyjnych
Umiejętność stosowania programowalnych układów logicznych, zarówno od strony sprzętowej, jak i programowej
Perspektywy zatrudnienia Każda firma rozwijająca
systemy akwizycji, transmisji, przetwarzania danych, sterowania, w tym firmy:
telekomunikacyjne elektroenergetyczne motoryzacyjne
Każda firma wykorzystująca te aplikacje
Możliwość kariery naukowej w kraju bądź za granicą
Specjalność
Układy i systemyscalone
Katedra Mikroelektroniki i Technik Informatycznych
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 39
Układy i systemy scalone - oferta
Aktualna wiedza poparta praktyką
badawczą i doświadczeniem
komercyjnym prowadzących zajęcia
Laboratoria wyposażone w nowoczesny
sprzęt pomiarowy i badawczy
Dostęp do oprogramowania CAD-EDA
największych firm światowych
Współpraca z wiodącymi dostawcami
technologii, oprogramowania
i firmami projektowymi
Wymiana, współpraca i praktyka
w ramach projektów Unii Europejskiej
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 40
Najważniejsze zagadnienia
Technologia VLSI
Układy scalone analogowe cyfrowe mieszane
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 41
Najważniejsze zagadnienia
Języki HDL – opisu wysokiego poziomu
Programowalne układy logiczne
Mikrosystemy scalone
Modelowanie i symulacjazjawisk cieplnych
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 42
Technologia VLSI
Organizacja cleanroom’u
Procesy technologiczne
Integracja procesów
Profile domieszkowania
Charakterystyki elektryczne
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 43
Analogowe układy scalone
Umiejętność projektowania schematu
i topografii układów analogowych
Znajomość ograniczeń wprowadzanych
przez proces technologiczny
Opanowanie strategii planowania umieszczania
modułów w scalonych układach analogowych
Umiejętność projektowania struktur scalonych
z uwzględnieniem ograniczeń ze strony
procesów technologicznych
Wprowadzenie do opisu zjawisk
elektromagnetycznych i termicznych
towarzyszących pracy struktur scalonych
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 44
Cyfrowe układy scalone
Metody projektowania systemów
cyfrowych w układach scalonych
Synteza układów cyfrowych z opisu
w języku wysokiego poziomu
Automatyczna generacja masek
układów scalonych
Układy i struktury pomocnicze
w systemach scalonych
idea and specification coding and verification digital implementation fabrication
entity is port
inin
downto
alu (
ci : STD_LOGIC; a : STD_LOGIC_VECTOR(n-1
0);...
ALU
MU
X
REG
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 45
Analogowo-cyfrowe układy scalone
Poznanie pogranicza analogowego
i cyfrowego przetwarzania sygnałów
Przekazanie wiedzy umożliwiającej
efektywne projektowanie scalonych
systemów analogowo-cyfrowych
Nabycie umiejętności uwzględniania
i minimalizacji skutków ograniczeń
procesów technologicznych w procesie
projektowania układów mieszanych
Umiejętność płynnego posługiwania się
zaawansowanym profesjonalnym
oprogramowaniem projektanckim
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 46
-- 2nd order sigma-delta converter test filelibrary ieee;use ieee.std_logic_1164.all;use ieee.electrical_systems.all;
entity test_converter_2nd isgeneric(n : integer range 1 to 24 := 8); -- number of bits of the decimatorend;
architecture behav of test_converter_2nd isterminal test, in_main, in_dist, input, n1, n2a, n3a, n2b, n3b, convert : electrical; signal n4, n6, n7, n8 : std_logic; signal clk, rst, en : std_logic := '0'; signal d5, d6, d9, d10 : std_logic; signal d3, d4, output : std_logic_vector(n-1 downto 0); signal con : real;
beginvoltage_summer_inp : entity work.voltage_summer(behav) port map(in_main, in_dist, input); integrator_1 : entity work.integrator(behav) port map(n2a, n3a);integrator_2 : entity work.integrator(behav) port map(n2b, n3b);comparator : entity work.comparator(behav) port map(n3b, electrical_ref, n4);dff_mod : entity work.dff(behav) port map(n4, clk, n6, n7, n8);d2a : entity work.d2a(behav) port map(n8, n1);voltage_summer_1 : entity work.voltage_summer(behav) port map(input, n1, n2a);voltage_summer_2 : entity work.voltage_summer(behav) port map(n3a, n1, n2b);
counter_out : entity work.counter(behav) generic map(n) port map(clk, d6, n8, d3);counter_clk : entity work.counter(behav) generic map(n) port map(clk, rst, en, d4);reg : entity work.reg(behav) generic map(n) port map(d6, rst, d3, output);dff_dec : entity work.dff(behav) port map(d4(n-1), clk, rst, d5, d9);inv : entity work.inverter(behav) port map(d5, d10);and_gate : entity work.and_gate(behav) port map(d10, d4(n-1), d6);
clock : clk <= not clk after 500ns;reset : rst <= '1' after 50ns, '0' after 100ns;enable : en <= '0' after 25ns, '1' after 50ns;
Języki opisu sprzętu
VHDL Verilog
VHDL DESCRIPTION
state <= a when (enable=‘1’)
else state;
D Q
E NQ
a
enable
state
VHDL DESCRIPTION
state <= a when (enable=‘1’)
else ‘0’;
a
enablestate
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 47
Programowalne układy logiczne
Układy GAL/CPLD Układy FPGA Układy SoC (System-On-Chip)
µP Core
On-Chip Memory
Cell InterfaceConfig & Probing Analog Cells
Logic Cells
Config Memory
I/O I/O
Ana
log
I/O
Dig
ital
I/
O
To external memory To the next chip
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 48
Nowoczesne mikrosystemy scalone
Budowa mikrosystemów Zagadnienia dotyczące
projektowanych współcześnie
mikrosystemów scalonych
Budowa i działanie czujników
i sensorów półprzewodnikowych
Technologie
wytwarzania
mikrosystemów
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 49
Nowoczesne mikrosystemy scalone
Czujnik promieniowaniaCzujnik promieniowania
MikrozwierciadłaMikrozwierciadła
Matryca DLPMatryca DLP
CzujnikCzujnikprzyspieszeniaprzyspieszenia
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 50
Nowoczesne mikrosystemy scalone
1
MN
MX
MEMS Przetwornik termoelektryczny - 3D 27
43.78260.564
77.34794.129
110.911127.693
144.475161.257
178.04
ANSYS 11.0MAR 19 2009
NODAL SOLUTIONSTEP=1SUB =1TIME=1TEMP (AVG)RSYS=0SMN =27SMX =178.04
Modelowanie wielodomenowe mikrosystemów Modelowanie i symulacja układów MEMS
(ang. Micro-Electro-Mechanical Systems)
Wielodomenowe symulacje układów mikromaszynowych
z użyciem wiodących programów
jak ANSYS, Mentor Graphics
Prowadzanie licznych
analiz, takich jak rozkład
temperatury,odporności
układu na zakłócenia
elektromagnetyczne itp.
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 51
Modelowanie i symulacje termiczne
0 1 0 0 2 0 0 3 0 0 4 0 0 5 0 0 6 0 00
5 0
1 0 0
1 5 0
2 0 0
2 5 0
T i m e [ m s ]G
F v
alu
e [1
/m]
d i s t a n c e = 1 m m
d i s t a n c e = 2 m m
d i s t a n c e = 5 m m
d i s t a n c e = 1 0 m m
tTTgT v ∂
∂=∇∇++∇ λλα
λαα 2
powierzchniaadiabatyczna
strumieńcieplny
chłodzenie powierzchniaadiabatyczna
powierzchniaadiabatyczna
powierzchniaadiabatyczna
kontakty
Zjawiska cieplne w elektronice Opis matematyczny
Modele termiczne układów
Metody rozwiązywania: analityczne numeryczne
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 52
Laboratorium układów scalonych
Pracownia projektowania układów scalonych
z rezydującym w niej opiekunem specjalności
Laboratoria projektowe
Stacje firmy Sun Microsystems
Silne specjalizowane jednostki
obliczeniowe klasy PC
Monitory i wyświetlacze
o dużej przekątnej ekranu
Sprzęt i oprogramowanie do
testowania układów scalonych
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 53
Laboratorium układów scalonych
Profesjonalne środowiska projektowe: CADENCE:
pakiet licencjonowanych narzędzi do projektowania i weryfikacji układów scalonych
Mentor Graphics: zestaw narzędzi projektanckich do
budowy układów scalonych na licencji MG Synopsys:
wybrane narzędzia symulacji układów scalonych
Oprogramowanie w wersjach dla systemów Solaris i Linux
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 54
Technologie produkcji układów ASIC
Dostęp do nowoczesnych technologii:
UMC - CMOS ATMEL – CMOS SoC AMS – CMOS, HV, SiGe, MEMS AMIS – CMOS, HV, Flash IHP – HBT, SiGe:C, RF
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 55
Korzyści dla absolwenta
Umiejętność projektowania: układów scalonych analogowych i cyfrowych układów programowalnych czujników i mikromaszyn
Znajomość wiodących przemysłowych środowisk projektowych
Możliwości zatrudnienia centra projektowe firm zachodnich
powstające w Europie Środkowej polskie firmy wdrażające układy
ASIC we własnych produktach ośrodki projektowe i technologiczne
w krajach Unii Europejskiej staże w nowoczesnych ośrodkach
badawczych i projektowych
27.05.2009 Katedra Mikroelektroniki i Technik Informatycznych – Specjalności studiów 56
Dziękujemy za uwagę
Informacje w Internecie:Informacje w Internecie:neo.dmcs.p.lodz.pl/specjalnoscineo.dmcs.p.lodz.pl/specjalnosci
Opiekunowie specjalności:Opiekunowie specjalności:
SMiUPSMiUP dr inż. Wojciech Tylmandr inż. Wojciech [email protected]@dmcs.p.lodz.pl
UEPUEP mgr inż. Zbigniew Kuleszamgr inż. Zbigniew [email protected]@dmcs.p.lodz.pl
UiSSUiSS dr inż. Adrian Romińskidr inż. Adrian Romiń[email protected]@dmcs.p.lodz.pl
Top Related