XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS...

18
XAPP594 (v1.0) 2012 8 22 japan.xilinx.com 1 © Copyright 2012 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. AMBA and ARM are registered trademarks of ARM in the EU and other countries. All other trademarks are the property of their respective owners. 概要 このアプリケーション ノ ー ト では、 ザ イ リ ン ク ス 7 シリーズ FPGA が備える専用の SelectIO™ イン ターフェイス シリアライザー (OSERDESE2) コンポーネン ト を使用し、シ リ アル LVDS (低電圧差動信 ) 入力を用いてデジタル アナログ コンバーター (DAC) と接続する方法を説明します。 リファレンス デザインは、 高速パラレル LVDS 入力を備えた DAC Kintex-7 FPGA を接続する基本の LVDS イン ターフェイスとなっています。 はじめに 一般的な DAC は、 1214、 または 16 ビッ ト の分解能を提供し、 シングル パッケージ内に複数のコン バーターが含まれています。 パッケージ内の各コンバーターは個別の入力を使用します。 各入力セッ ト は、 インターリーブ データ サプ ラ イ と 呼ばれ る 1 つまたは複数のデータ チャネルを備えています。 こ こでは、 OSERDESE2 の高い汎用性 と 柔軟性について説明し ます。 大半のコンバーターは、 SPI ( シリアル ペリフェラル インターフェイス) を使用して動作モード を設定し ます。 FPGA SelectIO インターフェイスは、 OSERDESE2 として構成されます。 各 OSERDESE2 には、 FPGA ロジックから最大 8 ビッ トが与えられます。 また各 OSERDESE2 は、 接続される DAC にシン グル データ レート (SDR) またはダブル データ レート (DDR) モードでパラレル データのシ リ アル ト リームを供給します。 FPGA のリソース 7 シリーズ FPGA には、HR (High Range) I/O バンクと HP (High Performance) I/O バンクがあります。 これらのバンクの詳細は、『7 シリーズ FPGA SelectIO リ ソース ユーザー ガイド』 [参照 1] を参照して ください。 DAC インターフェイスで重要な点は、 OSERDESE2 ODELAYE2 コンポーネントは HP I/O バン ク 内でのみ使用で き る と い う こ と です。ODELAYE2 コンポーネン トがない OSERDESE2 コン ポーネン ト は、 HR I/O バンク内で使用できます。 このアプリケーション ノ ー ト では、 OSERDESE2 (1) ODELAYE2 (2) の両方の属性を持つシン ボルを記載しています。 これらのコンポーネン トに関する詳細は、『 7 シリーズ FPGA SelectIO リ ソー ユーザー ガイド』 (UG471) [参照 1] を参照してください。 アプリケーション ノート : 7 シリーズ FPGA XAPP594 (v1.0) 2012 8 22 パラレル LVDS 高速 DAC インターフェイス 著者 : Marc Defossez

Transcript of XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS...

Page 1: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 1

© Copyright 2012 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. AMBA and ARM are registered trademarks of ARM in the EU and other countries. All other trademarks are the property of their respective owners.

概要 このアプ リ ケーシ ョ ン ノー ト では、 ザイ リ ンク ス 7 シ リーズ FPGA が備える専用の SelectIO™ イン

ターフェイス シ リ アライザー (OSERDESE2) コンポーネン ト を使用し、シ リ アル LVDS (低電圧差動信

号) 入力を用いてデジタル アナログ コンバーター (DAC) と接続する方法を説明します。 リ ファレンス

デザインは、 高速パラレル LVDS 入力を備えた DAC へ Kintex-7 FPGA を接続する基本の LVDS イン

ターフェイス となっています。

はじめに 一般的な DAC は、 12、 14、 または 16 ビッ トの分解能を提供し、 シングル パッケージ内に複数のコン

バーターが含まれています。 パッケージ内の各コンバーターは個別の入力を使用します。 各入力セッ ト

は、 インターリーブ データ サプライ と呼ばれる 1 つまたは複数のデータ チャネルを備えています。 こ

こでは、 OSERDESE2 の高い汎用性と柔軟性について説明します。

大半のコンバーターは、SPI (シ リ アル ペリ フェラル インターフェイス) を使用して動作モードを設定し

ます。

FPGA の SelectIO インターフェ イスは、 OSERDESE2 と して構成されます。 各 OSERDESE2 には、

FPGA ロジッ クから 大 8 ビッ トが与えられます。 また各 OSERDESE2 は、 接続される DAC にシン

グル データ レート (SDR) またはダブル データ レート (DDR) モードでパラレル データのシ リ アル スト リームを供給します。

FPGA のリソース 7 シ リーズ FPGA には、HR (High Range) I/O バンク と HP (High Performance) I/O バンクがあ り ます。

これらのバンクの詳細は、『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 [参照 1] を参照して

ください。 DAC インターフェイスで重要な点は、 OSERDESE2 と ODELAYE2 コンポーネン トは HPI/O バンク内でのみ使用できる という こ とです。ODELAYE2 コンポーネン トがない OSERDESE2 コン

ポーネン トは、 HR I/O バンク内で使用できます。

このアプリ ケーシ ョ ン ノートでは、OSERDESE2 (図 1) と ODELAYE2 (図 2) の両方の属性を持つシン

ボルを記載しています。 これらのコンポーネン トに関する詳細は、『 7 シ リーズ FPGA SelectIO リ ソー

ス ユーザー ガイ ド』 (UG471) [参照 1] を参照してください。

アプリケーシ ョ ン ノート : 7 シリーズ FPGA

XAPP594 (v1.0) 2012 年 8 月 22 日

パラレル LVDS 高速 DAC インターフェイス著者 : Marc Defossez

Page 2: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

DAC LVDS インターフェイス

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 2

DAC LVDS インターフェイス

一般的に、 高速 DAC は、 インターフェイス コンポーネン トで使用されるクロ ッ クを出力します。 イン

ターフェイス コンポーネン ト (すなわち、FPGA) は、受信したクロ ッ クのレートでデータ と ク ロ ッ クを

供給するこ とが要求されます。 FPGA から DAC に送られるデータ と ク ロ ッ クは、 位相が揃えられた信

号と して現れるか、 データに対して 90° 位相シフ ト された信号と して現れます。

大半の高速 DAC には、 インターリーブ形式のデータが必要です。 したがって、 少なく と も 2 つの I/Oバンクが必要です。DAC から出力されるクロ ッ クは、CC (ク ロ ッ ク兼用) I/O (_CC_IO) を介して FPGA内の MMCM ( ミ ッ クス ド モード ク ロ ッ ク マネージャー ) に供給されます。

この方式には次のよ うな利点があ り ます。

• 入力される DAC のクロ ッ クにジッ ターがある場合、 MMCM はジッターを低減する。

• MMCM は DAC インターフェイスに必要なすべてのクロ ッ クを供給できる。

• MMCM は必要に応じて、外部フ ィードバッ ク ループを介して PCB 上の DAC の入力ピンで DACに供給されるデータの位相を揃えるか、 またはシフ ト (90° など) できる。

X-Ref Target - Figure 1

図 1 : OSERDESE2

X-Ref Target - Figure 2

図 2 : ODELAYE2

X594_01_040912

T1

T2

T3

T4

TCE

TBYTEIN

SERDES_MODE : string := “MASTER”;DATA_WIDTH : integer := 4;DATA_RATE_OQ : string := “DDR”;INIT_OQ : bit := ‘0’;SRVAL_OQ : bit := ‘0’;TRISTATE_WIDTH : integer := 4;DATA_RATE_TQ : string := “DDR”;INIT_TQ : bit := ‘0’;SRVAL_TQ : bit := ‘0’;TBYTE_CTL : string := “FALSE”;TBYTE_SRC : string := “FALSE”;

D1

D2

D3

D4

D5

D6

D7

D8

OCE

RST

CLK

CLKDIV

SHIFTIN1

SHIFTIN2

SHIFTOUT1

SHIFTOUT2

OSERDESE2

TQ

TBYTEOUT

OQ

OFB

X594_02_041512

ODATAIN

CNTVALUEIN[4:0]

CE

INC

LD

LDPIPEEN CINVCTRL_SEL : string := “FALSE”;DELAY_SRC : string := “ODATAIN”;HIGH_PERFORMANCE_MODE : string := “FALSE”;ODELAY_TYPE : string := “FIXED”;ODELAY_VALUE : integer := 0;PIPE_SEL : string := “FALSE”;REFCLK_FREQUENCY : real := 200.0;SIGNAL_PATTERN : string := “DATA”;

REGRST

C

CINVCTRL

CLKIN

DATAOUT

ODELAYE2

CNTVALUEOUT[4:0]

Page 3: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

DAC LVDS インターフェイス

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3

図 3 に、基本的な DAC インターフェイスのセッ ト アップを示します。 DAC の分解能が 10 ビッ ト よ り

大きい場合、このインターフェイスは 2 つの I/O バンクを必要と します。1 つの I/O バンクは 大 24 の差動 I/O を持つこ とができます。分解能 14 ビッ トの DAC がインターリーブ データを必要とする場合、

2 つの I/O バンクが必要です。

MMCM は I/O バンクの後の FPGA ロジッ クの近く に配置され、 必要なク ロ ッ ク領域に対応します

(RLOC または LOC 属性が必要な場合がある)。

大半の DAC インターフェイスには、 外部フ ィードバッ ク ループは不要です。 DAC は、 位相が揃えら

れた、 または 90° シフ ト されたビッ ト ク ロ ッ クを持つデータを FPGA から取得します。 このフ ィード

バッ ク ループは、 OSERDESE2 コンポーネン ト を使用して設計できます (7 ページの 「DAC へのビッ

ト ク ロ ッ ク」 を参照)。

一部の DAC はデータのみを取得します。 このデータは、 DAC のピンまでインターフェイス デザイン

でモニタ リ ングする必要があ り ます。 この場合は、 PCB 上の MMCM フ ィードバッ ク ループが必要で

す (図 4)。 これは、 LVDS 用に構成された I/O を 善の位置となる両方の I/O バンクの中間 (上のバン

クの一番下の I/O または下のバンクの一番上の I/O) に配置するこ とで実現できます。 PCB 上のフ ィー

ドバッ ク パスは、 使用する DAC に応じて、 FPGA 出力ピンから DAC 入力ピンまでのデータ接続と同

じ長さまたは 2 倍の長さにする必要があ り ます。 フ ィードバッ ク信号は、 LVDS 用に構成されたクロ ッ

ク兼用 I/O を介して FPGA に戻されます。

X-Ref Target - Figure 3

図 3 : 基本的な DAC インターフェイスのセッ トアップ

X594_03_060612

MMCMDataMUX

Clock Ctrl

DAC

DAC

FPGA

CLK_in

FB_in

FB_out

Feedback LoopData Clock

Data_B [13:0]

Data_A [13:0]

DAC Clock Out

DAC Clock In

Page 4: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

DAC LVDS インターフェイス

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 4

注記 : 一部の DAC デバイスには、 DAC 入力ピン上のク ロ ッ クに基づいてデータの到達を調整するク

ロ ッ ク調整機能がさらに追加されています。 ク ロ ッ ク入力および出力を持つ DAC 内の遅延バッファー

は、 SPI ポー ト を介して調整可能です。 このバッ フ ァーは、 ク ロ ッ ク フ ィードバッ ク ループ内で、

ODELAYE2 または IDELAYE2 コンポーネン ト、 あるいはその両方の代わりに使用できます。

動的遅延調整などの機能も FPGA に追加できます。 図 5 に、 クロ ッ ク フ ィードバッ クの出力パス内の

ODELAYE2 と入力パス内の IDELAYE2 を示します。 両方のコンポーネン ト、 またはいずれか一方の

コンポーネン ト を使用できます。 いずれの遅延ラインも、 PicoBlaze™ プロセッサ (またはほかのプロ

セッサ) あるいはステート マシンで制御できます。 MMCM フ ィードバッ ク ループのキャ リブレーシ ョ

ンが必要な場合は、 FPGA と DAC 間の接続の初期化段階で行う必要があ り ます。

X-Ref Target - Figure 4

図 4 : 外部フ ィードバック ループを使用する MMCM を備えた基本的な DAC インターフェイス

X-Ref Target - Figure 5

図 5 : 外部フ ィードバック遅延の制御

X594_04_072612

MMCMDataMUX

Clock Ctrl

ODELAYE2

B

IDELAYE2

DAC

DAC

FPGA

CLK_in

FB_in

FB_out

Feedback Loop

Data Clock

Data_B [13:0]

Data_A [13:0]

DAC Clock Out

DAC Clock In

A

(See Note)

Length A = Length B

X594_05_062012

MMCM

CLKIN1

CLKFBIN

CLKFBOUTODELAYE2_varload

ODATAIN DATAOUTCNTVALUEIN[4:0]

CNTVALUEOUT[4:0]

CCE INC RST

BUFG

OBUFDS+

IBUFDS

IDELAYE2_varload

DATAOUT IDATAINCNTVALUEOUT[4:0]

CNTVALUEIN[4:0]

CCE INC RST

IBUFDS

2*Picoblaze_DataIn

2*Picoblaze_DataOut

RefClk

SIGNAL_PATTERN=CLOCK

SIGNAL_PATTERN=CLOCK

Page 5: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

DAC LVDS インターフェイス

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 5

注記 :

• MMCM の外部フ ィードバッ ク ループには、 3ns または 1 CLKIN の要件があ り ます (MMCM_TFBDELAY)。

• ODELAYE2 は HR I/O バンクでのみ使用可能です。

• IDELAYE2 と ODELAYE2 のタ ップ値は、 適用される基準周波数、 環境条件 (電圧と温度)、 遅延

チェーン内の位置によって決ま り ます。

DAC からのビッ ト クロック

DAC は高速ビッ ト レートのデジタル ク ロ ッ クを FPGA に供給します。 このクロ ッ クは OSERDESE2のシ リアル出力の基準となるため、 ビッ ト レート ク ロ ッ ク と呼ばれます。 多くの DAC デバイスは、 接

続されるインターフェイス FPGA からのインターリーブ データを要求します。 これには、 FPGA イン

ターフェイスが DAC の分解能と同じ幅の 2 つのバスを備えている必要があ り ます。 インターリーブ

データを必要とする分解能 16 ビッ トの DAC は、FPGA の 2 つの 16 ビッ ト差動バスを必要とするため、

2 つの I/O バンクが使用されます。1 つのバンクには DAC と 16 ビッ ト データ バスからのクロ ッ ク入力

が含まれ、 も う 1 つのバンクには DAC と 2 つ目の 16 ビッ ト データ バスのクロ ッ クが含まれます。

ビッ ト ク ロ ッ クは DAC がアナログ出力の生成に使用する ク ロ ッ クのデジタル バージ ョ ンです。 した

がって、 ビッ ト ク ロ ッ クは非常に低ジッターの特性を持ちます。

PCB デザインの も簡単な方法は、FPGA のクロ ッ ク兼用 I/O にビッ ト ク ロ ッ クを供給するこ とです。

この方法では、 DAC と FPGA 間のすべての接続はほぼ直線の接続になり、 すべての ト レース長の調整

が簡単に行えます。

ビッ ト ク ロ ッ クは、 FPGA 内で次の異なる方法で使用できます。

• ク ロ ッ ク管理を使用しない場合、 BUFMR、 BUFIO、 および BUFR ク ロ ッ ク バッファーを介して

• 受信した DAC ク ロ ッ クを MMCM への入力と して使用し、 OSERDESE2 コンポーネン ト または

FPGA 内のアプリ ケーシ ョ ン、 あるいはその両方に必要なクロ ッ クを生成する

クロック管理を使用しない場合

この場合、 DAC から入力されるクロ ッ クは、 ク ロ ッ ク兼用 I/O 入力から BUFMR ク ロ ッ ク バッファー

に配線されます。 こ こから、 使用される各 I/O バンク内の BUFIO と BUFR が制御されます。

シングル リージ ョナル ク ロ ッ ク入力のクロ ッ ク兼用 I/O から複数の I/O バンクを制御する必要がある

場合は、 BUFMR (マルチ領域クロ ッ ク バッファー ) が必要です。 BUFMR の出力は、 BUFMR が配置

される I/O バンク内の BUFIO および BUFR バッファーと、 隣接 I/O バンクの一方または両方 (上また

は下) にある BUFIO および BUFR バッファーに接続されます (図 6 を参照)。

Page 6: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

DAC LVDS インターフェイス

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 6

リ ファレンス デザイン ファ イルには、3 つの I/O バンク内の OSERDESE2 と ISERDESE2 を供給先と

する BUFMR の使用例が含まれます (図 6 を参照)。

注記 :

• BUFMR、 BUFR、 および BUFIO のセッ ト を使用する場合は、 必ず FPGA 内のすべてのコンポー

ネン トに LOC 制約を適用します。

• FPGA と DAC 間のすべての出力 (ク ロ ッ クおよびデータ) にロ ッ ク (LOC) 制約を適切に使用しま

す。

• OSERDESE2 の使用に関するすべてのガイ ド ラ イン (11 ページの 「OSERDESE2」 を参照) を適用

します。

• BUFMR、 BUFR、 または BUFIO から クロ ッ クを供給される OSERDESE2 とアプリ ケーシ ョ ンの

間に、小型のエラスティ ッ ク バッファー、FIFO、またはデータ バッファーを配置します。このデー

タ バッファーによ り、アプリ ケーシ ョ ン ク ロ ッ ク と OSERDESE2 のクロ ッ ク間のクロ ッ ク ド メ イ

ンを簡単に切り替えできます。 OSERDESE2.CLKDIV とアプリ ケーシ ョ ン ク ロ ッ クが同じ周波数

を持つ場合でも、位相の違いのためにデータ バッファーがなければ簡単には処理できないこ とがあ

り ます (図 6 を参照)。

MMCM を使用する場合のクロックの使用

DAC からのク ロ ッ クがク ロ ッ ク兼用 I/O に接続され、 MMCM が使用される場合 (図 7)、 ク ロ ッ クは

BUFR を介して MMCM に到達する必要があ り ます。 この場合、 DAC からのクロ ッ クは通常、 BUFRよ り後のクロ ッ ク ネッ ト ワークには高速すぎます (データシート DS181 『Artix-7 FPGA データシート

: DC 特性およびスイ ッチ特性』 [参照 2]、データシート DS182 『Kintex-7 FPGA データシート : DC および AC スイ ッチ特性』 [参照 3]、 またはデータシート DS183 『Virtex-7 T XT FPGA データシート :DC 特性およびスイ ッチ特性』 [参照 4]を参照)。

X-Ref Target - Figure 6

図 6 : BUFMR クロッキングの使用

X594_06_040912

BUFIO

BUFR

IBUFDSBUFMR

ClockAreaUp

ClockArea

ClockAreaLow

MRCC

BUFIO

BUFR

BUFIO

BUFR

Page 7: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

DAC LVDS インターフェイス

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 7

このため、 BUFR は入力される高速クロ ッ クを 2 つに分周します。 これで、 MMCM はこのクロ ッ クを

必須ビッ ト OSERDESE2.CLK と ワード ク ロ ッ ク OSERDESE2.CLKDIV に供給できます。

注記 :

• MMCM の 2 つの出力を、 OSERDESE2 の専用ビッ ト ク ロ ッ クおよびワード ク ロ ッ ク (CLK およ

び CLKDIV) と して使用します。

• MMCM から出力される CLKDIV ワード クロ ッ クは、OSERDESE2 または OSERDESE2 を出力先

とするデータ バッファーの読み出し側の前にあるレジスタにクロッ クを供給する必要があり ます。

• DAC インターフェイスは通常は複数の I/O バンクに渡るため、 BUFG ク ロ ッ ク バッファーを介し

て OSERDESE2 へのクロ ッ クを配線します。

• I/O バンク内で MMCM を使用し、 ク ロ ッ ク兼用 I/O を介して DAC からのクロ ッ クをキャプチャ

します。 これには LOC 制約が必要となる可能性があ り ます。

DAC へのビッ ト クロック

DAC は、 OSERDESE2 が DAC 用のデータを生成するレート と同じビッ ト レートで動作するクロ ッ ク

を接続イ ン ターフ ェ イ スに要求し ます。 DAC 用の ク ロ ッ ク を生成する も効率的な方法は、

OSERDESE2 をク ロ ッ ク ジェネレーターと して使用するこ とです。 この方法によ り、 FPGA で生成さ

れるクロ ッ ク とデータの同期が保証されます。

各 OSERDESE2 は、 まったく同じ方法、 同じタイ ミ ングで FPGA 出力パッ ドに接続します。 FPGA 内の複数の I/O バンクは、それぞれ同じ OSERDESE2 および I/O コンポーネン トで構成されているため、

同じ方法と タ イ ミ ングで接続し ます。 1 つのフ ァ ミ リ の異な る FPGA コ ンポーネン ト 内にあ る

OSERDESE2 は、 同じスピード グレード パラ メーターと動作パラ メーターを使用している限り、 同じ

(タイ ミ ング) 特性を持ちます。

OSERDESE2 は、 CLKDIV ク ロ ッ クの立ち上がりエッジで動作する入力レジスタ と、 CLK ク ロ ッ クの

立ち上がりエッジで動作するロード可能なパラレル/シ リ アル レジスタを備えたデバイスです。 セッ ト

ポイン ト と して DATA_WIDTH パラ メーターを持つ内部ステート マシンは、 パラレル入力レジスタか

らのデータが適切なタイ ミ ングでパラレル/シ リ アル レジスタに確実に転送されるよ うにします。

したがって、OSERDESE2 に常に同じデータがロード される場合、シ リ アル出力はクロ ッ ク と全く同じ

よ うな繰り返しデータ ス ト リームになり ます。

X-Ref Target - Figure 7

図 7 : 有効な MMCM のセッ トアップ

X594_07_041512

MMCM

RST andEna

CLKIN1

CLKFBIN

RST

CLKFBOUT

BUFG

IBUFDSBUFR

Divide by 2

RstIn

AppsClk

Feedback Loop

LOCKED

BUFG

CLKDIV

BUFG

CLK

BUFG

Page 8: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

DAC LVDS インターフェイス

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 8

入力データの形式によって、 シ リ アル出力の形式が決ま り ます。 8 ビッ ト入力の OSERDESE2 へのパ

ラレル入力が 01010101[7:0] である場合、 シ リ アル出力 (DDR モード ) は 50/50 のクロ ッ ク信号にな

り ます。

このクロ ッ ク信号のレートは、 OSERDESE2 に供給する CLK のレートに直接関連付けられます。 たと

えば、 CLK が 625MHz であ り、 OSERDESE2 が DDR モードで動作する場合、 出力は 625MHz のク

ロ ッ クにな り ます。

図 8 に、 固定レー ト の OSERDESE2 ク ロ ッ ク ジェネレーターを示します。 図 9 に、 プログラマブル

レートの OSERDESE2 ク ロ ッ ク ジェネレーターを示します。

リ ファレンス デザイン ファ イルには、 OSERDESE2 をク ロ ッ ク ジェネレーターと して使用するプロ

ジェク トが含まれています。

DAC が、 供給されるデータに対して位相シフ ト されたクロ ッ クを必要とする場合、 この位相シフ トは、

固定モードまたは可変モードの ODELAYE2 を使用して実現できます。図 8 と図 9 に、 このセッ ト アッ

プをグレー表示します。

注記 :

• OSERDESE2 の使用に関するすべてのガイ ド ラ イン (11 ページの 「OSERDESE2」 を参照) を適用

します。

X-Ref Target - Figure 8

図 8 : 固定レートのクロック ジェネレーター

X-Ref Target - Figure 9

図 9 : プログラマブル クロック ジェネレーター

X594_08_040912

REGISTER

ODELAYE2

OSERDESE2

OSERDESE2 in:Master, DDRConfiguration

DataClockCLOCK [PATTERN]

CLK

CLKDIV

SyncRstOCE

RST

SyncEna

X594_09_041512

ODELAYE2

OSERDESE2Address Count, Enable

and Load State Machine

OSERDESE2 in:Master, DDRConfiguration

DataClock

CLK

CLKDIV

SyncRstOCE

DinWrAddrWrt

LUT FFWenWclk

DoutRdAddr

RstRenRclk

RST

SyncEna

Page 9: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

データ

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 9

• OSERDESE2 は HP I/O バンクでのみ使用可能です。

データ DAC はパラレル データを要求します。 したがって、 少なく と も 1 つのデータ バスを DAC の分解能と

同じ幅で構成する必要があ り ます。バスの各ビッ トは、1 つの OSERDESE2 コンポーネン トで表されま

す。 各 OSERDESE2 の入力は、 1 ニブル (4 ビッ ト ) または 1 バイ ト (8 ビッ ト ) です。 したがって、 各

OSERDESE2 は、DAC に接続するパラレル バス内のいくつかのビッ ト を表す 1 ニブルまたは 1 バイ ト

をロードする必要があ り ます。 このため、 図 10 に示すよ うに、 OSERDESE2 にロード されるニブルま

たはバイ トは、 DAC に接続するバスの 1 ビッ トに対応する一連のビッ ト を表します。

1.2GSPS (gigasample per second) の 14 ビッ ト DAC が 600MHz ク ロ ッ クを FPGA に供給する場合、次

のこ とを前提にできます。

• OSERDESE2 は必ず DDR モードで使用します。

• OSERDESE2 が 8 ビッ ト DDR モードで使用される場合、 MMCM を用いて 600MHz の CLK と150MHz の CLKDIV を生成できます。

• FPGA 内のアプリ ケーシ ョ ンは、 150MHz のレートで 14 × 8 ビッ ト = 112 ビッ ト を供給する必要

があ り ます。

• 一時的なス ト レージと して分散型メモ リ内でクロ ッ ク ド メ イン切り替えデータ バッファーを使用

する場合、 この要件を満たすこ とは難し くあ り ません。

• 図 11 と図 12 に示すよ うに、 メモ リからの出力ビッ トは FPGA の配線ネッ ト ワークで OSERDESE2 の入力に分配されます。 図 11 に、 アプリ ケーシ ョ ンが 16 ビッ ト バス形式でデータ

を供給する 16 ビ ッ ト 分解能の DAC の例を示し ます。 図 12 に示す例では、 DAC の分解能は

14 ビッ トで、 バッ クエンド デザインは 32 ビッ ト形式でデータを送信します。

X-Ref Target - Figure 10

図 10 : DAC 入力バス用の OSERDESE2 のビッ ト配列

X594_10_041512

OSERDESE2

Eight N-bitsflowing outserially to makeDacData(N)

DacData(N)

D1Bit(N)

D2Bit(N)

D3 OQBit(N)

D4Bit(N)

D5Bit(N)

D6Bit(N)

D7Bit(N)

D8Bit(N)

CLKDIVClkDiv

CLKClk

OSERDESE2

DacData(0)

DacData[1:N–1]

D1Bit(0)

D2Bit(0)

D3 OQBit(0)

D4Bit(0)

D5Bit(0)

D6Bit(0)

D7Bit(0)

D8Bit(0)

CLKDIVClkDiv

CLKClk

Page 10: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

データ

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 10

X-Ref Target - Figure 11

図 11 : OSERDESE2 入力へのデータの分配 (例 1)

X594_11_041512

OSERDESE2

DacData(15)

D1Bit(15)

D2Bit(15)

D3 OQBit(15)

D4Bit(15)

D5Bit(15)

D6Bit(15)

D7Bit(15)

D8Bit(15)

CLKDIV

CLK

OSERDESE2

DacData(0)

DacData[1:14]

D1Bit(0)

Data Organization and Routing

8 buses of 16 bits are routed andregistered to 15 buses of 8 bits.

The bit routing order must be doneas shown here.

Example of bit organization:

Bit[5] of Bus_7 Bit[7] of OSRDS for DAC bit 5Bit[5] of Bus_6 Bit[6] of OSRDS for DAC bit 5Bit[5] of Bus_5 Bit[5] of OSRDS for DAC bit 5Bit[5] of Bus_4 Bit[4] of OSRDS for DAC bit 5Bit[5] of Bus_3 Bit[3] of OSRDS for DAC bit 5Bit[5] of Bus_2 Bit[2] of OSRDS for DAC bit 5Bit[5] of Bus_1 Bit[1] of OSRDS for DAC bit 5Bit[5] of Bus_0 Bit[0] of OSRDS for DAC bit 5

D2Bit(0)

D3 OQBit(0)

D4Bit(0)

D5Bit(0)

D6Bit(0)

D7Bit(0)

D8Bit(0)

CLKDIV

CLK

Bus_7[15:0]

Bus_6[15:0]

Bus_5[15:0]

Bus_4[15:0]

Bus_3[15:0]

Bus_2[15:0]

Bus_1[15:0]

Bus_0[15:0]

X-Ref Target - Figure 12

図 12 : OSERDESE2 入力へのデータの分配 (例 2)

X594_12_041512

OSERDESE2

DacData(13)

D1Bit(13)

D2Bit(13)

D3 OQBit(13)

D4Bit(13)

D5Bit(13)

D6Bit(13)

D7Bit(13)

D8Bit(13)

Data(111)

Data(110)

Data(109)

Data(108)

Data(107)

Data(106)

Data(105)

Data(104)

Data(7)

Data(6)

Data(5)

Data(4)

Data(3)

Data(2)

Data(1)

Data(0)

ClkDiv

Clk

ClkDiv

Clk

CLKDIV

CLK

OSERDESE2

DacData(0)

DacData[1:12]

D1Bit(0)

D2Bit(0)

D3 OQBit(0)

D4Bit(0)

D5Bit(0)

D6Bit(0)

D7Bit(0)

D8Bit(0)

CLKDIV

CLK

Data[111:96]

Data[95:64]

Data[63:32]

Data[31:0]

Page 11: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

OSERDESE2

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 11

図 11 では、 8 つの 16 ビッ ト データ バスが配線され、 終的に 16 個の 8 ビッ ト バスへ出力されます。

• この例では DAC の分解能が 16 ビッ トであるため、 16 個のバス

• OSERDESE2 の入力幅が 8 ビッ トであるため、 8 ビッ トのバス

この例では、 ビッ トの配線順序は次のよ うにな り ます。

• データ バス Y、 こ こで Y は 16 ビッ ト データ入力バスのうち 1 つ

• ビッ ト n、 こ こで n は Y バスのうち 1 つの 1 ビッ ト

• OSERDESE2 入力バス X、 こ こで X は OSERDESE2 の 8 ビッ ト入力

• ビッ ト m、 こ こで m は X バスのうち 1 つの 1 ビッ ト

バス Y のビッ ト n は、 OSERDESE2 入力 m のビッ ト Y と して現れます。 たとえば、 次のよ うにな り

ます。

• Bus_7 のビッ ト (5) DAC ビッ ト (5) に対応する OSERDESE2 入力のビッ ト (7)

• Bus_6 のビッ ト (5) DAC ビッ ト (5) に対応する OSERDESE2 入力のビッ ト (6)

• Bus_5 のビッ ト (5) DAC ビッ ト (5) に対応する OSERDESE2 入力のビッ ト (5)

• Bus_4 のビッ ト (5) DAC ビッ ト (5) に対応する OSERDESE2 入力のビッ ト (4)

• Bus_3 のビッ ト (5) DAC ビッ ト (5) に対応する OSERDESE2 入力のビッ ト (3)

• Bus_2 のビッ ト (5) DAC ビッ ト (5) に対応する OSERDESE2 入力のビッ ト (2)

• Bus_1 のビッ ト (5) DAC ビッ ト (5) に対応する OSERDESE2 入力のビッ ト (1)

• Bus_0 のビッ ト (5) DAC ビッ ト (5) に対応する OSERDESE2 入力のビッ ト (0)

図 12 に、 DAC 用のデータを 32 ビッ ト バス形式で供給する 2 番目のアプリ ケーシ ョ ン例を示します。

使用されている DAC の分解能は 14 ビッ ト であるため、 MSB バスの下位 16 ビッ トが用いられます。

OSERDESE2 の入力に対するアプリ ケーシ ョ ン バスの接続は、 アプ リ ケーシ ョ ン バスの順序でリニア

に接続するこ と も (DataBus_13[111:96] = OSERDESE2 の 13 および 12 から、

DataBus_0[31:0] = OSERDESE2 の 3、 2、 1、 および 0 入力まで)、 カスタム順序で接続するこ と もで

きます。 すべての場合でロジッ クは不要であ り、 FPGA の配線リ ソースによって適切な接続の実装が保

証されます。

アプリ ケーシ ョ ンと OSERDESE2 入力の間のほかの接続方式には、 図 11 と図 12 に示したのと同様の

方法またはまったく異なる方法を使用できます。OSERDESE2 をほかのデータ幅で使用する場合、アプ

リ ケーシ ョ ンへの接続は、 例 1 (図 11) および例 2 (図 12) とはまったく異なるものになる可能性があ り

ます。

OSERDESE2 OSERDESE2 (図 1) はパラレル入力レジスタであ り、 ロード可能なパラレル/シ リ アル シフ ト レジスタ

が後に続きます。データは CLKDIV の立ち上がりエッジでパラレル レジスタにロード され、CLK の立

ち上がりエッジでパラレル/シ リ アル レジスタにシフ ト アウ ト されます。

2 つのレジスタ間の接続は内部ステート マシンが制御します。 ステート マシンは、CLK、CLKDIV、お

よび DATA_WITDH 属性を制限し、 データが常に正しいタイ ミ ングでパラレル入力レジスタからパラ

レル/シ リ アル レジスタに転送されるよ うにします。

OSERDESE2 は次のよ うにセッ ト アップできます。

• マスター専用またはマスター /スレーブ

• DDR モードでは 2、 4、 6、 8、 10、 または 14 ビッ ト入力 (10 ビッ ト入力と 14 ビッ ト入力は、 マス

ター /スレーブ構成でのみ使用可能)

• SDR モードでは 2、 3、 4、 5、 6、 7、 8、 10、 または 14 ビッ ト入力

Page 12: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

OSERDESE2

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 12

DAC インターフェイスでは、 OSERDESE2 はマスター、 4 ビッ ト 、 または 8 ビッ トの DDR モードで

使用されます。 CLKDIV レートは、 CLK レートの 2 分の 1 (4 ビッ ト ) または 4 分の 1 (8 ビッ ト ) に設

定する必要があ り ます。

OSERDESE2 を使用する場合は、 次の点に注意してください。

• パラレル入力レジスタには、イネーブル (OCE) やリセッ ト (RST) はあ り ません。つま り、CLKDIVの立ち上がりエッジが印加される と、OSERDESE2 の入力ピン上で使用可能なすべてのデータはた

だちにパラレル入力レジスタにロード されます。

• OCE ピンは、 シフ ト レジスタのシ リ アル MSB 出力レジスタにのみ接続されます。

• OSERDESE2 がリセッ トの リ リース直後に未知のデータの生成を開始しないよ うに、 LUT をプロ

グラマブル シフ ト レジスタ (SRL32) と して使用し、CLKDIV ク ロ ッ クの数サイクル間イネーブル

入力をディアサート して ください。 リセッ トの リ リース後にイネーブル入力をディアサート してお

く ク ロ ッ ク サイクル数は、 現在 SRL32 のアドレス入力でプログラム可能です。

OSERDESE2 のリセッ トの リ リース後、 任意の信号が変化する前に CLKDIV の立ち上がりエッジに続

いて CLK の立ち上がりエッジが必要です (図 13 を参照)。

OSERDESE2 のリセッ トの リ リースを CLKDIV に同期させて、 リセッ トの リ リースから CLKDIV の数サイクル後にイネーブルを設定するこ とを推奨します。 タイ ミ ング制約を使用して リセッ ト と イネー

ブルを制御するこ とで、 インターフェイスのすべての OSERDESE2 が同時に有効にな り、 同じタイ ミ

ングでデータの生成を開始するこ とが保証されます。

データは ISERDESE2 にロード された後、 ただちにそこから出力されるこ とはあ り ません。 リセッ トの

リ リース後、CLKDIV の立ち上がりエッジでパラレル入力レジスタにデータがロード され、同時に内部

ステート マシンがリセッ トから解放されます。 前回の CLKDIV の立ち上がりエッジに続く CLK の立

ち上がりエッジで、 内部ステート マシンがスタート します。

パラレル入力レジスタからパラレル/シ リ アル レジスタにデータを転送する内部ステー ト マシンは、

DATA_WIDTH 属性によって決ま り ます。CLKDIV の立ち上がりエッジに続く CLK の立ち上がりエッ

ジの後、 OSERDESE2 の内部ステート マシンはパラレル/シ リ アル レジスタをフラ ッシュします。 ビッ

ト数は、 OSERDESE2 の DATA_WIDTH および DATA_RATE 属性によって決ま り ます。 この処理は

リセッ トの リ リース直後に行われるため、 OSERDESE2 はロード パルスが発生するまですべて 0 を送

信します。

内部ステート マシンはパルスを生成し、パラレル入力レジスタの内容をパラレル/シ リ アル シフ ト レジ

ス タ に ロ ー ド し ます。 パ ラ レル入力レ ジ ス タ に 初に ロ ー ド さ れた内容を処理 し ない場合、

OSERDESE2 から出力される 初のシ リ アルデータは不要なデータ と考えられます。

OSERDES2 が未知のデータを出力しないよ うに、 OSERDES2 入力の前にレジスタを配置し (図 14 を参照)、 次の対策を講じるこ とを推奨します。

• CLKDIV でレジスタにクロ ッ ク供給する

X-Ref Target - Figure 13

図 13 : OSERDESE2 のリセッ トからの解放

X594_13_060612

CLK

CLKDIV

RESET

Depending on the position of the CLKDIV edge, the firstor second edge of the CLK is taken into account.

The edges are needed to take the OSERDESE2 out of reset.At this CLKDIV edge, data is or can be loaded into the inputparallel register of the OSERDESE2.

Shift register load statemachine starts running here.

Page 13: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

OSERDESE2

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 13

• レジスタのリセッ ト と イネーブルを OSERDES2 のリセッ ト と イネーブルに接続する

• 同期フ リ ップフロ ップからレジスタおよび OSERDES2 までのリセッ トおよびイネーブル ネッ ト

上にタイ ミ ング制御制約をインプリ メン トする

これで、 OSERDESE2 の入力の前に置かれたレジスタは次のよ うに動作します。

• リセッ トがアクティブの間は、CLKDIV のエッジで OSERDESE2 入力レジスタに 0 がロード

される

• リセッ トのリ リース後の 初の CLKDIV の立ち上がりエッジでも、OSERDESE2 に 0 がロード

される

• この立ち上がりエッジで、 OSERDESE2 の前に置かれたレジスタに有効なデータがロード さ

れる

• 次の CLKDIV の立ち上がりエッジで OSERDESE2 に意味のあるデータがロード され、

OSERDESE2 はシリアル形式でデータの生成を開始する。 OSERDESE2 はシリアル出力上に未

知のデータを生成するこ とはない。 複数の OSERDESE2 は出力ピン上に同期データを供給する

リセッ トに続く 初のロードの後、 データがロード され、 規則的なパターンでシフ ト されます。

図 15 に、 8 ビッ ト DDR モードの OSERDESE2 を示します。 リセッ トがリ リースされ、 CLKDIV の立

ち上がりエッジでデータが OSERDESE2 にロード された後、4 CLK サイクルでそのデータは出力に現れ

ます。 4 CLK サイクルかかるのは、 8 ビッ トがロード され、 コン ト ローラーがまず以前の 8 ビッ ト (すべ

て 0) を DDR CLK レートでシフ ト アウ トするためです。

X-Ref Target - Figure 14

図 14 : アクテ ィベーシ ョ ン制御レジスタと組み合わせた OSERDESE2

X594_14_040912

REGISTER

OSERDESE2

OSERDESE2 in:Master, DDRConfiguration

DataClockDataIn

CLK

CLKDIV

SyncRstOCE

RST

SyncEna

Page 14: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

OSERDESE2

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 14

OSERDESE2 にはいつでも新しいデータをロードできます。ただし、 ロード されたすべてのデータが常

に出力に現れるわけではあ り ません。 ステート マシンは、実行中のシフ ト操作の完了後にのみ入力パラ

レル レジスタから出力シフ ト レジスタにデータを転送します (図 16 を参照)。

ステート マシンは、DATA_WIDTH および DATA_RATE 属性に基づく CLK レートでシフ ト レジスタ

ロード パルスを生成します。 データは、 パラレル/シ リ アル出力レジスタにロード された後も、 入力パ

ラレル レジスタから消去されません。 したがって、 それ以降のロード パルスでパラレル レジスタに新

しいデータがロード されない場合、パラレル/シ リ アル レジスタには同じデータがロード されます (図 16を参照)。

X-Ref Target - Figure 15

図 15 : リセッ トのリ リース後の最初のデータ出力

X594_15_072012

1

0

0

0

10110111

1

11 0 1 1 0 1 1 1

Data is loaded inthe parallel register.

Reset internally released afterrising CLKDIV and CLK edge.

New data loadedin parallel-to-serialregister and output.

OSERDESE2state machinestarts here.

Data loaded in parallel-to-serial register.First bit appears at the output.

Because no new data is loadedin the parallel input register, theold data is transmitted again.

00000000 10110111

oce_dly

rst_dly

clk_dly

clkdiv_dly

DataIn

load_int

oq_zd

OSERDESE2Mstr/Slv

OSERDESE2 flushed

X-Ref Target - Figure 16

図 16 : 継続的な操作でのデータの流れ

X594_16_072012

1

0

0

0

01010101

0

1

This value is loaded in the parallel register and in the serial registerfor transmission from the OSERDESE2.

This value is loaded in theparallel register but is neverloaded in the serial register.

00000000 10110111 11000110 01010101

oce_dly

rst_dly

clk_dly

clkdiv_dly

DataIn

load_int

oq_zd

State machine startedand OSERDESE2 flushed.

Data loadedin input parallelregister.

First loaded pattern transmittedfrom the OSERDESE2.

Page 15: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

リファレンス デザイン

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 15

リファレンス デザイン

このアプ リ ケーシ ョ ン ノートの リ ファレンス デザイン ファ イルは次のサイ トからダウンロードできる

ため、 デバイスのリ ソース使用率の表は掲載していません。

https://secure.xilinx.com/webreg/clickthrough.do?cid=192049

表 1 に、 リ ファレンス デザインの詳細を示します。

リ ファレンス デザインは 4 つの小さなデザインで構成されています。これらのデザインの一部またはす

べてを使用して、 DAC インターフェイスを作成できます。 したがって、 FPGA リ ソースの使用は、 使

用する DAC のブランド と タイプで決ま り ます。

インターリーブ データを必要とする DAC を選択した場合は、 2 倍の数の OSERDESE2 データ コン

ポーネン トが必要です。 DAC の分解能によっては、 2 つの I/O バンクが必要になる可能性があ り ます。

ユーザーが面積の推定値を希望する場合は、 選択した I/O バンク内のすべての OSERDESE2 が使用さ

れる とする と、 面積は 8 × 50 スライスに相当します。 これは ISERDESE2/OSERDESE2 コンポーネン

ト と 初のブロ ッ ク RAM コンポーネン ト セッ トの間の面積です (図 17 を参照)。

表 1 : リファレンス デザインの詳細

パラメーター 説明

全般

開発者 Marc Defossez

ターゲッ ト デバイス XC7K325T-2FFG900

ソース コードの提供 あ り

ソース コードの形式 VHDL

使用した IP なし

シミ ュレーシ ョ ン

機能シ ミ ュレーシ ョ ンの実施 あ り

タイ ミ ング シ ミ ュレーシ ョ ンの実施 なし

テス トベンチの形式 VHDL

シ ミ ュレータのツールとバージ ョ ン ISE® Design Suite 13.4

SPICE/IBIS シ ミ ュレーシ ョ ンの実施 なし

インプリ メンテーシ ョ ン

合成ツール/バージ ョ ン ISE Design Suite 13.4、 XST 13.4

インプ リ メンテーシ ョ ン ツール/バージ ョ ン ISE Design Suite 13.4

スタティ ッ ク タイ ミ ング解析の実施 あ り

ハードウェア検証

ハードウェア検証の実施 あ り

検証に使用したハード ウェア プラ ッ ト フォーム KC705 ボード

Page 16: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

リファレンス デザイン

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 16

X-Ref Target - Figure 17

図 17 : 有効なデバイスのリソース使用エリア

Upper H

alf I/O B

ankLow

er Half I/O

Bank

4 BUFIO4 BUFR

2 BUFMR

INF

IFO

INF

IFO

PLL

INF

IFO

INF

IFO

MM

CM

8 by 25Slices

8 by 25Slices

Slices

Slices

IDELAYCTRL

OU

TF

IFO

OU

TF

IFO

OU

TF

IFO

OU

TF

IFO

25 Single-Ended I/Oor

24 Differential I/O +1 Single-Ended I/O

ISERDESE2IDELAYE2ODELAYE2

OSERDESE2

Block RAMRAMB36E1

Block RAMRAMB36E1

X594_17_080812

Page 17: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

参考資料

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 17

リファレンス デザインのディ レク ト リ セッ トアップ

図 18 に、 リ ファレンス デザインのディ レク ト リ構造を示します。

参考資料 この文書では、 次の参考資料を使用しています。

1. UG471 : 『 7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』

2. DS181 : 『Artix-7 FPGA データシート : DC 特性およびスイ ッチ特性』

3. DS182 : 『Kintex-7 FPGA データシート : DC および AC スイ ッチ特性』

4. DS183 : 『Virtex-7 T XT FPGA データシート : DC 特性およびスイ ッチ特性』

まとめ 7 シ リーズ FPGA インターフェイスは、OSERDESE2 の機能を使用して、市販のあらゆる 新 DAC デバイスに対応する高速 LVDS インターフェイス開発用の柔軟性の高い汎用プラ ッ ト フォームを提供し

ます。

X-Ref Target - Figure 18

図 18 : リファレンス デザインのディレク ト リ構造

X594_18_072712

Page 18: XAPP594 パラレル LVDS 高速 DAC インターフェイ …...DAC LVDS インターフェイスXAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 3図3 に、基本的な DAC

改訂履歴

XAPP594 (v1.0) 2012 年 8 月 22 日 japan.xilinx.com 18

改訂履歴 次の表に、 この文書の改訂履歴を示します。

Notice of Disclaimer

The information disclosed to you hereunder (the "Materials") is provided solely for the selection and useof Xilinx products. To the maximum extent permitted by applicable law: (1) Materials are made available"AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS,EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OFMERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE;and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any othertheory of liability) for any loss or damage of any kind or nature related to, arising under, or in connectionwith, the Materials (including your use of the Materials), including for any direct, indirect, special,incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of lossor damage suffered as a result of any action brought by a third party) even if such damage or loss wasreasonably foreseeable or Xilinx had been advised of the possibility of the same. Xilinx assumes noobligation to correct any errors contained in the Materials or to notify you of updates to the Materials orto product specifications. You may not reproduce, modify, distribute, or publicly display the Materialswithout prior written consent. Certain products are subject to the terms and conditions of the LimitedWarranties which can be viewed at http://www.xilinx.com/warranty.htm; IP cores may be subject towarranty and support terms contained in a license issued to you by Xilinx. Xilinx products are notdesigned or intended to be fail-safe or for use in any application requiring fail-safe performance; youassume sole risk and liability for use of Xilinx products in Critical Applications: http://www.xilinx.com/warranty.htm#critapps.

本資料は英語版 (v1.0) を翻訳したもので、 内容に相違が生じる場合には原文を優先します。

資料によっては英語版の更新に対応していないものがあ り ます。

日本語版は参考用と してご使用の上、 新情報につきましては、 必ず 新英語版をご参照ください。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、

[email protected] までお知らせください。 いただきましたご意見を参考に早急に対応さ

せていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめ

ご了承ください。

日付 バージョ ン 内容

2012 年 8 月 22 日 1.0 初版リ リース