VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה...
Transcript of VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה...
![Page 1: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/1.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
הפקולטה להנדסת חשמל
VLSI-המעבדה ל
VLSIמרכז מחקר למערכות
![Page 2: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/2.jpg)
V - VERY
L - LARGE
S - SCALE
I - INTEGRATION
ליוני טרנזיסטורים על שטח קטןיממאות
מיליארד דולר בשנה300-שוק של כ
![Page 3: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/3.jpg)
VLSI-המעבדה ל
פרסומות
תחרות פרויקט מצטיין פקולטי•2016-ו2014, 2011, 2010, 2008מקום ראשון •
!2013-ו2012מקום שני •
!2015מקום שלישי •
ייצור שבבים•מחקר•
"הסוכן הנוסע"שבב שפותר בעיית : הוראה •
שבב המממש רשת נוירונים: הוראה •
![Page 4: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/4.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
VLSI-המעבדה ל
:צוות המעבדה
מהנדס המעבדה–גואל סמואל •
[email protected], 4668: טל 711: חדר •
מהנדס מעבדה–אמיר בר •
[email protected], 4671: טל , 714חדר •
סת מחקרדמהנ-לב שפי -שרון בר•
[email protected], 4668טל , 711חדר •
![Page 5: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/5.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
VLSI-חדרי המעבדה ל
UNIXחוות -711•
בדיקותמעבדה + PCחוות -714•
PC +UNIXחוות -715•
Memristorsמעבדה -718•
חדר דיונים–719•
![Page 6: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/6.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
הנחיות כלליות
ימים בשבוע7–שעות ביממה 24המעבדה פתוחה •
( בטיחות)אין לעבוד ביחידות במעבדה •
(לפנות לאמיר)–" מרחוק"ניתן לעבוד •
למחשבים במעבדהresetאין לכבות או לבצע •
גם לא )אין לנתק את התקשורת של המחשבים במעבדה •
(באופן זמני
אין לאכול או לשתות במעבדה•
לשמור על ציוד המעבדה•
![Page 7: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/7.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
VLSI-ציוד במעבדה ל
• 20 Linux Workstations / Servers
• 4 Sun/Solaris Workstations
• 10 Windows Workstations
• 16903 Agilent DA+PG
• Analog Test Equipment
- Digital Oscilloscope - 1Ghz
- Arbitrary waveform generator - 125 Mhz
- Quad power supply
- Metallurgical Microscope
![Page 8: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/8.jpg)
VLSI SYSTEMS RESEARCH CENTERVLSI LABORATORY
DEPARTMENT OF ELECTRICAL ENGINEERING DEPARTMENT OF COMPUTER SCIENCE
TECHNION – ISRAEL INSTITUTE OF TECHNOLOGY
Typical VLSI Design Flow
IDEA
ARCHITECTURAL
DESIGN
CUSTOM
BLOCKS
HDL
BLOCKS
SUB-BLOCK
SCHEMATIC
TRANSISTOR
LEVEL-SIMULATION
LAYOUT
LOGIC
SIMULATION
LOGIC SYNTHESIS
TECHNOLOGY
MAPPING
AUTOMATIC
PLACE & ROUTE
EXTRACTION
POST-LAYOUT
SIMULATION/TIMING
TOP-LEVEL
PLACE & ROUTE
TOP-LEVEL
VERIFICATION
PROTOTYPING
TESTING
POST-LAYOUT
SIMULATION
DRC and LVS
TIMING
VERIFICATION
M12
M11
M13 M14
R15
C14
C13
C15
0
M10
M9
M8
M7
11 2 3 4
R14R13
2
Vdd
Vin
Vout
Test Plan
Coverage
Plan
i
DUT
Spec
Test 1 Test 2 Test n-1 Test n
Generating DrivingDUT
Coverage
CheckingCollecting
Outputs
TESTBASE
Text Files
“e” files
Verilog files
CONTROLLER
DATA BUS 24 bits
CONTROL SIGNALS
RAM256x16x24
LIN
E R
EG
IST
ER
DE
MU
X 1
->8
REG_EN
DEMUX_EN
A/D128x24
128x24
A/D REGISTER
MU
X 1
6->
1
LIN
E R
EG
IST
ER
256x24
REG_EN
MUX_EN
MONITORREGISTER
VM
CO
NT
RO
LE
R
ALU
ALU_CTRL
OUT_TO_VM
Memory
32x24
RAM_CTRL
VFMH
= ?
> 2?= 1?
s1
s0
co
ci
b1a1
b0a0
architecture rotate1 of rotate is
begin
reg: process(Rst,Clk)
variable Qreg:std_logic_vector(7 downto 0);
begin
if Rst = '1' then
Qreg := "00000000";
elsif (Clk = '1' and Clk'event) then
if (Load = '1') then Qreg := Data;
else Qreg:=Qreg(0) & Qreg(7 downto 1);
end if;
end if;
Q <= Qreg;
end process;
end rotate1;
![Page 9: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/9.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
ספרתי-מ "תוכנות תיב1. Design Entry : SystemVerilog, VHDL, Bluespec SV
- Language Sensitive Editors
2. Simulation / Verification
- Ncsim, VCS
- Specman
3. RTL Synthesis
- Design Compiler, Genus
4. Timing Verification
- Design Compiler, Primetime
5. Physical Design
- Innovus, ICC
Synopsys-וCadenceתוכנות של חברות •
מומלץ לעבוד על תוכנות המעבדה•
ניתן לעבוד מרחוק•
![Page 10: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/10.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
אנלוגי-מ "תוכנות תיב
Cadence, Mentor, Keysight,Synopsysתוכנות של חברות •
המעבדהתוכנותמומלץ לעבור על •
ניתן לעבוד מרחוק•
1. Design Entry : Transistor Level Schematics
- Composer
2. Circuit Simulation
- cdsSpice, Spectre/S, ADS
3. Handcrafted Layout
- Virtuoso, Layout GXL
4. Layout Verification
- Assura, PVS, Calibre
![Page 11: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/11.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
סימולציות אלקטרומגנטיות• HFSS
Optical Simulations
• Phoenix Software Tools
סימולציות מכניות וחום• COMSOL Multiphysics -> HFSS
![Page 12: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/12.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
תהליכי יצור
• Tower CMOS 0.18u 8LM
• Tower CMOS 0.13u 6LM
• ST Microelectronics 0.13u
• TSMC 65nm CMOS
• Global Foundries CMOS RF 40nm and 28nm
![Page 13: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/13.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
דרישות כלליות
הוראות בטיחות •
ח איפיון"דו•
מסמך ספציפיקציות•
שבועות8אחרי –מצגת אמצע סמסטר •
בסוף הפרויקט–מצגת סופית •
ח סופי"דו•
קשר שבועי עם המנחה•
שעות בשבוע12-כ: השקעה •
הצגת התוצאות סופיות למנחה•
סוף סמסטר–סיום פרויקט •
![Page 14: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/14.jpg)
הפקולטה להנדסת חשמל
הבן את הבעיה. 1
?אילו אמצעים דרושים למציאת הפתרון . 2
לפתרוןבחן את האלטרנטיבות השונות . 3
ופתח דרך שיטתית לפתרוןהטוב ביותר בחר את הפתרון . 4
בצע את התוכנית. 5
עובדיםתקן חלקים שלא –נתח את הפתרון . 6
תעד את התוצאות. 7
השיטה ההנדסיתVLSI-המעבדה ל
![Page 15: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/15.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
חלוקת האחריות
מנחה•
אקדמית על הפרויקט/אחריות מקצועית•
צוות המעבדה•
תשתית, תוכנות, אחריות על הציוד•
בעיות!לגואל עם כל בעיה הקשורה לביצוע הפרויקט מידיש לפנות •
!לא לחכות עד סוף הסמסטר•
![Page 16: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/16.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
אתר המעבדה
•manuals
VHDL-תיאור מקוצר ל•
•SystemVerilog
סינתזה•
•layout
....ועוד •
כיצד להכין מצגת•
ח"כיצד לכתוב דו•
•useful links
www.ee.technion.ac.il/vlsi
![Page 17: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/17.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
Verilog(System)או VHDL-פרויקטים ב
תחילת המימוש לפנייש לסיים את התכנון •
חשוב לכתוב בסגנון נכון•
המימוש צריך להיות סינתזבילי•
VHDL-תיאור מקוצר ל•
SystemVerilog-מבוא ל•
מומלץ להתייעץ עם גואל לפני תחילת המימוש•
כבר מהיחידה הראשונה" סינתזביליות"מומלץ לבדוק •
layout-סינתזה ו•
![Page 18: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/18.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
במשאבי המעבדה
Linuxעל מחשבי חשבונות•
קידוד כרטיסים•
יש למלא טפסים אצל אמיר בשבועיים הראשונים•
החשבונות אישיים ואין לאפשר לאחרים להשתמש בהם•
:השימוש במשאבי המעבדה
חשבונות מחשב•
מדפסות•
! לצרכי הפרויקט בלבד
![Page 19: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/19.jpg)
הפקולטה להנדסת חשמל
![Page 20: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/20.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
![Page 21: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/21.jpg)
![Page 22: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/22.jpg)
![Page 23: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/23.jpg)
Idan Regev and Noy Nakash supervised by Jose YallouzTECHNION – ISRAEL INSTITUTE OF TECHNOLOGY , EE Department – VLSI Laboratory
Multicore Processors
Project Goals
Hardware Scheduler Sub-Units S-Type Instructions
VLSI
Original Contributions
Hardware Scheduler for Quad Core Multiprocessor
• The continuous drive for increased performance
has led to an unsustainable increase in power
density
• Multicore architectures can provide more
performance without further increase in power
density
• Allow increase in performance and at the same time
allow a reduction in clock frequency
Multicore Challenges
• Cache Coherency
Multiple copies of data must be kept coherent
and consistent using snoopy cache protocols
(MSI, MESI etc).
• Process Scheduling
Efficient dispatch of processes/threads to
available core according to priority, data
dependencies, resources, etc.
Replace process dependency OS software scheduling
with a custom designed hardware scheduler composed of
:
•Custom hardware scheduling module
•New S-Type Instructionsmain() {
pthread_create(1, ..)
pthread_create(2, ..)
pthread_create(3, ..)
..
..
}
Zero :
Setup0:
ST_inst1
ST_inst2
.
.
End Setup0
LDI #97,R1
LDI #81,R2
ADD
R1,R1,R3
.
ST _END
End Zero
One:
Setup 1:
ST_inst1
ST_inst2
.
.
End Setup1
LDI #3,R1
LDI #7,R2
MUL
R1,R1,R3
.
ST _END
End One
Setup0:
ST_inst1
ST_inst2
.
.
End Setup0
Setup1:
ST_inst1
ST_inst2
.
.
End Setup1
Compile
Schedule
Parser
Process Address
Dependency Process
EnableProcess
Process Number
A2110
B111
C12
03
04
One:
LDI #3,R1
LDI #7,R2
MUL
R1,R1,R3
.
ST _END
End One
Schedule Select Core +
DispatchScheduler
control
• Scheduler control FSM builds the process and processes
dependencies table • Decides which of next process can be issued for execution
• Can support up to 16 processes simultaneously
• Core monitor FSM maintains cores’ state
• The dispatcher FSM controls the reset and initialization sequence
• The cores wrapper monitors process execution and sends process
completion signal to the scheduler control FSM
• The development, design and implementation of a
completely new process scheduling paradigm
• Exploiting advantages of combining new S-Type
instructions with a hardware scheduling module
• Defining every new S-type instruction in a way that future
compilers could add them to existing code by
recompilation
• Providing a process scheduler that can be expanded to
perform additional tasks which are currently performed by
the OS scheduler
• Design of a new architecture for the MSI cache coherency
protocol for a multicore processor
functionProcess
rt
Process
id
OpcodeName#
0000XXXX[11:8]1111New process1
0001[7:4][11:8]1111Add process dependency2
0010[7:4][11:8]1111Remove process
dependency3
0011Start Address1111Define process address 4
0100Number of Instructions1111Define process Size5
1000[7:4][11:8]1111End process setup6
1111XXXX[11:8]1111End setup 7
1110XXXX[11:8]1111Process done8
Flow Control
Cac
he le
vel 2
FIFO 0 FIFO 1 FIFO 2 FIFO 3
Arbiter TDM
Cache 0 Cache 1 Cache 2 Cache 3
MSI & Data
r\w miss r\w miss r\w miss r\w miss
Cache Lv2
Cache Coherency
• A BUS controller with TDM arbitration synchronizes
between the cores' L1 caches, L2 cache and the main
memory
• The L2 cache snoops each L1 cache separately and stores
all of its calls in fifos
• Inside the L2 cache an arbiter divides the time slots among
the L1 caches and every clock checks the MSI and data
FIFOs
![Page 24: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/24.jpg)
VLSI SYSTEMS RESEARCH CENTERVLSI LABORATORY
DEPARTMENT OF ELECTRICAL ENGINEERING DEPARTMENT OF COMPUTER SCIENCE
TECHNION – ISRAEL INSTITUTE OF TECHNOLOGY
Mono-Genetic Algorithm Chip for TSPAlex Bunin and Sagi Sheer
Supervisors: Goel Samuel
Project Goal
Design and Implement a VLSI
Chip that Solves the TSP
Problem using the Mono Genetic
Algorithm
Adaptation of Genetic Algorithm to TSP
• Define suitable representation for paths
(solutions)
• Define mutations and how to apply them
• Find an efficient method of evaluating the
quality of the solution
Main Algorithm Flow
Fitness Unit
2-Cities Distance Calculation
2 2
1 2 1 2x x y y
• Technology : Tower 0.18u
• Frequency : 90 MHz.
• Area : 3 X 3 sq mm.
• Number of IO Pins : 160
• Power Consumption :300mW
Final Layout
Packaged Devices
![Page 25: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/25.jpg)
הפקולטה להנדסת חשמלVLSI-המעבדה ל
:תחילת העבודה
למנחה על מנת לקבוע מועד מידיש לפנות
!לפגישה ראשונה[email protected] אמנון סטניסלבסקי
5022 [email protected] רכניץשרון
4700 [email protected] קורמןיניב
[email protected] ינו'גשחר
[email protected] יביץלאוניד
bermanam@tx עמית ברמן
4683 [email protected] זיבאגנצבאלכס
TCE 408' פ [email protected] וונשטייןניקולס
[email protected] מועלםאיימן
![Page 26: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/26.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
למנחה על מנת לקבוע מועד מידיש לפנות
!לפגישה ראשונה[email protected] קיפררון
[email protected] רתם בן חור[email protected] אריאל אפשטיין' פ
[email protected] עדי חנוכה[email protected] גבי לבל [email protected] לואי דניאל
![Page 27: VLSI -ל הדבעמהvlsi.eelabs.technion.ac.il/wp-content/uploads/sites/18/...VLSI-ל הדבעמה תומוסרפ יטלוקפ ןייטצמ טקיורפ תורחת• 2016-ו2014](https://reader033.fdocument.pub/reader033/viewer/2022060905/60a0af42303dfb17e829de12/html5/thumbnails/27.jpg)
הפקולטה להנדסת חשמל VLSI-המעבדה ל
!בהצלחה