Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com...

81
Vivado Design Suite 移行手法ガ イ ド UG911 (v2013.2) 2013 6 19

Transcript of Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com...

Page 1: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

Vivado Design Suite

移行手法ガイド

UG911 (v2013.2) 2013 年 6 月 19 日

Page 2: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

移行手法ガイ ド japan.xilinx.com 2UG911 (v2013.2) 2013 年 6 月 19 日

Notice of DisclaimerThe information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of the Limited Warranties which can be viewed at http://www.xilinx.com/warranty.htm; IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in Critical Applications:http://www.xilinx.com/warranty.htm#critapps.© Copyright 2012-2013 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective owners.

本資料は英語版 (v2013.2) を翻訳したもので、 内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあ り ます。日本語版は参考用と してご使用の上、 最新情報につきましては、 必ず最新英語版をご参照ください。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、[email protected] までお知らせください。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめご了承ください。

改訂履歴次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 改訂内容

2013/03/20 2013.1 2013.1 用のリ リース

第 4 章 「レガシー IP を含むデザインの Vivado Design Suite への移行」 を含む IP 移行情報を追加 第 3 章 「UCF 制約の XDC への移行」 の情報を修正第 5 章 「XPS から IP インテグレーターへの移行」 を追加 Zynq および MicroBlaze プロセッサ用の XPS からの移行に関する情報を追加

第 7 章 「ISE ChipScope Logic Analyzer の Vivado ラボ ツールへの移行」 を追加 「ISE Speedprint コマンド ライン ツール」 へ注記を追加 付録 A 「使用廃止プリ ミ ティブ」 を追加

2013/06/19 2013.2 制約オプシ ョ ンと してのネッ ト ウェイ ト を削除

デザイン解析およびクロージャー関連の資料への参照を追加 58 ページの 「EDK IP の Vivado Design Suite への移行」 を追加

Page 3: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

目次

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

第 1 章 : ISE Design Suite からの移行概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

デザイン フロー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

第 2 章 : ISE Design Suite デザインの Vivado Design Suite への移行Project Navigator プロジェク トのインポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

PlanAhead ツール プロジェク トの変換 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

XST プロジェク ト ファ イルのインポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

ソース ファ イルの移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

ISE Design Suite コマンド スク リプ トのマッピング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

makefile のマッピング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

メ ッセージの違いについて . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

レポートの違いについて . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

ログ ファ イルの違いについて . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

第 3 章 : UCF 制約の XDC への移行概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

XDC と UCF 制約の違い . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

UCF から XDC へのマッピング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

制約の順序 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

PlanAhead ツールで UCF を XDC に変換 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20TimeGROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

タイ ミ ング制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

物理制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

第 4 章 : レガシー IP を含むデザインの Vivado Design Suite への移行概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

CORE Generator IP を Vivado Design Suite に移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

EDK IP の Vivado Design Suite への移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

第 5 章 : XPS から IP インテグレーターへの移行概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

Zynq-7000 AP Soc プロセッサ ベース デザインの移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

MicroBlaze プロセッサ デザインのエクスポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

第 6 章 : ISE Simulator の Tcl の Vivado シミ ュレータの Tcl への移行Tcl コマンドの移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

移行手法ガイ ド japan.xilinx.com 3UG911 (v2013.2) 2013 年 6 月 19 日

Page 4: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

第 7 章 : ISE ChipScope Logic Analyzer の Vivado ラボ ツールへの移行概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

レガシー IP コアのサポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

ChipScope Pro Analyzer コアの互換性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

第 8 章 : その他のコマンド ライン ツールの Vivado への移行概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

ISE Partgen コマンド ラ イン ツールの移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

ISE Bitgen コマンド ライン ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

ISE Speedprint コマンド ライン ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

ISE PROMGen コマンド ラ イン ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

ISE BSDLAnno コマンド ラ イン ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

付録 A : 使用廃止プリ ミテ ィブ概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

付録 B : その他のリソースザイ リ ンクスの資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

移行手法ガイ ド japan.xilinx.com 4UG911 (v2013.2) 2013 年 6 月 19 日

Page 5: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

移行手法ガイ ド japan.xilinx.com 5UG911 (v2013.2) 2013 年 6 月 19 日

第 1 章

ISE Design Suite からの移行

概要ISE® Design Suite は、ザイ リ ンクス デバイスのすべてのジェネレーシ ョ ンに対する業界がみとめたソ リ ューシ ョ ンであ り、 7 シ リーズおよび Zynq™-7000 Soc All Programmable デバイスをターゲッ トにするプロジェク トのデザイン フローにも対応しています。

Vivado® Design Suite は、 Virtex®-7、 Kintex™-7 および Artix™-7 などの 7 シ リーズ デバイスをサポート し、 特に高集積の混雑したデザインで優れたツール パフォーマンスを発揮します。

ISE Design Suite および Vivado Design Suite のどちらでも 7 シ リーズ デバイスがサポート されるので、 Vivado DesignSuite へ移行するタイ ミ ングはユーザーが決定できます。

Vivado Design Suite では、 プロジェク トおよびソース ファ イルの Vivado Design Suite プロジェク トへのインポート機能および Tcl スク リプ トへのコマンド マップを使用して、前のデザインのすべてまたは一部をスムーズに再利用できます。

デザイン フロー

Vivado Design Suite は、 さまざまな方法で起動できます。たとえば、Tcl スク リプ ト ベースのコンパイル方法を使用す

る と、 ソースやデザイン プロセスをユーザーが管理できます。 この方法は、「非プロジェク ト モード」 と呼ばれます。

また、 プロジェク ト ベースの方法を使用して、 プロジェク トおよびプロジェク トの状態を使用して、 デザイン プロ

セスおよびデザイン データを自動的に管理させるこ と もできます。 この方法は、 「プロジェク ト モード」 と呼ばれま

す。 どちらの方法でも Tcl スク リプ トのバッチ モードで実行できるほか、 Vivado IDE でインタラ クティブに実行でき

ます。 さまざまなデザイン フロー モードの詳細は、 『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』

(UG892) [参照 1] を参照して ください。

このガイ ドでは、Vivado Design Suite の両方のデザイン フロー モードでの移行に関する注意事項や手順を説明します。

Page 6: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

第 2 章

ISE Design Suite デザインの Vivado Design Suite への移行

Project Navigator プロジェク トのインポートVivado IDE (Integrated Design Environment) とは、 グラフ ィカル ユーザー インターフェイス (GUI) のこ とで、次の手順で XISE プロジェク ト ファ イルをインポートできます。

1. [File] → [New Project] をク リ ッ ク します。

2. プロジェク ト名および保存場所を選択します。

3. New Project ウ ィザードで [Imported Project] をオンにします。

4. [ISE] をオンにし、 インポートする .xise ファ イルを選択します。

重要 : Vivado Design Suite では古い ISE® Design Suite プロジェク ト (.ise) ファ イルはサポート されません。

プロジェク ト ファ イルをインポート したら、 次の作業を行います。

• インポート されたプロジェク トの重要な情報を確認するため、 サマ リ レポート を開きます。

• 選択したデバイスで要件が満たされているこ とを確認します。満たされていない場合は新しいデバイスを選択します。 ISE プロジェク トに Vivado でサポート されている同等のデバイスがない場合は、 デフォルト デバイスが選択されます。

• すべてのファイルが正し く インポート されているこ とを確認するため [Sources] ビューでファイルを確認します。

デザインにユーザー制約ファイル (UCF) が含まれている場合は、サポート されていない制約ファイルと してそのファイルが表示されます。 UCF は Xilinx® Design Constraints (XDC) 形式に変換しておかないと、 デザインにタイ ミ ング制約または物理制約が適用されません。 詳細は、 第 3 章 「UCF 制約の XDC への移行」 を参照して ください。

Vivado インターフェイスを使用してデザインを作成する詳細は、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 2] を参照して ください。

デザイン フローの次の手順については、 『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892)[参照 1] を参照して ください。

制約の詳細については、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 3] を参照して ください。

PlanAhead ツール プロジェク トの変換PlanAhead™ ツール プロジェク ト を Vivado IDE プロジェク トに変換するには、Vivado IDE で PlanAhead プロジェク トファ イル (拡張子は .ppr) を開きます。 プロンプ トに従い、 新しいプロジェク ト名および変換されたプロジェク ト を保存するディ レク ト リ を設定します。

移行手法ガイ ド japan.xilinx.com 6UG911 (v2013.2) 2013 年 6 月 19 日

Page 7: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

XST プロジェク ト ファイルのインポート

プロジェク トが変換される と、 次が変更されます。

• 7 シ リーズよ り も前のデバイスをターゲッ トにしていたプロジェク トの場合、 Vivado のデフォルトの 7 シ リーズデバイスがターゲッ トにされます。

• すべての run がリセッ ト されます。 run はツールでデザインをインプ リ メン ト した後に生成されます。

• run ス ト ラテジが Vivado のデフォルト ス ト ラテジに置き換えられます。

• UCF ファ イルはサポート されないので、 Unsupported Constraints フォルダーに移動します。

注記 : パーティシ ョ ンのあるデザインの変換はサポート されていません。

注記 : XPS を使用した Zynq-7000 AP SoC のプロセッサー デザインはサポート されていません。

XST プロジェク ト ファイルのインポート既存または最新の ISE Project Navigator プロジェ ク ト フ ァ イル (.xise) または PlanAhead プロジェ ク ト フ ァ イル(.ppr) がない場合は、 XST (Xilinx Synthesis Technology) プロジェク ト ファ イルを使用して、 初期設定を Vivado プロジェク トにインポート します。 XST プロジェク ト ファ イルをインポートするには、 次の手順に従います。

1. [File] → [New Project] をク リ ッ ク します。

2. プロジェク ト名とディ レク ト リ を選択します。

3. New Project ウ ィザードで [Imported Project] をオンにします。

4. [XST] をオンにし、 .xst 拡張子のプロジェク ト ファ イルを選択します。

プロジェク ト ファ イルをインポート したら、 次の作業を行います。

• インポート されたプロジェク トの重要な情報を確認するため、 サマ リ レポート を開きます。

• 選択したデバイスで要件が満たされているこ とを確認します。満たされていない場合は新しいデバイスを選択します。 XST プロジェク トに Vivado でサポート されている同等のデバイスがない場合は、 デフォルト デバイスが選択されます。

• すべてのファイルが正し く インポート されているこ とを確認するため [Sources] ビューでファイルを確認します。

デザイン フ ローの次の手順については、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』(UG895) [参照 1] を参照して ください。

ソース ファイルの移行先に説明したよ うに Vivado IDE にプロジェク ト をインポート した り変換する際に、 Vivado Design Suite でサポート されているソース ファ イルもすべて Vivado プロジェク トに追加できます。

• IP :既存の ISE Design Suite プロジェク トおよび IP は Vivado Design Suite プロジェク トおよび IP に移行できます。Vivado Design Suite ではインプ リ メンテーシ ョ ン中に ISE Design Suite IP を使用できます。詳細は、第 4 章 「レガシー IP を含むデザインの Vivado Design Suite への移行」 を参照して ください。

• ソース ファ イル :回路図 (SCH) および Architecture Wizard (XAW) のソース ファ イルを除き、 既存の ISE DesignSuite プロジェク ト からのソース ファ イルはすべて Vivado Design Suite の新規プロジェク トに追加できます。 たとえば、 CORE Generator™ ツールのプロジェク ト ファ イル (.xco) およびネッ ト リ ス ト ファ イル (.ngc) はデザイン ソース と して追加できます。

• 制約 : デザインまたは IP に使用されているユーザー制約ファイル (UCF) を Vivado Design Suite で使用するにはザイ リ ンクス デザイン制約 (XDC) フォーマッ トに変換する必要があ り ます。 UCF から XDC への移行については、 このガイ ドの第 3 章 「UCF 制約の XDC への移行」 を参照して ください。

移行手法ガイ ド japan.xilinx.com 7UG911 (v2013.2) 2013 年 6 月 19 日

Page 8: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

ISE Design Suite コマンド スクリプ トのマッピング

注意 : 作業中の ISE Design Suite プロジェク ト を途中で ISE Design Suite から Vivado Design Suite に移行しないでください。 デザイン制約およびスク リプ トはこの 2 つの環境間で互換性があ り ません。

ISE Design Suite コマンド スクリプ トのマッピングこのセクシ ョ ンでは、 プロジェ ク ト を使用しない Vivado Design Suite デザイン フロー モードのみを説明します。Vivado ツールで Tcl スク リプ ト を使用するユーザーを対象にしています。

FPGA デザインをインプリ メン トするための ISE Design Suite スク リプ ト を移行するには、 Tcl スク リプ ト を使用するこ とができます。 ISE Design Suite と同様、 Vivado Design Suite のコンパイル フローでは、 デザインが変換された後、変換されたデザインがデバイス用エレ メン トにマップされ、 最適化され、 配置配線され、 BIT ファ イルが生成されてプログラムされます。

表 2-1 は、 2 つのデザイン フローの主な違いを示しています。

9 ページ目の表 2-2 は、 ISE Design Suite のコマンド とそれに対応する Vivado Design Suite の Tcl コマンドを示します。Tcl コマンドは、 次のいずれかの方法で実行できます。

• Vivado IDE の Tcl コンソール

• Tcl プロンプ ト (vivado -mode tcl)

• バッチ スク リプ ト (vivado -mode batch -source my.tcl)

表 2-1 : ISE Design Suite デザイン フロー vs Vivado Design Suite デザイン フロー

ISE Design Suite Vivado Design Suite

別々のコマンド ライン アプリ ケーシ ョ ン シェルの Tcl コマンド

XCF/NCF/UCF/PCF 制約 XDC タイ ミ ングおよび物理制約

デザイン制約 (タ イ ミ ングまたは物理制約) はフローの初期段階でのみ適用

制約 (タイ ミ ングまたは物理) は、フローのどの段階でも適用、 変更、 削除可能

複数のデータベース ファ イル (NGC、NGD、NCD)が必要

単一のデザイ ン データベース (拡張子 .dcp のチェッ クポイン ト ) はフローのどの段階でもオンデマンドで書き出し可能

アプリケーシ ョ ンによるレポート生成 レポートはフローのどの段階でも、 可能であればオンデマンドで生成可能

移行手法ガイ ド japan.xilinx.com 8UG911 (v2013.2) 2013 年 6 月 19 日

Page 9: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

ISE Design Suite コマンド スクリプ トのマッピング

ISE と Vivado Design Suite で使用されるアルゴ リズムは異なるため、2 つのツールのフロー間で 1:1 のマッピングが常に可能なわけではあ り ません。 表 2-3 は、 2 つのインプ リ メ ンテーシ ョ ン フロー間でよ く使用されるオプシ ョ ンのマッピングについて示しています。

表 2-2 : ISE Design Suite コマンド と Vivado Design Suite の Tcl コマンド

ISE Design Suite コマンド Vivado Design Suite の Tcl コマンド

xst read_verilogread_vhdlread_xdcsynth_design

注記 : この順番でコマンドを実行する必要があ り ます。

ngdbuild read_edifread_xdclink_design

注記 : これらのコマン ドは、 サードパーテ ィ合成ツールから インポー ト する場合にのみ必要です。synth_design を使用する場合は、 この手順は必要あり ません。

map opt_design

power_opt_design (オプシ ョ ン)place_design

phys_opt_design (オプシ ョ ン)

par route_design

trce report_timingreport_timing_summary

xpwr read_saifreport_power

drc report_drc

netgen write_verilogwrite_vhdlwrite_sdf

bitgen write_bitstream

表 2-3 : ISE から Vivado インプリ メンテーシ ョ ン フローへのマッピング

ISE Vivado

ngdbuild -p partname link_design -part

ngdbuild -a (パッ ドを挿入) synth_design -no_iobuf (バッファーを挿入しない)

ngdbuild -u (未展開のブロ ッ クを許可) デフォルトでイネーブル、 ク リ ティカル警告メ ッセージを表示

ngdbuild -quiet link_design -quiet

map -detail opt_design -verbose

map -lc auto place_design で自動的に実行

移行手法ガイ ド japan.xilinx.com 9UG911 (v2013.2) 2013 年 6 月 19 日

Page 10: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

ISE Design Suite コマンド スクリプ トのマッピング

Tcl コマンド情報の入手

デザイン インプ リ メ ンテーシ ョ ンおよび解析に使用するその他の Tcl コマンドの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照して ください。 Tcl コマンド プロンプ トでヘルプを表示するには、 次を入力します。

• help <command>

• <command> -help

Tcl コマンドのカテゴ リに関するヘルプを表示するには、 次を入力します。

• help (カテゴ リが リ ス ト される)

• help -category <category>

注記 : 対話型ヘルプにはオート コンプ リート機能があ り、 end や EndGroup などの大文字/小文字は区別されません。コマン ドやカテゴ リ のヘルプを表示する場合は、 すべて小文字で入力してオー ト コンプ リー ト機能を使用する と(「endgroup」 と タイプする代わりに 「end」 )、 時間の節約になり ます。

コマンド ラインの例

次は、 run.cmd ファ イルに入力できる典型的な ISE Design Suite コマンド ラ イン run の例です。 run.tcl ファ イルに入力できる Vivado Design Suite の Tcl コマンドを使用した同じ run もその後に示しています。

map -logic_opt opt_design、 phys_opt_design

map -mt place_design は Linux の場合 4 つ、Windows の場合 2 つのコアを使用して mt を自動的に実行)

map -ntd place_design -non_timing_driven

map -ol place_design -effort_level

map -power power_opt_design

map -u link_design -mode out_of_context、 opt_design -retarget (制約伝搬を飛ばして削除)

par -pl place_design -effort_level

par -rl route_design -effort_level

par -mt route_design は Linux の場合 4 つ、 Windows の場合 2 つのコアを使用して mt を自動的に実行

par -k (既存の配置配線を維持) route_design のデフォルト ビヘイビア

par -nopad report_io (パッ ド レポート を生成)

par -ntd route_design -no_timing_driven

表 2-3 : ISE から Vivado インプリ メンテーシ ョ ン フローへのマッピング (続き)

ISE Vivado

移行手法ガイ ド japan.xilinx.com 10UG911 (v2013.2) 2013 年 6 月 19 日

Page 11: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

ISE Design Suite コマンド スクリプ トのマッピング

例 1 : ISE Design Suite コマンドを Vivado Design Suite の Tcl コマンドへマッピング

ISE Design Suite コマンド ライン

xst -ifn design_top.xst

#-ifn (input file name with project settings and options)ngdbuild -sd ..-dd .-p xc7v585tffg1157-2 -uc design_top.ucf design_top.ngd

#-sd (search directory), -dd (destination directory), -p (part), -uc (UCF#file)map -xe c -w -pr b -ol high -t 2 design_top_map.ncd design_top.pcf#-xe c (extra effort), -w (overwrite existing file), -pr b (push registers#into IOBs), -ol (overall effort), -t (placer cost table)par -xe c -w -ol high -t 2 design_top_map.ncd design_top.ncd#-xe c (extra effort), -w (overwrite existing file), -ol (overall effort), -t#(placer cost table)trce -u -e 10 design_top.ncd design_top.pcf#-u (report uncovered paths), -e (generate error report)bitgen –w design_top.ncd design_top.pcf

同等の Vivado Design Suite の Tcl コマンド

set design_name design_top

#read inputs

read_verilog { $design_name.v source2.v source3.v }

read_vhdl -lib mylib { libsource1.vhdl libsource2.vhdl }

read_xdc $design_name.xdc

#run flow and save the database

synth_design -top $design_name -part xc7v585tffg1157-21

write_checkpoint -force ${design_name}_post_synth.dcp

opt_design

place_design

write_checkpoint -force ${design_name}_post_place.dcp

report_utilization –file post_place_util.txt

route_design

#Reports are not generated by default

report_timing_summary –file post_route_timing.txt

#Save the database after post route

write_checkpoint -force ${design_name}_post_route.dcp

#Check for DRC

report_drc -file post_route_drc.txt

# Write Bitstream

write_bitstream -force ${design_name}.bit

例 2 : サードパーティ合成用の Vivado Design Suite Tcl コマンド (EDIF で開始)

set design_name design_top

移行手法ガイ ド japan.xilinx.com 11UG911 (v2013.2) 2013 年 6 月 19 日

Page 12: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

makefile のマッピング

#read inputs

read_edif { source1.edf source2.edf $design_name.edf }

read_xdc $design_name.xdc

link_design –part xc7v585tffg1157-2 –top $design_name

#Reports are not generated by default

report_timing_summary –file post_synth_timing_summ.txt

opt_design

place_design

write_checkpoint -force ${design_name}_post_place.dcp

report_utilization –file post_place_util.txt

route_design

#Reports are not generated by default

report_timing_summary –file post_route_timing.txt _summ.txt

#Save the database after post route

write_checkpoint -force ${design_name}_post_route.dcp

#Check for DRC

report_drc -file post_route_drc.txt

# Write Bitstream

write_bitstream -force ${design_name}.bit

makefile のマッピングmakefile は、 make コマンドによって参照されるテキス ト ファ イルで、 make コマンドのコンパイル方法やプログラムのリ ンク方法を制御します。 makefile には、 アクシ ョ ンの実行タイ ミ ングを指定するルールや、 ソース レベルおよびビルド順序といった情報が含まれます。 コンパイル コマンドのシーケンスを決定するため、 makefile で依存ファイルのタイムスタンプがチェッ ク されます。 makefile の記述例は次のとおりです。

例 : ISE Design Suite の makefile を Vivado Design Suite の makefile へマッピング

ISE Design Suite で使用する makefile のサンプル

DESIGN = test

DEVICE = xc7v585tffg1157-2

UCF_FILE = ../Src/${DESIGN}.ucf

EDIF_FILE = ../Src/${DESIGN}.edf

# Make all runs to place & route

all : place_n_route

# bitstream :Creates device bitstream

bitstream :./${DESIGN}.bit

移行手法ガイ ド japan.xilinx.com 12UG911 (v2013.2) 2013 年 6 月 19 日

Page 13: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

makefile のマッピング

# place_n_route:Stops after place and route for analysis prior to bitstream generation

place_n_route :${DESIGN}.ncd

# translate:Stops after full design elaboration for analysis and floorplanning prior to place and route step

translate :${DESIGN}.ngd

# Following executes the ISE run

${DESIGN}.bit :${DESIGN}.ncd

bitgen -f ${DESIGN}.ut ${DESIGN}.ncd

${DESIGN}.ncd :${DESIGN}_map.ncd

par -w -ol high ${DESIGN}_map.ncd ${DESIGN}.ncd ${DESIGN}.pcf

${DESIGN}_map.ncd :${DESIGN}.ngd

map -w -ol high -o ${DESIGN}_map.ncd ${DESIGN}.ngd ${DESIGN}.pcf

${DESIGN}.ngd :${EDIF_FILE} ${UCF_FILE}

ngdbuild -uc ${UCF_FILE} -p ${DEVICE} ${EDIF_FILE} ${DESIGN}.ngd

# Clean up all the files from the Vivado run

clean :

rm -rf *.ncd *.ngd *.bit *.mrp *.map *.par *.bld *.pcf *.xml *.bgn *.html \

*.lst *.ngo *.xrpt *.unroutes *.xpi *.txt *.pad *.csv *.ngm xlnx_auto* \

_xmsgs *.ptwx

# Tar and compress all the files

tar :

tar -zcvf ${DESIGN}.tar.gz *.ncd *.ngd *.mrp *.map *.par *.bld *.pcf *.bgn \

Makefile

Vivado Design Suite で使用する同等の makefile

DESIGN = test

DEVICE = xc7v585tffg1157-2

XDC_FILE = ../Src/${DESIGN}.xdc

EDIF_FILE = ../Src/${DESIGN}.edf

# Make all runs to place & route

all : place_n_route

移行手法ガイ ド japan.xilinx.com 13UG911 (v2013.2) 2013 年 6 月 19 日

Page 14: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

makefile のマッピング

# bitstream :Creates device bitstream

bitstream :./${DESIGN}.bit

# place_n_route:Stops after place and route for analysis prior to bitstream generation

place_n_route :./${DESIGN}_route.dcp

# translate:Stops after full design elaboration and initial optimization for analysis and floorplanning prior to place and route step

translate :./${DESIGN}_opt.dcp

# Following calls Tcl files for each desired portion of the Vivado run

# Design checkpoint files and bit file used for dependency management

./${DESIGN}.bit :./run_vivado_place_n_route.tcl ./${DESIGN}_route.dcp

vivado -mode batch -source run_vivado_bitstream.tcl -tclargs ${DESIGN}

./${DESIGN}_route.dcp :./run_vivado_place_n_route.tcl ./${DESIGN}_opt.dcp

vivado -mode batch -source run_vivado_place_n_route.tcl -tclargs \

${DESIGN}

./${DESIGN}_opt.dcp :./run_vivado_opt.tcl ${EDIF_FILE} ${XDC_FILE}

vivado -mode batch -source run_vivado_opt.tcl -tclargs ${DESIGN} ${DEVICE} ${EDIF_FILE} ${XDC_FILE}

# Clean up all the files from the Vivado run

clean :

rm -f *.jou *.log *.rpt *.dcp *.bit *.xml *.html

# Tar and compress all the files

tar :

tar -zcvf ${DESIGN}.tar.gz *.jou *.log *.rpt *.dcp *.tcl Makefile

Vivado makefile に関連した Tcl ファイル

run_vivado_opt.tcl

# Gathering TCL Args

set DESIGN [lindex $argv 0]

set DEVICE [lindex $argv 1]

set EDIF_FILE [lindex $argv 2]

set XDC_FILE [lindex $argv 3]

# Reading EDIF/NGC file

read_edif ../Src/${DESIGN}.edf

移行手法ガイ ド japan.xilinx.com 14UG911 (v2013.2) 2013 年 6 月 19 日

Page 15: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

makefile のマッピング

# Linking Design

link_design -part ${DEVICE} -edif_top_file ../Src/${DESIGN}.edf

# Running Logic Optimization

opt_design

# Adding Constraints

read_xdc ${XDC_FILE}

# Saving Run

write_checkpoint -force ./${DESIGN}_opt.dcp

# Creating opt reports

report_utilization -file ${DESIGN}_utilization_opt.rpt

report_timing_summary -max_paths 10 -nworst 1 -input_pins -

report_io -file ${DESIGN}_io_opt.rpt

report_clock_interaction -file ${DESIGN}_clock_interaction_opt.rpt

exit

run_vivado_place_n_route.tcl

# Gathering TCL Arg

set DESIGN [lindex $argv 0]

read_checkpoint ./${DESIGN}_opt.dcp

# Placing Design

place_design

write_checkpoint -force ./${DESIGN}_place.dcp

# Routing Design

route_design

# Saving Run

write_checkpoint -force ./${DESIGN}_route.dcp

# Creating route reports

report_timing_summary -max_paths 10 -nworst 1 -input_pins -

report_drc -file ${DESIGN}_drc_route.rpt

exit

run_vivado_bitstream.tcl

# Gathering TCL Arg

移行手法ガイ ド japan.xilinx.com 15UG911 (v2013.2) 2013 年 6 月 19 日

Page 16: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

メ ッセージの違いについて

set DESIGN [lindex $argv 0]

read_checkpoint ./${DESIGN}_route.dcp

# Create bitstream

write_bitstream -force ${DESIGN}.bit

exit

注記 : このフローは終了し、 makefile で定義された処理が Vivado で再開されます。 このフローは make 構造からの実行を制御しやすくはな り ますが、 ソフ ト ウェアを一度終了して再起動し、定義された処理ごとにデザインが読み込み直されるため、 実行時間の点では効率的ではあ り ません。 makefile による制御よ り ランタイムを重視する場合は、 ステップから次のステップへ移動する と きにもデザインを メモリに読み込み直す必要がないので実行全体を Tcl で構築したほうがよいでし ょ う。

メ ッセージの違いについてVivado Design Suite では、 ISE Design Suite の情報、 警告、 エラー メ ッセージと同じコンセプ トが使用され、 それぞれに ID 番号が付いています (例 : ngdbuild:604)。 アプ リ ケーシ ョ ンにも HDL-189 などの ID 番号が付いたメ ッセージが表示されます。 Vivado Design Suite には、 ステータス と ク リ ティカル警告の 2 つの新しいタイプのメ ッセージが含まれます。

• ステータスは実行中のツール プロセスについての情報を表示します。

• Vivado Design Suite のク リティカル警告は ISE Design Suite のエラーと同じですが、Vivado デザイン プロセスが中断しない点が異なり ます。デザインのク リ ティカル警告はビッ ト ス ト リーム生成 (write_bitstream) 段階でエラーになり、 デザイン プロセスを停止します。

推奨 : デザインを続ける前にク リティカル警告を解決するよ うにして ください。

表 2-4 は、 Vivado Design Suite のメ ッセージ タ イプ 5 つを示しており、 ユーザーが対応する必要があるのかど うか、およびメ ッセージの目的について示しています。

表 2-4 : Vivado Design Suite のメ ッセージ タイプ

タイプ 処置 目的

STATUS 必要なし 一般的なプロセスのステータスおよびデザイン プロセスに関するユーザーへのフ ィードバッ クを示します。

重要度やメ ッセージ ID タグが含まれていないこ とを除き、 STATUSメ ッセージは INFO メ ッセージと同じです。

INFO 必要なし プロセスの一般的なステータスおよびデザイン プロセスに関するユーザーへのフ ィードバッ クを示します。 INFO メ ッセージは、 STATUS メ ッセージと同じですが、 フ ィルター処理や検索のための重要度やメ ッセージ ID タグが含まれる点が異なり ます。

WARNING オプシ ョ ン 制約または仕様が意図どおりに適用されていないために、 最適なデザイン結果が得られない可能性があるこ とを示します。 プロセスは完了するまで続行され、 有効な結果が生成されます。

移行手法ガイ ド japan.xilinx.com 16UG911 (v2013.2) 2013 年 6 月 19 日

Page 17: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

レポートの違いについて

レポートの違いについてISE Design Suite では、 デザイン フローで各アプリ ケーシ ョ ンが実行される とレポートが自動的に生成されます。 たとえば次の拡張子のレポートが含まれます。

• xst の場合は .syr

• ngdbuild の場合は .bld

• map の場合は .mrp

• par の場合は .par

• trce の場合は .twr

• xpwr の場合は .pwr

Vivado Design Suite では、 どのデザイン段階でもレポート を生成できます。 オンデマンドでレポート を作成する利点は次のとおりです。

• よ り優れたランタイム : 随時レポート を作成するこ とでランタイムをよ り管理しやすくなり ます。

• レポートの多さ :デザイン フローのどの段階ででもレポート を生成し、 さ らに多くのレポート を表示させるこ とができます。 たとえば、 合成後、 最適化後、 配線後にデザインのリ ソース使用率レポート を生成して、 最新情報を確認するこ とができます。

Vivado IDE を使用したプロジェク ト モードを使用する場合は、 決まった数のレポートが生成され、 [Reports] ビューに表示されます。

Tcl コマンドまたはスク リプ ト を使用した非プロジェク ト モードを使用する場合、Tcl レポート コマンドを追加して、指定した段階のレポートが生成されるよ うにします。

特定の report_* コマンドを使用する と、 使用率、 タイ ミ ング、 DRC 結果などのさまざまなタイプの情報をレポートできます。デフォルトでは、レポートはツールのログ ウ ィンド ウ と vivado.log ファ イルに出力されますが、ファイルに出力するこ と も可能です。 レポート の リ ス ト とその説明は、 Tcl コマンド プロンプ ト で 「help -categoryreport」 と入力する と表示されます。

表 2-5 は、 ISE Design Suite のレポート情報に対応する Vivado Design Suite のレポート コマンドを示しています。

CRITICAL WARNING 推奨 ハード ウ ェアが正し く 動作しない可能性があ り、 後のフ ローでERROR になる可能性があるこ とを示します。プロセスは ERROR が発生するまで続行されます。

ERROR 必要あり デザイン結果が使用できな くなる問題があるこ とを示し、 エラーに対処しない限り回避できません。 プロセスは、 こ こから先に進められません。

表 2-5 : ISE Design Suite のレポート と Vivado Design Suite のレポート

ISE Design Suite の情報 (レポート ) Vivado Design Suite コマンド

使用率情報 (.syr、 .mrp、 .par) report_utilization、 report_clock_utilization

I/O 情報 (.pad) report_io

タイ ミ ング情報 (.par、 .twr) report_timing、 report_timing_summary

消費電力情報 (.pwr) report_power

表 2-4 : Vivado Design Suite のメ ッセージ タイプ (続き)

タイプ 処置 目的

移行手法ガイ ド japan.xilinx.com 17UG911 (v2013.2) 2013 年 6 月 19 日

Page 18: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

ログ ファイルの違いについて

ログ ファイルの違いについて ISE Design Suite ツールでは、個々のコマンド ログ ファ イルにステータスおよび出力情報が生成されます。たとえば、出力ステータス とマップ実行の進捗状況は .map ファ イルに、 PAR (配置配線) の出力は .par に保存されます。

Vivado Design Suite では、 すべてのツール コマン ドおよび出力を記録したログ ファ イルが 1 つ使用されます。 このファイルの名前はデフォルトで vivado.log ですが、vivado –log オプシ ョ ンを使用する と変更できます。VivadoDesign Suite のログ ファ イルにはフェーズごとにフローの進捗状況が表示されます。各フェーズには、名前と数、1 行のパフォーマンス サマリが含まれます。 次に例を示します。

report_timing:Time (s): cpu = 00:03:57 ; elapsed = 00:03:55 .Memory (MB): peak = 6526.066 ; gain = 64.125

説明 :

° cpu : すべてのプロセッサのランタイム合計

° elapsed : プロセスを実行するのに実際に使用した時間

° peak : その特定のデザイン段階までの最大メモリ使用量

° gain : 特定のデザイン段階までのピーク メモ リ使用量に追加される メモ リ使用量。 たとえば、 上記の例では、 report_timing によ り ピーク メモ リ使用量に 64.125 MB 追加されています。

移行手法ガイ ド japan.xilinx.com 18UG911 (v2013.2) 2013 年 6 月 19 日

Page 19: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

第 3 章

UCF 制約の XDC への移行

概要Vivado™ Integrated Design Environment (IDE) では、ISE® Design Suite で使用されていたユーザー制約ファイル (UCF) の制約はサポート されません。 UCF 制約を含む場合は、 ザイ リ ンクス デザイン制約 (XCF) 形式に変換する必要があ ります。

• XDC 制約の詳細については、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 3] を参照してください。

• UCF 制約の詳細は、 『制約ガイ ド』 (UG625)[参照 4] を参照してください。

• タイ ミ ングの詳細については、 次を参照して ください。

° 『Vivado Design Suite チュート リ アル : デザイン解析およびクロージャ テクニッ ク』 (UG938) [参照 9]

° 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャー テクニッ ク』 (UG906) [参照 8]

UCF と同様に、 XDC は次のものから構成されています。

• タイ ミ ング制約。 XDC タイ ミ ング制約は Synopsys デザイン制約 (SDC) を基本にしています。

• 物理制約

重要 : この方法は、 I/O などの物理制約の変換に向いています。 タイ ミ ング制約は、最初から作成し直すこ とをお勧めします。

XDC と UCF 制約の違いXDC 制約と UCF 制約の基本的な違いは、 次のとおりです。

• XDC はシーケンシャル言語で、 明確な優先順位ルールがあ り ます。

• UCF は通常ネッ トに適用されますが、 XDC は通常ピン、 ポート、 およびセル オブジェク トに適用されます。

• UCF の PERIOD 制約と XDC の create_clock コマンドは常に同じではないので、 タイ ミ ング結果が異なること もあ り ます。

• デフォルトの UCF では、 非同期クロ ッ ク グループ間のタイ ミ ングが考慮されませんが、 XDC ではすべてのクロッ クが set_clock_groups 制約の付いていない限り、 関連している と され、 タイ ミ ングが考慮されます。

• XDC では、 同じオブジェク トに複数のクロ ッ クを存在させるこ とが可能です。

移行手法ガイ ド japan.xilinx.com 19UG911 (v2013.2) 2013 年 6 月 19 日

Page 20: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

UCF から XDC へのマッピング

UCF から XDC へのマッピング表 3-1 は、 UCF 制約と同等の XDC コマンドを示しています。

制約の順序デザインで XDC ファ イルを 1 つまたは複数使用する場合のどちらでも、 制約は次の順序で指定してください。

## タイ ミ ング アサートのセクシ ョ ン# プライマ リ クロ ッ ク# 仮想クロ ッ ク# 生成されたクロ ッ ク# クロ ッ ク グループ# 入力および出力遅延制約

## タイ ミ ング例外のセクシ ョ ン (優先度で分類)# フォルス パス# 最大遅延/最小遅延# マルチサイクル パス# ケース解析# ディ スエーブル タ ミ ング

## 物理制約のセクシ ョ ン# ファ イルの任意位置にあるもの (できればタイ ミ ング制約の前後にあるもの)。# または別の XDC ファ イルに保存されているもの

PlanAhead ツールで UCF を XDC に変換PlanAhead™ ツールで、 UCF 制約を含む ISE Design Suite または PlanAhead プロジェク ト を開く と、 UCF 制約を XDCへ変換するこ とができます。 デザインをデータベースに読み込む際に、 write_xdc コマンドを入力する と、 UCF 制約の大部分を変換できます。 この出力ファイルは手動で検証する必要があ り ます。すべてのデザイン制約が正しいことを確認するには、 一部の制約を手動で XDC に変換する必要もあ り ます。

表 3-1 : UCF 制約と同等の XDC コマンド

UCF SDC

TIMESPEC PERIOD create_clock create_generated_clock

OFFSET = IN <x> BEFORE <clk> set_input_delay

OFFSET = OUT <x> BEFORE <clk> set_output_delay

FROM:TO “TS_”*2 set_multicycle_path

FROM:TO set_max_delay

TIG set_false_path

NET “clk_p” LOC = AD12 set_property LOC AD12 [get_ports clk_p]

NET “clk_p” IOSTANDARD = LVDS set_property IOSTANDARD LVDS [get_ports clk_p]

移行手法ガイ ド japan.xilinx.com 20UG911 (v2013.2) 2013 年 6 月 19 日

Page 21: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

TimeGROUP

Tcl コマンドの write_xdc を使用する場合は、 合成済みのネッ ト リ ス トが開いていて、 UCF ファ イルが読み込まれている必要があ り ます。 PlanAhead ツールで次の操作を行います。

1. UCF 制約を含むプロジェク ト を開きます。

2. [Open Synthesized Design] をク リ ッ ク します。

3. Tcl コンソールに次を入力します。

write_xdc filename.xdc

write_xdc コマンドは、ファ イル コンバーターではあ り ません。デザインに適用するこ とができた制約を XDC ファイルと して記述するコマンドです。 出力される XDC ファ イルには次のものが含まれています。

• 変換された各 UCF 制約のUCF ファ イル名および行番号を含むコ メン ト

• 変換が行われなかったものに対するコ メン ト

重要 : 制約が変換できなかったこ とを示すク リティカル警告メ ッセージに注意して ください。

この変換は XDC ベースの制約へ移行する際の起点と して使用するこ とを目的と しています。

推奨 : UCF と XDC の根本的な違いのため自動化では最適な結果を得るこ とはできないので、 この変換プロセスを使用せずに XDC タイ ミ ング制約を作成するこ とを推奨します。

• UCF ファ イルの変換に PlanAhead を使用するのが、 物理制約および基本的なタイ ミ ング制約の変換には最適です。 通常、 単純クロッ ク定義および I/O 遅延のタイ ミ ング制約はう ま く変換できますが、

重要 : タイ ミ ング例外は手動で変換するこ とを推奨します。 多くは変換されず、 また変換されたと しても最適ではない結果となる可能性があ り ます。

• Vivado IDE (XDC/SDC) と ISE Design Suite (UCF) のタイ ミ ング制約には根本的な違いがあるので、 直接変換するこ とは不可能です。 このため、 UCF 制約を確認し直して、 XDC を使用して新しいアプローチ方法を使用した方がいい場合があ り ます。

• 変換はエラボレート済み RTL デザインで実行できますが、 典型的な UCF で参照されるオブジェク トの多くはこの段階では存在しないので、 データベースには適用されません。

• データベースに適用された制約のみが XDC と して出力されます。 このため、 通常は単純クロ ッ クおよび I/O 遅延のみをエラボレート された RTL デザインから変換できます。

TimeGROUP INST/TNM および TIMESPEC と同じ効果を得るため、 タイ ミ ング例外を使ってTcl 変数を使用するこ とができます。次はその例です。

UCF の例 :

INST "DUT/BLOCK_A/data_reg[*]” TNM = "from_data_reg_0"; INST "DUT/BLOCK_A/addr_reg[*]” TNM = "from_data_reg_0"; INST "DUT/BLOCK_B/data_sync[*]” TNM = "to_data_reg_0"; INST "DUT/BLOCK_B/addr_sync[*]” TNM = "to_data_reg_0"; TIMESPEC "TS_MCP" = FROM "from_data_reg_0" TO "to_data_reg_0" TS_FSCLK * 3;

Tcl を使用した場合 :

set from_data_reg_0 [get_cells {DUT/BLOCK_A/data_reg[*] DUT/BLOCK_A/addr_reg[*]}];

移行手法ガイ ド japan.xilinx.com 21UG911 (v2013.2) 2013 年 6 月 19 日

Page 22: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

タイ ミング制約

set to_data_reg_0 [get_cells {DUT/BLOCK_B/data_sync[*] DUT/BLOCK_B/addr_sync[*]}]; set_multicycle_path -setup 3 -from $from_data_reg_0 -to $to_data_reg_0; set_multicycle_path -hold 2 -from $from_data_reg_0 -to $to_data_reg_0;

タイ ミ ング制約Vivado Design Suite で XDC タイ ミ ング制約と して変換するこ とができる ISE Design Suite のタイ ミ ング制約を説明します。 各制約に対して UCF の記述例、 同等の XDC の記述例を示します。

ポート などデザインの境界に直接接続されていないネッ トにク ロ ッ クを作成する と き、 UCF と XDC は異な り ます。XDC では、 ネッ トのプライマ リ クロ ッ クを create_clock を使用して定義した場合、 ソース ポイン トはネッ トの駆動ピンになり ます。

この起点よ り も前のクロッ ク挿入遅延は無視されます。 このため、 ほかの関連クロ ッ ク と このク ロ ッ クのタイ ミ ングを処理する と きにスキューが正確にはならないので、 問題になる可能性があ り ます。 create_clock は、 ク ロ ッ クツ リーの元 (たとえば、 入力ポート または GT クロ ッ ク出力ピン) で使用する必要があ り ます。 デザインの真ん中では使用しないでください。 デザインの途中には生成されたクロ ッ クのみを作成します。

クロック制約

周期UCF の例 NET "clka" TNM_NET = "clka";

TIMESPEC "TS_clka" = PERIOD "clka" 13.330 ns HIGH 50.00%;

XDC の例 create_clock -name clka -period 13.330 -waveform {0 6.665}[get_ports clka]

デューテ ィ サイクル が 50% 以外の場合の PERIOD 制約UCF の例 NET "clka" TNM_NET = "clka";

TIMESPEC "TS_clka" = PERIOD "clka" 13.330 ns HIGH 40.00%;

XDC の例 create_clock -name clka -period 13.330 -waveform {0 5.332} [get_portsclka]

生成されたクロックの制約UCF の例 NET "gen_clk" TNM_NET = "gen_clk";

TIMESPEC "TS_gen_clk" = PERIOD "gen_clk" "TS_clka" * 0.500 HIGH 50.00%;

XDC の例 create_generated_clock -source [get_ports clka] -name gen_clk-multiply_by 2 [get_ports gen_clk]

LOW キーワードを含む PERIOD 制約UCF の例 NET "clka" TNM_NET = "clka";

TIMESPEC "TS_clka" = PERIOD "clka" 13.330 ns LOW 50.00%;

XDC の例 create_clock -name clka -period 13.330 -waveform {6.665 13.330}[get_ports clka]

移行手法ガイ ド japan.xilinx.com 22UG911 (v2013.2) 2013 年 6 月 19 日

Page 23: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

タイ ミング制約

OFFSET IN

ネッ トの PERIOD 制約UCF の例 NET "clk_bufg" PERIOD = 10 ns;

XDC の例 create_clock -name clk_bufg -period 10 -waveform {0 5} [get_pins clk_bufg/O}

注記 : クロ ッ クを bufg/O に定義する特別の理由がない場合は、アップス ト リームの最上位ポートで定義して ください。

BEFOREUCF の例 OFFSET = IN 8 BEFORE clka;

XDC の例 set_input_delay -clock clka 2 [all_inputs]

注記 : この例では、 クロ ッ ク周期は 10ns である と想定しています。

AFTERUCF の例 OOFFSET = IN 2 AFTER clka;

XDC の例 set_input_delay -clock clka 2 [all_inputs]

注記 : この例では、 クロ ッ ク周期は 10ns である と想定しています。

BEFORE (入力ポート ネッ トの前)UCF の例 NET enable OFFSET = IN 8 BEFORE clka;

XDC の例 set_input_delay 2 [get_ports enable]

注記 : この例では、 クロ ッ ク周期は 10ns である と想定しています。

BEFORE (入力ポート バスの前)UCF の例 INST "processor_data_bus[*]" TNM = "processor_bus";

TIMEGRP "processor_bus" OFFSET = IN 8ns BEFORE "clka";

XDC の例 set_input_delay 2 [get_ports {processor_data_bus[*]}]

注記 : オフセッ トはポートのみに適用されます。

TIMEGROUP へUCF の例 INST "input_ffs[*]" TNM = "input_ffs";

OFFSET = IN 8ns BEFORE "clka" TIMEGRP "input_ffs";

XDC の例 手動変換が必要です。 詳細は、 TimeGROUP, page 21を参照してください。

FALLING/RISING (立ち下がり /立ち上がりエッジ)UCF の例 OFFSET = IN 8ns BEFORE "clka" FALLING;

XDC の例 set_input_delay -clock clka 2 [all_inputs]

注記 : この例では、 クロ ッ ク周期は 10ns である と想定しています。

LOW/HIGH キーワード

UCF の例 OFFSET = IN 8ns BEFORE "clka" HIGH;

移行手法ガイ ド japan.xilinx.com 23UG911 (v2013.2) 2013 年 6 月 19 日

Page 24: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

タイ ミング制約

OFFSET OUT

.

XDC の例 手動変換が必要です。

注記 : HIGH/LOW キーワード は、 RISING/FALLING の以前のキーワー ド です。RISING/FALLING を使用するこ とが推奨されます。

VALID キーワード

UCF の例 OFFSET = IN 1ns VALID 2ns BEFORE clka;

XDC の例 set_input_delay -clock clka -max 9 [all_inputs] set_input_delay -clock clka -min 1[all_inputs]

注記 : これによ り、 ク ロ ッ ク周期は 10ns である と想定されます。

AFTERUCF の例 OFFSET = OUT 12 AFTER clkc;

XDC の例 set_output_delay -clock clkc 8 [all_outputs]

注記 : この例では、 クロ ッ ク周期は 20ns である と想定しています。

BEFOREUCF の例 OFFSET = OUT 8 BEFORE clkc;

XDC の例 set_output_delay -clock clkc 8 [all_outputs]

注記 : これによ り、 ク ロ ッ ク周期は 20ns である と想定されます。

出力ネッ ト

UCF の例 NET out_net OFFSET = OUT 12 AFTER clkc;

XDC の例 set_output_delay 8 [get_port out_net]

注記 : これによ り、 ク ロ ッ ク周期は 20ns である と想定されます。

出力のグループ

UCF の例 TIMEGRP outputs OFFSET = OUT 12 AFTER clkc;

XDC の例 set_output_delay -clock clkc 8 [get_ports outputs*]

注記 : この例では、 クロ ッ ク周期は 20ns である と想定しています。

TIMEGROUP から

UCF の例 OFFSET = OUT 1.2 AFTER clk TIMEGRP from_ffs;

XDC の例 手動変換が必要です。

FALLING/RISING (立ち下がり /立ち上がりエッジ) キーワード UCF の例 OFFSET = OUT 12 AFTER clkc FALLING;

XDC の例 set_output_delay -clock clkc -clock_fall 8 [all_outputs]

LOW/HIGH キーワード

移行手法ガイ ド japan.xilinx.com 24UG911 (v2013.2) 2013 年 6 月 19 日

Page 25: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

タイ ミング制約

FROM:TO 制約

通常、 UCF の FROM:TO 制約は XDC のset_max_delay または set_min_delay 制約に変換され、デザインによって -from, -to および -through オプシ ョ ンが付きます。

UCF 制約では同等の XDC 制約が使用されます。 ほとんどの UCF 制約はネッ ト を基準と していますが、 XDC 制約はポートおよびピンに対して作成する必要があ り ます。

これらの制約に役立つ XDC コマン ド は all_fanout、 get_cells および get_pins などで、 -from、 -to、-through オプシ ョ ンも有益です。

LOW キーワード

UCF の例 OFFSET = OUT 12 AFTER clkc LOW;

XDC の例 手動変換が必要です。

注記 : HIGH/LOW キーワー ド は、 RISING/FALLING の以前のキーワー ド です。RISING/FALLING を使用するこ とが推奨されます。

REFERENCE_PIN UCF の例 TIMEGRP mac_ddr_out;

OFFSET = OUT AFTER clk REFERENCE_PIN clk_out RISING;

XDC の例 手動変換が必要です。

注記 : REFERENCE_PIN を使用する と、 TRACE でバス スキュー レポートが出力されますが、 Vivado Design Suite ではこの機能はサポート されていません。

エリア グループへのタイ ミング グループの割り当てUCF の例 TIMEGRP clock_grp = AREA_GROUP clock_ag;

XDC の例 Vivado Design Suite では、 XDC のこの制約はサポート されません。

例外UCF の例 TIMEGRP my_group = FFS EXCEPT your_group;

XDC の例 Vivado Design Suite では、 XDC のこの制約はサポート されません。

グループ間UCF の例 TIMESPEC TS_TIG = FROM reset_ff TO FFS TIG;

XDC の例 手動変換が必要です。 目的のパスを含む set_false_path を作成します。

ネッ トで指定UCF の例 NET reset TIG;

XDC の例 set_false_path -through [get_nets reset]

プライマ リ リセッ ト ポート を検索し使用する方法の方が適切です。set_false_path -from [get_ports reset_port]

インスタンスで指定UCF の例 INST reset TIG;

移行手法ガイ ド japan.xilinx.com 25UG911 (v2013.2) 2013 年 6 月 19 日

Page 26: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

タイ ミング制約

XDC の例 set_false_path -from [get_cells reset]

set_false_path -through [get_cells reset]

set_false_path -to [get_cells reset]

ピンで指定UCF の例 PIN ff.d TIG;

XDC の例 set_false_path -to [get_pins ff/d]

set_false_path -from [get_pins ff/q]

set_false_path -through [get_pins lut/i0]

特定のタイ ミング制約UCF の例 NET reset TIG = TS_fast TS_even_faster;

XDC の例 Vivado Design Suite では、 XDC のこの制約はサポート されません。

注記 : 制約特定の TIG では、 ネッ ト を介するタイ ミ ングがディ スエーブルになり ますが、 参照される 2 つの制約の解析でのみです。

MAXSKEWUCF の例 NET local_clock MAXSKEW = 2ns;

XDC の例 Vivado Design Suite では、 XDC のこの制約はサポート されません。

MAXDELAYUCF の例 NET local_clock MAXDELAY = 2ns;

XDC の例 Vivado Design Suite では、 XDC のこの制約はサポート されません。

インスタンスで指定

移行手法ガイ ド japan.xilinx.com 26UG911 (v2013.2) 2013 年 6 月 19 日

Page 27: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

物理制約Vivado Design Suite で XDC 制約に変換できる ISE Design Suite の物理制約を説明します。 各制約に対し次の点が説明されています。

• ターゲッ ト オブジェク ト タイプ

• 制約値タイプ

• UCF の例

• 同等の XDC の例

配置制約

AREA_GROUP RANGE

AREA_GROUP適用箇所 セル

制約値 文字列

UCF の例 INST bmg0 AREA_GROUP = AG1;

XDC の例 create_pblock ag1; add_cells_to_pblock [get_pblocks ag1] [get_cells [list bmg0]]

SLICE適用箇所 エリ ア グループおよび Pblock

制約値 SLICE_XnYn[:SLICE_XnYn]

UCF の例 AREA_GROUP AG1 RANGE = SLICE_X0Y44:SLICE_X27Y20;

XDC の例 resize_pblock [get_pblocks ag1] -add {SLICE_X0Y44:SLICE_X27Y20}

RAMB18適用箇所 エリア グループおよび Pblock

制約値 RAMB18_XnYn:RAMB18_XnYn

UCF の例 AREA_GROUP AG1 RANGE = RAMB18_X0Y86:RAMB18_X3Y95;

XDC の例 resize_pblock [get_pblocks ag1] -add {RAMB18_X0Y86:RAMB18_X3Y95}

RAMB36適用箇所 エリア グループおよび Pblock

制約値 RAMB36_XnYn:RAMB36_XnYn

UCF の例 AREA_GROUP AG1 RANGE = RAMB36_X0Y11:RAMB36_X3Y18;

XDC の例 resize_pblock [get_pblocks ag1] -add {RAMB36_X0Y11:RAMB36_X3Y18}

移行手法ガイ ド japan.xilinx.com 27UG911 (v2013.2) 2013 年 6 月 19 日

Page 28: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

CLOCKREGION (1)適用箇所 エリ ア グループおよび Pblock

制約値 CLOCKREGION_XnYn

UCF の例 area_group ag1 range = CLOCKREGION_X0Y0;

XDC の例 resize_pblock [get_pblocks ag1] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0}

CLOCKREGION (2) 適用箇所 エリ ア グループおよび Pblock

制約値 CLOCKREGION_XnYn[:CLOCKREGION_XnYn]

UCF の例 area_group ag1 range = CLOCKREGION_X0Y0:CLOCKREGION_X1Y0;

XDC の例 resize_pblock [get_pblocks ag1] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0}

CLOCKREGION (3)適用箇所 エリア グループおよび Pblock

制約値 CLOCKREGION_XnYn,CLOCKREGION_XnYn, ...

UCF の例 area_group ag1 range = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0;

XDC の例 resize_pblock [get_pblocks ag1] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0CLOCKREGION_X1Y0:CLOCKREGION_X1Y0}

移行手法ガイ ド japan.xilinx.com 28UG911 (v2013.2) 2013 年 6 月 19 日

Page 29: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

DSP48適用箇所 エリア グループおよび Pblock

制約値 DSP48_XnYn:DSP48_XnYn

UCF の例 AREA_GROUP D1 RANGE = DSP48_X2Y0:DSP48_X2Y9;

XDC の例 resize_pblock [get_pblocks D1] -add {DSP48_X2Y0:DSP48_X2Y9}

BUFGCTRL適用箇所 エリア グループおよび Pblock

制約値 BUFGCTRL_XnYn:BUFGCTRL_XnYn

UCF の例 AREA_GROUP ag1 range = BUFGCTRL_X0Y24:BUFGCTRL_X0Y31;

XDC の例 resize_pblock [get_pblocks ag1] -add{BUFGCTRL_X0Y24:BUFGCTRL_X0Y31}

BUFHCE適用箇所 エリア グループおよび Pblock

制約値 BUFHCE_XnYn:BUFHCE_XnYn

UCF の例 AREA_GROUP ag1 range = BUFHCE_X0Y72:BUFHCE_X1Y77;

XDC の例 resize_pblock [get_pblocks ag1] -add {BUFHCE_X0Y72:BUFHCE_X1Y77}

BUFR適用箇所 エリア グループおよび Pblock

制約値 BUFR_XnYn:BUFR_XnYn

UCF の例 AREA_GROUP ag1 range = BUFR_X0Y20:BUFR_X1Y23;

XDC の例 resize_pblock [get_pblocks ag1] -add {BUFR_X0Y0:BUFR_X1Y2}

BUFIO適用箇所 エリア グループおよび Pblock

制約値 BUFIO_XnYn:BUFIO_XnYn

UCF の例 AREA_GROUP ag1 range = BUFIO_X0Y8:BUFIO_X0Y11;

XDC の例 resize_pblock [get_pblocks ag1] -add {BUFIO_X0Y8:BUFIO_X0Y11}

IOB の範囲

適用箇所 エリ ア グループおよび Pblock

制約値 IOB_XnYn:IOB_XnYn

UCF の例 AREA_GROUP ag1 range = IOB_X0Y341:IOB_X1Y349;

XDC の例 resize_pblock [get_pblocks ag1] -add {IOB_X0Y341:IOB_X1Y349}

移行手法ガイ ド japan.xilinx.com 29UG911 (v2013.2) 2013 年 6 月 19 日

Page 30: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

LOC

ヒン ト : Vivado Design Suite でピンを割り当てるには、 セルに使用した LOC ではなく、 PACKAGE_PIN ポート プロパティを使用します。

IN_FIFO適用箇所 エリア グループおよび Pblock

制約値 IN_FIFO_XnYn:IN_FIFO_XnYn

UCF の例 AREA_GROUP ag1 range = IN_FIFO_X0Y24:IN_FIFO_X1Y27;

XDC の例 resize_pblock [get_pblocks ag1] -add {IN_FIFO_X0Y24:IN_FIFO_X1Y27}

OUT_FIFO適用箇所 エリア グループおよび Pblock

制約値 OUT_FIFO_XnYn:OUT_FIFO_XnYn

UCF の例 AREA_GROUP ag1 range = OUT_FIFO_X0Y24:OUT_FIFO_X1Y27;

XDC の例 resize_pblock [get_pblocks ag1] -add {OUT_FIFO_X0Y24:OUT_FIFO_X1Y27}

ILOGIC適用箇所 エリ ア グループおよび Pblock

制約値 ILOGIC_XnYn:ILOGIC_XnYn

UCF の例 AREA_GROUP ag1 range = ILOGIC_X0Y76:ILOGIC_X0Y79;

XDC の例 resize_pblock [get_pblocks ag1] -add {ILOGIC_X0Y76:ILOGIC_X0Y79}

OLOGIC適用箇所 エリア グループおよび Pblock

制約値 OLOGIC_XnYn:OLOGIC_XnYn

UCF の例 AREA_GROUP ag1 range = OLOGIC_X0Y76:OLOGIC_X0Y79;

XDC の例 resize_pblock [get_pblocks ag1] -add {OLOGIC_X0Y76:OLOGIC_X0Y79}

IOB適用箇所 ポート ネッ ト

制約値 IOB サイ ト

UCF の例 NET p[0] LOC = H1;

XDC の例 set_property PACKAGE_PIN H1 [get_ports p[0]]

SLICE (1)適用箇所 セル

制約値 サイ ト範囲

UCF の例 INST a_reg[*] LOC = SLICE_X25Y*;

XDC の例 Vivado Design Suite では、 XDC のこの制約はサポート されません。

移行手法ガイ ド japan.xilinx.com 30UG911 (v2013.2) 2013 年 6 月 19 日

Page 31: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

SLICE (2)適用箇所 セル

制約値 SLICE_XnYn

UCF の例 INST a_reg[0] LOC = SLICE_X4Y4;

XDC の例 set_property LOC SLICE_X4Y4 [get_cells a_reg[0]]

RAMB18適用箇所 セル

制約値 RAMB18_XnYn

UCF の例 INST ram0 LOC = RAMB18_X0Y5;

XDC の例 set_property LOC RAMB18_X0Y5 [get_cells ram0]

RAMB36適用箇所 セル

制約値 RAMB36_XnYn

UCF の例 INST ram0 LOC = RAMB36_X0Y0;

XDC の例 set_property LOC RAMB36_X0Y0 [get_cells ram0]

移行手法ガイ ド japan.xilinx.com 31UG911 (v2013.2) 2013 年 6 月 19 日

Page 32: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

DSP48適用箇所 セル

制約値 DSP48_XnYn

UCF の例 INST dsp0 LOC = DSP48_X0Y10;

XDC の例 set_property LOC DSP48_X0Y10 [get_cells dsp0]

BUFGCTRL適用箇所 セル

制約値 BUFGCTRL_XnYn

UCF の例 INST cb[0] LOC = BUFGCTRL_X0Y24;

XDC の例 set_property LOC BUFGCTRL_X0Y24 [get_cells cb[0]]

BUFHCE適用箇所 セル

制約値 BUFHCE_XnYn

UCF の例 INST cb[0] LOC = BUFHCE_X0Y72;

XDC の例 set_property LOC BUFHCE_X0Y72 [get_cells cb[0]]

BUFR適用箇所 セル

制約値 BUFR_XnYn

UCF の例 INST cb[0] LOC = BUFR_X0Y20;

XDC の例 set_property LOC BUFR_X0Y20 [get_cells cb[0]]

BUFIO 適用箇所 セル

制約値 BUFIO_XnYn

UCF の例 INST cb[0] LOC = BUFIO_X0Y8;

XDC の例 set_property LOC BUFIO_X0Y8 [get_cells cb[0]]

移行手法ガイ ド japan.xilinx.com 32UG911 (v2013.2) 2013 年 6 月 19 日

Page 33: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

IOB適用箇所 セル

制約値 IOB_XnYn

UCF の例 INST ib[0] LOC = IOB_X0Y341;

XDC の例 set_property LOC IOB_X0Y341 [get_cells ib[0]]

IN_FIFO適用箇所 セル

制約値 IN_FIFO_XnYn

UCF の例 INST infifo_inst LOC = IN_FIFO_X0Y24;

XDC の例 set_property LOC IN_FIFO_X0Y24 [get_cells infifo_inst]

OUT_FIFO適用箇所 セル

制約値 OUT_FIFO_XnYn

UCF の例 INST outfifo_inst LOC = OUT_FIFO_X0Y24;

XDC の例 set_property LOC OUT_FIFO_X0Y24 [get_cells outfifo_inst]

ILOGIC適用箇所 セル

制約値 ILOGIC_XnYn

UCF の例 INST ireg LOC = ILOGIC_X0Y76;k

XDC の例 set_property LOC ILOGIC_X0Y76 [get_cells ireg]

OLOGIC適用箇所 セル

制約値 OLOGIC_XnYn

UCF の例 INST oreg LOC = OLOGIC_X0Y76

XDC の例 set_property LOC OLOGIC_X0Y76 [get_cells oreg]

移行手法ガイ ド japan.xilinx.com 33UG911 (v2013.2) 2013 年 6 月 19 日

Page 34: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

BEL

IDELAY適用箇所 セル

制約値 IDELAY_XnYn

UCF の例 INST idelay0 LOC = IDELAY_X0Y21;

XDC の例 set_property LOC IDELAY_X0Y21 [get_cells idelay0]

IDELAYCTRL適用箇所 セル

制約値 IDELAYCTRL_XnYn

UCF の例 INST idelayctrl0 LOC = IDELAYCTRL_X0Y0;

XDC の例 set_property LOC IDELAYCTRL_X0Y0 [get_cells idelayctrl0]

A5LUT、 B5LUT、 C5LUT、 D5LUT適用箇所 セル

制約値 A5LUT、 B5LUT、 C5LUT、 D5LUT

UCF の例 INST a0 BEL = A5LUT;

XDC の例 set_property BEL A5LUT [get_cells a0]

A6LUT、 B6LUT、 C6LUT、 D6LUT適用箇所 セル

制約値 A6LUT、 B6LUT、 C6LUT、 D6LUT

UCF の例 INST a0 BEL = D6LUT;

XDC の例 set_property BEL D6LUT [get_cells a0]

AFF、 BFF、 CFF、 DFF適用箇所 セル

制約値 AFF、 BFF、 CFF、 DFF

UCF の例 INST a_reg[0] BEL = CFF;

XDC の例 set_property BEL CFF [get_cells a_reg[0]]

移行手法ガイ ド japan.xilinx.com 34UG911 (v2013.2) 2013 年 6 月 19 日

Page 35: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

IOB

A5FF、 B5FF、 C5FF、 D5FF適用箇所 セル

制約値 A5FF、 B5FF、 C5FF、 D5FF

UCF の例 INST a_reg[0] BEL = B5FF;

XDC の例 set_property BEL B5FF [get_cells a_reg[0]]

F7AMUX、 F7BMUX適用箇所 セル

制約値 F7AMUX、 F7BMUX

UCF の例 INST m0 BEL = F7BMUX;

XDC の例 set_property BEL F7BMUX [get_cells m0]

TRUE適用箇所 FF セル

制約値 TRUE

UCF の例 INST a1_reg[*] IOB = TRUE;

XDC の例 set_property IOB TRUE [get_cells b1_reg[*]]

FALSE適用箇所 FF セル

制約値 FALSE

UCF の例 INST b1_reg[*] IOB = FORCE;

XDC の例 set_property IOB TRUE [get_cells a1_reg[*]]

FORCE適用箇所 FF セル

制約値 FORCE

UCF の例 INST q_reg[*] IOB = FALSE;

XDC の例 set_property IOB TRUE [get_cells q_reg[*]]

注記 : Vivado Design Suite では XDC でのこの制約はサポート されていません。 TRUEを使用してください。

H_SET適用箇所 セル

制約値 ツールで生成される文字列

UCF の例 該当なし

移行手法ガイ ド japan.xilinx.com 35UG911 (v2013.2) 2013 年 6 月 19 日

Page 36: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

XDC の例 該当なし

注記 : 詳細は、 『制約ガイ ド』 (UG625) の 「RLOC」 セ クシ ョ ンを参照して く ださい。Vivado Design Suite では、 H_SET セルに RPM とい うプロパティがあ り ます。

U_SET適用箇所 セル

制約値 文字列

UCF の例 INST u0 U_SET = h0; (usually set in UCF)

XDC の例 Vivado Design Suite では XDC でのこの制約はサポート されていません。 U_SET は属性と して HDL コードに記述する必要があ り ます。

詳細は、 『制約ガイ ド』 (UG625) の 「RLOC」 セクシ ョ ンを参照して ください。

RLOC適用箇所 セル

制約値 XnYn

UCF の例 INST u0 RLOC = X2Y1;

XDC の例 Vivado Design Suite では XDC でのこの制約はサポート されていません。 RLOC は属性と して HDL コードに記述する必要があ り ます。

詳細は、 『制約ガイ ド』 (UG625) の 「RLOC」 セクシ ョ ンを参照して ください。

RLOC_ORIGIN適用箇所 セル

制約値 XnYn

UCF の例 INST u0 RLOC_ORIGIN = X144Y255;

XDC の例 Vivado Design Suite では XDC でのこの制約はサポート されていません。 RLOC_ORIGIN は属性と して HDL コードに記述する必要があ り ます。

詳細は、 『制約ガイ ド』 (UG625) の 「RLOC」 セクシ ョ ンを参照してください。

RPM_GRID適用箇所 セル

制約値 GRID

UCF の例 INST u0 RPM_GRID = GRID;

XDC の例 Vivado Design Suite では XDC でのこの制約はサポート されていません。 RPM_GRID は属性と して HDL コードに記述する必要があ り ます。

詳細は、 『制約ガイ ド』 (UG625) の 「RLOC」 セクシ ョ ンを参照して ください。

USE_RLOC適用箇所 セル

制約値 TRUE、 FALSE

UCF の例 INST u0 USE_RLOC = FALSE;

XDC の例 Vivado Design Suite では、 XDC のこの制約はサポート されません。

H_SET

移行手法ガイ ド japan.xilinx.com 36UG911 (v2013.2) 2013 年 6 月 19 日

Page 37: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

RLOC_RANGE適用箇所 セル

制約値 XnYn:XnYn

UCF の例 INST u0 RLOC_RANGE = X1Y1:X3Y3;

XDC の例 Vivado Design Suite では XDC でのこの制約はサポート されていません。

Pblock を範囲を指定して作成し、 RPM セルを Pblock に追加してください。

BLKNM適用箇所 セル

制約値 文字列

UCF の例 INST u0 BLKNM = blk0;

XDC の例 Vivado Design Suite では XDC でのこの制約はサポート されていません。

HBLKNM適用箇所 セル、 ネッ ト

制約値 文字列

UCF の例 INST u0 HBLKNM = blk0;

XDC の例 Vivado Design Suite では XDC でのこの制約はサポート されていません。

XBLKNM適用箇所 セル、 ネッ ト

制約値 文字列

UCF の例 INST u0 XBLKNM = blk0;

XDC の例 Vivado Design Suite では XDC でのこの制約はサポート されていません。

BEL PROHIBIT を使用して、 関連のないロジッ クを禁止します。

HLUTNM適用箇所 LUT セル

制約値 文字列

UCF の例 UCF では使用できません。 HDL でのみ使用できます。

XDC の例 set_property HLUTNM h0 [get_cells {LUT0 LUT1}]

LUTNM適用箇所 LUT セル

制約値 文字列

UCF の例 UCF では使用できません。 HDL でのみ使用できます。

XDC の例 set_property LUTNM h0 [get_cells {LUT0 LUT1}]

移行手法ガイ ド japan.xilinx.com 37UG911 (v2013.2) 2013 年 6 月 19 日

Page 38: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

CLOCK_DEDICATED_ROUTE

USE_LUTNM適用箇所 LUT セル

制約値 TRUE、 FALSE

UCF の例 INST lut0 USE_LUTNM = FALSE;

XDC の例 Vivado Design Suite では、 XDC のこの制約はサポート されません。

TRUE(1)適用箇所 [Nets]

制約値 TRUE

UCF の例 net clk0 CLOCK_DEDICATED_ROUTE = TRUE;

XDC の例 set_property CLOCK_DEDICATED_ROUTE TRUE [get_nets clk0]

TRUE(1)適用箇所 [Pins]

制約値 TRUE

UCF の例 PIN clkbuf0.O CLOCK_DEDICATED_ROUTE = TRUE;

XDC の例 set_property CLOCK_DEDICATED_ROUTE TRUE [get_pins clkbuf0/O]

FALSE(1)適用箇所 [Nets]

制約値 FALSE

UCF の例 NET clk0 CLOCK_DEDICATED_ROUTE = FALSE;

XDC の例 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk0]

FALSE(2)適用箇所 [Pins]

制約値 FALSE

UCF の例 PIN clkbuf0.O CLOCK_DEDICATED_ROUTE = FALSE;

XDC の例 set_property CLOCK_DEDICATED_ROUTE FALSE [get_pins clkbuf0/O]

BACKBONE(1)適用箇所 [Nets]

制約値 BACKBONE

UCF の例 NET clk0 CLOCK_DEDICATED_ROUTE = BACKBONE;

XDC の例 set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets clk0]

BACKBONE(2)適用箇所 [Pins]

制約値 BACKBONE

移行手法ガイ ド japan.xilinx.com 38UG911 (v2013.2) 2013 年 6 月 19 日

Page 39: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

I/O 関連の制約

UCF の例 PIN clkbuf0.O CLOCK_DEDICATED_ROUTE = BACKBONE;

XDC の例 set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_pins clkbuf0/O]

HIODELAY_GROUP適用箇所 IDELAY および IDELAYCTRL セル

制約値 文字列

UCF の例 INST idelay0 HIODELAY_GROUP = group0;

XDC の例 set_property HIODELAY_GROUP group0 [get_cells idelay0]

IODELAY_GROUP適用箇所 IDELAY および IDELAYCTRL セル

制約値 文字列

UCF の例 INST idelay0 IODELAY_GROUP = group0;

XDC の例 set_property IODELAY_GROUP group0 [get_cells idelay0]

DCI_VALUE適用箇所 I/O バッファー セル

制約値 整数値。 抵抗値をオームで指定します。

UCF の例 INST a_IBUF[0]_inst DCI_VALUE = 75;

XDC の例 set_property DCI_VALUE 75 [get_cells {a_IBUF[0]_inst}]

DIFF_TERM適用箇所 I/O バッファー セル

制約値 ブール値

UCF の例 INST a_IBUF[0]_inst DIFF_TERM = TRUE;

XDC の例 set_property DIFF_TERM true [get_cells {a_IBUF[0]_inst}]

DRIVE適用箇所 入出力および出力バッファー セル

制約値 整数値 : 2、 4、 6、 8、 12、 16、 24

UCF の例 INST q_OBUF[0]_inst DRIVE = 24;

XDC の例 set_property DRIVE 24 [get_ports q[0]]

LVTTL で 24 は有効な値です。

IOSTANDARD適用箇所 I/O バッファー セル

BACKBONE(2)

移行手法ガイ ド japan.xilinx.com 39UG911 (v2013.2) 2013 年 6 月 19 日

Page 40: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

PORTS

制約値 I/O 規格の文字列

UCF の例 INST q_OBUF[0]_inst IOSTANDARD = LVCMOS25;

XDC の例 set_property IOSTANDARD LVCMOS25 [get_ports q[0]]

詳細は、 『制約ガイ ド』 (UG625) を参照して ください。

SLEW適用箇所 入出力および出力バッファー セル

制約値 SLOW または FAST

UCF の例 INST q_OBUF[0]_inst SLEW = FAST;

XDC の例 set_property SLEW FAST [get_ports q[0]]

FAST適用箇所 入出力および出力バッファー セル

制約値 該当なし

UCF の例 INST q_OBUF[0]_inst FAST;

XDC の例 set_property SLEW FAST [get_ports q[0]]

SLOW適用箇所 入出力および出力バッファー セル

制約値 該当なし

UCF の例 INST q_OBUF[0]_inst SLOW;

XDC の例 set_property SLEW SLOW [get_ports q[0]]

IN_TERM適用箇所 ポート

制約値 • NONE• UNTUNED_SPLIT_40• UNTUNED_SPLIT_50• UNTUNED_SPLIT_60

UCF の例 NET a[0] IN_TERM = UNTUNED_SPLIT_50;

XDC の例 set_property IN_TERM UNTUNED_SPLIT_50 [get_ports [list clk]]

OUT_TERM適用箇所 ポート

制約値 • NONE• UNTUNED_25• UNTUNED_50• UNTUNED_75

UCF の例 net q[0] OUT_TERM = UNTUNED_50;

IOSTANDARD

移行手法ガイ ド japan.xilinx.com 40UG911 (v2013.2) 2013 年 6 月 19 日

Page 41: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

XDC の例 set_property OUT_TERM UNTUNED_50 [get_ports q[0]]

IOBDELAY適用箇所 ポート ネッ ト

制約値 NONE

UCF の例 net b[0] IOBDELAY = NONE;

XDC の例 set_property IOBDELAY NONE [get_nets b[0]]

注記 : IOBDELAY はポートに設定するこ とはできませんが、入力バッファーなどのセルには設定できます。

BOTH適用箇所 ポート ネッ ト

制約値 BOTH

UCF の例 net b[0] IOBDELAY = BOTH;

XDC の例 set_property IOBDELAY BOTH [get_nets b[0]]

注記 : IOBDELAY はポートに設定するこ とはできませんが、 入力バッファーなどのセルには設定できます。

IBUF適用箇所 ポート ネッ ト

制約値 IBUF

UCF の例 net b[0] IOBDELAY = IBUF;

XDC の例 set_property IOBDELAY IBUF [get_nets b[0]]

注記 : IOBDELAY はポートに設定するこ とはできませんが、 入力バッファーなどのセルには設定できます。

IFD適用箇所 ポート ネッ ト

制約値 IFD

UCF の例 net b[0] IOBDELAY = IFD;

XDC の例 set_property IOBDELAY IFD [get_nets b[0]]

注記 : IOBDELAY はポートに設定するこ とはできませんが、入力バッファーなどのセルには設定できます。

KEEPER適用箇所 ポート ネッ ト

制約値 • TRUE• FALSE• YES• NO

OUT_TERM

移行手法ガイ ド japan.xilinx.com 41UG911 (v2013.2) 2013 年 6 月 19 日

Page 42: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

UCF の例 NET n1 KEEPER = TRUE;

XDC の例 set_property KEEPER true [get_ports n1]

PULLDOWN適用箇所 ポート ネッ ト

制約値 • TRUE• FALSE• YES• NO

UCF の例 NET n1 PULLDOWN = TRUE;

XDC の例 set_property PULLDOWN true [get_ports n1]

KEEPER

移行手法ガイ ド japan.xilinx.com 42UG911 (v2013.2) 2013 年 6 月 19 日

Page 43: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

その他のネッ ト関連の制約

PULLUP適用箇所 ポート ネッ ト

制約値 • TRUE• FALSE• YES• NO

UCF の例 NET n1 PULLUP = TRUE;

XDC の例 set_property PULLUP true [get_ports n1]

VCCAUX_IO適用箇所 ポート

制約値 • NORMAL• HIGH• DONTCARE

UCF の例 NET d[0] VCCAUX_IO = HIGH;

XDC の例 set_property VCCAUX_IO HIGH [get_ports d[0]]

KEEP適用箇所 [Nets]

制約値 • TRUE• FALSE

UCF の例 net x_int KEEP = TRUE;

XDC の例 set_property DONT_TOUCH true [get_nets x_int]

SAVE NET FLAG適用箇所 [Nets]

制約値 該当なし

UCF の例 net x_int S;

XDC の例 set_property DONT_TOUC true [get_nets x_int]

移行手法ガイ ド japan.xilinx.com 43UG911 (v2013.2) 2013 年 6 月 19 日

Page 44: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

KEEP_HIERARCHY適用箇所 セル

制約値 • TRUE• FALSE• YES• NO

UCF の例 INST u1 KEEP_HIERARCHY = TRUE;

XDC の例 set_property DONT_TOUCH true [get_cells u1]

LOCK_PINS適用箇所 LUT セル

制約値 CSV 文字列 : I[0-5]:A[6-1]

UCF の例 INST LUT1 LOCK_PINS = I3:A6, I2:A5;

XDC の例 set_property LOCK_PINS {I3:A6 I2:A5} [get_cells LUT1]

ROUTE適用箇所 [Nets]

制約値 指定配線文字列 (DIRT)

UCF の例 NET n85 ROUTE={2;1;-4!-1;-53320; ...16;-8!};

XDC の例 set_property FIXED_ROUTE {EE2BEG0 NR1BEG0 CLBLL_LL_AX} [get_netsn85]

注記 : ISE Design Suite の指定配線文字列と Vivado Design Suite のネッ ト配線プロパティは互換性があ り ません。 V i v a d o では、 独自のエンコード されていないフォーマッ トが使用されます。

移行手法ガイ ド japan.xilinx.com 44UG911 (v2013.2) 2013 年 6 月 19 日

Page 45: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

コンフ ィギュレーシ ョ ン関連の制約

CONFIG PROHIBIT

ピン サイ ト

適用箇所 サイ ト

制約値 ピン サイ ト

UCF の例 CONFIG PROHIBIT = K24, K26, K27, K28;

XDC の例 set_property PROHIBIT true [get_sites {K24 K26 K27 K28}]

バンク番号

適用箇所 サイ ト

制約値 バンク番号

UCF の例 CONFIG PROHIBIT = BANK34, BANK35, BANK36;

XDC の例 set_property PROHIBIT true [get_sites -of [get_iobanks 34 35 36]]

RAM(1)適用箇所 サイ ト

制約値 RAM

UCF の例 CONFIG PROHIBIT = RAMB18_X0Y0;

XDC の例 set_property PROHIBIT true [get_sites RAMB18_X0Y0]

RAM(2)適用箇所 サイ ト

制約値 RAM

UCF の例 CONFIG PROHIBIT = RAMB18_X0Y1, RAMB18_X0Y3, RAMB18_X0Y5;

XDC の例 set_property PROHIBIT true [get_sites {RAMB18_X0Y1 RAMB18_X0Y3 RAMB18_X0Y5}]

注記 : 上記では、 カンマで区切られた RAM サイ ト を使用していますが、 サポート されているどのサイ ト タイプでも使用できます。

移行手法ガイ ド japan.xilinx.com 45UG911 (v2013.2) 2013 年 6 月 19 日

Page 46: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

RAM(3)適用箇所 サイ ト

制約値 RAM

UCF の例 CONFIG PROHIBIT = RAMB36_X1Y1:RAMB36_X2Y2;

XDC の例 set_property PROHIBIT true [get_sites -range {RAMB36_X1Y1 RAMB36_X2Y2}]

RAM(4)適用箇所 サイ ト

制約値 RAM

UCF の例 CONFIG PROHIBIT = RAMB36_X3Y*;

XDC の例 set_property PROHIBIT true [get_sites RAMB36_X3Y*]

DSP48適用箇所 サイ ト

制約値 DSP48

UCF の例 CONFIG PROHIBIT = DSP48_X0Y*;

XDC の例 set_property PROHIBIT true [get_sites DSP48_X0Y*]

SLICE適用箇所 サイ ト

制約値 スライス

UCF の例 CONFIG PROHIBIT = SLICE_X0Y0:SLICE_X47Y49;

XDC の例 set_property PROHIBIT true [get_sites -range {SLICE_X0Y0 SLICE_X47Y49}]

ILOGIC適用箇所 サイ ト

制約値 ILOGIC

UCF の例 CONFIG PROHIBIT = ILOGIC_X0Y0:ILOGIC_X0Y49;

XDC の例 set_property PROHIBIT true [get_sites -range {ILOGIC_X0Y0 ILOGIC_X0Y49}]

移行手法ガイ ド japan.xilinx.com 46UG911 (v2013.2) 2013 年 6 月 19 日

Page 47: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

制約値

CONFIG INTERNAL_VREF_BANK

OLOGIC適用箇所 サイ ト

制約値 OLOGIC

UCF の例 CONFIG PROHIBIT = OLOGIC_X0Y0:OLOGIC_X0Y49;

XDC の例 set_property PROHIBIT true [get_sites -range {OLOGIC_X0Y0 OLOGIC_X0Y49}]

BUFGCTRL適用箇所 サイ ト

制約値 BUFGCTRL

UCF の例 CONFIG PROHIBIT = BUFGCTRL_X0Y0:BUFGCTRL_X0Y15;

XDC の例 set_property PROHIBIT true [get_sites -range {BUFGCTRL_X0Y0 BUFGCTRL_X0Y15}]

BUFR適用箇所 サイ ト

制約値 BUFR

UCF の例 CONFIG PROHIBIT = BUFR_X0Y0:BUFR_X0Y3;

XDC の例 set_property PROHIBIT true [get_sites -range {BUFR_X0Y0 BUFR_X0Y3}]

BUFIO適用箇所 サイ ト

制約値 BUFIO

UCF の例 CONFIG PROHIBIT = BUFIO_X0Y0:BUFIO_X0Y3;

XDC の例 set_property PROHIBIT true [get_sites -range {BUFIO_X0Y0 BUFIO_X0Y3}]

BUFHCE適用箇所 サイ ト

制約値 BUFHCE

UCF の例 CONFIG PROHIBIT = BUFHCE_X0Y0:BUFHCE_X1Y11;

XDC の例 set_property PROHIBIT true [get_sites -range {BUFHCE_X0Y0 BUFHCE_X1Y11}]

Voltage適用箇所 I/O バンク

制約値 Voltage

UCF の例 CONFIG INTERNAL_VREF_BANK14 = 0.75;

XDC の例 set_property INTERNAL_VREF 0.75 [get_iobanks 14]

移行手法ガイ ド japan.xilinx.com 47UG911 (v2013.2) 2013 年 6 月 19 日

Page 48: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

CONFIG CONFIG_MODE

NONE適用箇所 I/O バンク

制約値 NONE

UCF の例 CONFIG INTERNAL_VREF_BANK0 = NONE;

XDC の例 reset_property INTERNAL_VREF [get_iobanks 0]

CONFIG DCI_CASCADE適用箇所 I/O バンク

制約値 バンクの順序

UCF の例 CONFIG DCI_CASCADE = 17 15 14;

XDC の例 set_property DCI_CASCADE {15 14} [get_iobanks 17]

M_SERIAL適用箇所 グローバル

制約値 M_SERIAL

UCF の例 CONFIG CONFIG_MODE = M_SERIAL;

XDC の例 set_property CONFIG_MODE M_SERIAL [current_design]

S_SERIAL適用箇所 グローバル

制約値 S_SERIAL

UCF の例 CONFIG CONFIG_MODE = S_SERIAL;

XDC の例 set_property CONFIG_MODE S_SERIAL [current_design]

B_SCAN適用箇所 グローバル

制約値 B_SCAN

UCF の例 CONFIG CONFIG_MODE = B_SCAN;

XDC の例 set_property CONFIG_MODE B_SCAN [current_design]

B_SCAN+READBACK適用箇所 グローバル

制約値 B_SCAN+READBACK

UCF の例 CONFIG CONFIG_MODE = B_SCAN+READBACK;

XDC の例 set_property CONFIG_MODE B_SCAN+READBACK [current_design]

移行手法ガイ ド japan.xilinx.com 48UG911 (v2013.2) 2013 年 6 月 19 日

Page 49: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

M_SELECTMAP適用箇所 グローバル

制約値 M_SELECTMAP

UCF の例 CONFIG CONFIG_MODE = M_SELECTMAP;

XDC の例 set_property CONFIG_MODE M_SELECTMAP [current_design]

M_SELECTMAP+READBACK適用箇所 グローバル

制約値 M_SELECTMAP+READBACK

UCF の例 CONFIG CONFIG_MODE = M_SELECTMAP+READBACK;

XDC の例 set_property CONFIG_MODE M_SELECTMAP+READBACK [current_design]

S_SELECTMAP適用箇所 グローバル

制約値 S_SELECTMAP

UCF の例 CONFIG CONFIG_MODE = S_SELECTMAP;

XDC の例 set_property CONFIG_MODE S_SELECTMAP [current_design]

移行手法ガイ ド japan.xilinx.com 49UG911 (v2013.2) 2013 年 6 月 19 日

Page 50: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

S_SELECTMAP+READBACK適用箇所 グローバル

制約値 S_SELECTMAP+READBACK

UCF の例 CONFIG CONFIG_MODE = S_SELECTMAP+READBACK;

XDC の例 set_property CONFIG_MODE S_SELECTMAP+READBACK [current_design]

S_SELECTMAP16適用箇所 グローバル

制約値 S_SELECTMAP16

UCF の例 CONFIG CONFIG_MODE = S_SELECTMAP16;

XDC の例 set_property CONFIG_MODE S_SELECTMAP16 [current_design]

S_SELECTMAP16+READBACK適用箇所 グローバル

制約値 S_SELECTMAP16+READBACK

UCF の例 CONFIG CONFIG_MODE = S_SELECTMAP16+READBACK;

XDC の例 set_property CONFIG_MODE S_SELECTMAP16+READBACK [current_design]

S_SELECTMAP32適用箇所 グローバル

制約値 S_SELECTMAP32

UCF の例 CONFIG CONFIG_MODE = S_SELECTMAP32;

XDC の例 set_property CONFIG_MODE S_SELECTMAP32 [current_design]

S_SELECTMAP32+READBACK適用箇所 グローバル

制約値 S_SELECTMAP32+READBACK

UCF の例 CONFIG CONFIG_MODE = S_SELECTMAP32+READBACK;

XDC の例 set_property CONFIG_MODE S_SELECTMAP32+READBACK [current_design]

SPIx1適用箇所 グローバル

制約値 SPIx1

UCF の例 CONFIG CONFIG_MODE = SPIx1;

XDC の例 set_property CONFIG_MODE SPIx1 [current_design]

SPIx2適用箇所 グローバル

移行手法ガイ ド japan.xilinx.com 50UG911 (v2013.2) 2013 年 6 月 19 日

Page 51: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

制約値 SPIx2

UCF の例 CONFIG CONFIG_MODE = SPIx2;

XDC の例 set_property CONFIG_MODE SPIx2 [current_design]

SPIx4 適用箇所 グローバル

制約値 SPIx4

UCF の例 CONFIG CONFIG_MODE = SPIx4;

XDC の例 set_property CONFIG_MODE SPIx4 [current_design]

BPI8適用箇所 グローバル

制約値 BPI8

UCF の例 CONFIG CONFIG_MODE = BPI8 ;

XDC の例 set_property CONFIG_MODE BPI8 [current_design]

BPI16適用箇所 グローバル

制約値 BPI16

UCF の例 CONFIG CONFIG_MODE = BPI16;

XDC の例 set_property CONFIG_MODE BPI16 [current_design]

SPIx2

移行手法ガイ ド japan.xilinx.com 51UG911 (v2013.2) 2013 年 6 月 19 日

Page 52: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

POST_CRC コマンド

CONFIG POST_CRC適用箇所 グローバル

制約値 ENABLE

UCF の例 CONFIG POST_CRC = ENABLE;

XDC の例 set_property POST_CRC ENABLE [current_design]

DISABLE適用箇所 グローバル

制約値 DISABLE

UCF の例 CONFIG POST_CRC = DISABLE;

XDC の例 set_property POST_CRC DISABLE [current_design]

HALT適用箇所 グローバル

制約値 HALT

UCF の例 CONFIG POST_CRC_ACTION = HALT;

XDC の例 set_property POST_CRC_ACTION HALT [current_design]

CONTINUE適用箇所 グローバル

制約値 CONTINUE

UCF の例 CONFIG POST_CRC_ACTION = CONTINUE;

XDC の例 set_property POST_CRC_ACTION CONTINUE [current_design]

移行手法ガイ ド japan.xilinx.com 52UG911 (v2013.2) 2013 年 6 月 19 日

Page 53: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

CORRECT_AND_CONTINUE適用箇所 グローバル

制約値 CORRECT_AND_CONTINUE

UCF の例 CONFIG POST_CRC_ACTION = CORRECT_AND_CONTINUE;

XDC の例 set_property POST_CRC_ACTION CORRECT_AND_CONTINUE [current_design]

CORRECT_AND_HALT適用箇所 グローバル

制約値 CORRECT_AND_HALT

UCF の例 CONFIG POST_CRC_ACTION = CORRECT_AND_HALT;

XDC の例 set_property POST_CRC_ACTION correct_and_halt [current_design]

CONFIG POST_CRC_FREQ適用箇所 グローバル

制約値 整数値 : 周波数を MH z で指定

UCF の例 CONFIG POST_CRC_FREQ = 50;

XDC の例 set_property POST_CRC_FREQ 50 [current_design]

ENABLE適用箇所 グローバル

制約値 ENABLE

UCF の例 CONFIG POST_CRC_INIT_FLAG = ENABLE;

XDC の例 set_property POST_CRC_INIT_FLAG ENABLE [current_design]

DISABLE適用箇所 グローバル

制約値 DISABLE

UCF の例 CONFIG POST_CRC_INIT_FLAG = DISABLE;

XDC の例 set_property POST_CRC_INIT_FLAG DISABLE [current_design]

FIRST_READBACK適用箇所 グローバル

制約値 FIRST_READBACK

UCF の例 CONFIG POST_CRC_SOURCE = FIRST_READBACK;

XDC の例 set_property POST_CRC_SOURCE FIRST_READBACK [current_design]

PRE_COMPUTED適用箇所 グローバル

移行手法ガイ ド japan.xilinx.com 53UG911 (v2013.2) 2013 年 6 月 19 日

Page 54: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

CONFIG VCCOSENSEMODEn

CONFIG VREF

制約値 PRE_COMPUTED

UCF の例 CONFIG POST_CRC_SOURCE = PRE_COMPUTED;

XDC の例 set_property POST_CRC_SOURCE PRE_COMPUTED [current_design]

VCCOSENSEMODEn適用箇所 I/O バンク

制約値 OFF、 ALWAYSACTIVE、 FREEZE

UCF の例 CONFIG VCCOSENSEMODE15 = ALWAYSACTIVE;

XDC の例 set_property VCCOSENSEMODE ALWAYSACTIVE [get_iobanks 15]

CONFIG VREF適用箇所 グローバル

制約値 ピン サイ ト

UCF の例 CONFIG VREF = E11, F11;

XDC の例 set_property VREF {E11 F11} [current_design]

PRE_COMPUTED

移行手法ガイ ド japan.xilinx.com 54UG911 (v2013.2) 2013 年 6 月 19 日

Page 55: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

物理制約

DEFAULT コマンド

注記 : DEFAULT はサポート されません。 I/O ポート を個別にコンフ ィギュレーシ ョ ンする必要があ り ます。

DEFAULT FLOAT適用箇所 グローバル

制約値 ブール値

UCF の例 DEFAULT FLOAT = TRUE;

XDC の例 Vivado Design Suite では XDC でのこの制約はサポート されていません。

DEFAULT KEEPER適用箇所 グローバル

制約値 ブール値

UCF の例 DEFAULT KEEPER = TRUE;

XDC の例 Vivado Design Suite では XDC でのこの制約はサポート されていません。

DEFAULT PULLDOWN適用箇所 グローバル

制約値 ブール値

UCF の例 DEFAULT PULLDOWN = TRUE;

XDC の例 Vivado Design Suite では XDC でのこの制約はサポート されていません。

DEFAULT PULLUP適用箇所 グローバル

制約値 ブール値

UCF の例 DEFAULT PULLUP = TRUE;

XDC の例 Vivado Design Suite では XDC でのこの制約はサポート されていません。

移行手法ガイ ド japan.xilinx.com 55UG911 (v2013.2) 2013 年 6 月 19 日

Page 56: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

第 4 章

レガシー IP を含むデザインの Vivado Design Suite への移行

概要Vivado® Design Suite には、 CORE Generator™ ツールからの IP デザインを移行できます。 また、 IP を Vivado DesignSuite での最新バージ ョ ンにアップグレードするこ と もできます。

重要 : Vivado Integrated Development Environment (IDE) では、IP のインスタンシエーシ ョ ンおよびポート名はすべて小文字である必要があ り ます。大文字のものや、大文字と小文字の混ざったファイル名はすべて小文字に変更する必要があ り ます。

Vivado Design Suite では次のソースからの IP を再利用できます。

• CORE Generator IP を使用した ISE Design Suite プロジェク ト

• CORE Generator IP を使用した PlanAhead プロジェク ト

• CORE Generator プロジェク トからの IP

• Vivado IDE の [ADD IP] から追加した IP (.xci ファ イル)

• Package IP ウ ィザードを使用したエンベデッ ド開発キッ ト (EDK) からの IP

重要 : デザインを Vivado Design Suite に移行する前に、最新バージ ョ ンの IP を使用しているかど うか確認してください。

IP を含むプロジェク ト (古いバージ ョ ンの Vivado プロジェク ト、 ISE® Design Suite の xise プロジェク ト または ISEプロジェク トのいずれか) を移行する場合、 または外部 (CORE Generator または Vivado のいずれか) で保存した IP を追加する場合、 IP は次のいずれかの状態になり ます。

• IP が最新の状態。 IP はカスタマイズし直すこ とができ、 出力ファイルを生成できます。

• IP がロ ッ ク された状態。カタログ内にバージ ョ ンが見つからず、アップグレード パスが使用可能な場合で、アップグレード しない場合は、 次のいずれかの状態になり ます。

° 追加/インポート した場合に出力ファイルがあ り、 使用可能な状態であれば、 Vivado で使用できます。

カスタマイズし直すこ とはできず、 その他の出力ファイルは生成できません。合成 (RTL) またはインプ リ メンテーシ ョ ン (NGC) に必要な出力ファイルがある場合は、 次に進むこ とができます。

注記 : ビヘイビア シ ミ ュレーシ ョ ンには、 シ ミ ュレーシ ョ ン ターゲッ トが必要です。

° 出力ファイルがない場合は、 Vivado でそれらを生成し直すこ とはできません。 IP を作成したソフ ト ウェアバージ ョ ンに戻って生成するか、アップグレード パスのある最新バージ ョ ンにアップグレードする必要があり ます。

移行手法ガイ ド japan.xilinx.com 56UG911 (v2013.2) 2013 年 6 月 19 日

Page 57: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

CORE Generator IP を Vivado Design Suite に移行

• IP がロッ ク された状態。 カタログ内にバージ ョ ンが見つからず、 アップグレード パスもない場合、 次のいずれかの状態になり ます。

° 追加/インポート した場合に出力ファイルがあ り、 使用可能な状態であれば、 Vivado で使用できます。 カスタマイズし直すこ とはできず、 その他の出力ファ イルは生成できません。 合成 (RTL) またはインプ リ メ ンテーシ ョ ン (ネッ ト リ ス ト ) に必要な出力ファイルがある場合は、 次に進むこ とができます。

注記 : ビヘイビア シ ミ ュレーシ ョ ンには、 シ ミ ュレーシ ョ ン ターゲッ トが必要です。

° 出力ファイルがない場合は、 それらを生成し直すこ とはできません。 この場合、 IP を作成したソフ ト ウェアバージ ョ ンに戻って生成するか、 Vivado で現在使用可能な IP を使用して作成し直す必要があ り ます。 これには、 インターフェイスおよびデザインを変更する必要のあるこ と もあ り ます。

ヒン ト : IP を使用する場合は、 IP をプロジェク ト外のリモート ディ レク ト リに置いておく こ とをお勧めします。 これによ り、 IP がよ りポータブルで維持しやすくな り ます。 IP をカスタマイズする場合は、出力ファイルを生成する必要があ り ます。 出力ファイルは、 CORE Generatorの NGC および V i v a d o の合成、 シ ミ ュレーシ ョ ン、 テス トベンチ、 サンプル デザイン、 その他のファイルになり ます。 これによ り、 IP が Vivado から削除されたり、 再カスタマイズや生成が実行できるよ うになる前に V i v a d o でアップデートする必要がある場合でも、 合成やインプ リメンテーシ ョ ンで使用可能な IP ができるよ うにな り ます。

CORE Generator IP を Vivado Design Suite に移行CORE Generator IP は Vivado Design Suite に次の 2 ステップで移行できます。

1. CORE Generator IP を使用したデザインを移行

2. IP を最新版に移行

ステップ 1 : CORE Generator IP ソースを使用したデザインを移行

Vivado Design Suite に IP を含むプロジェク ト を次のいずれかの方法で移行するこ とができます。

° ISE Design Suite プロジェク ト を Vivado Design Suite プロジェク トにインポート します (Project Navigator プロジェク トのインポート を参照)。

° PlanAhead ツール プロジェク ト を Vivado Design Suite プロジェク トに変換します (PlanAhead ツール プロジェク トの変換を参照)。

° CORE Generator プロジェク トからの IP コアのソース ファ イル (.xco ファ イル) を Vivado Design Suite に追加します。

ステップ 2 : IP を最新版に移行 最新バージ ョ ンの IP を使用します。 IP を移行するには、 現在の IP を次のよ うにアップデートする必要があ り ます。

1. [Sources] ビューで [IP Sources] タブをク リ ッ ク します。

2. IP コアのソースを右ク リ ッ ク します。

3. ポップアップ メニューから [Upgrade IP] をク リ ッ ク します。

注記 : IP は最新バージ ョ ンにアップグレードする と、 再カスタマイズできるよ うにな り ます。

重要 : IP カタログで IP が利用できな くなっている場合は、 NGC ネッ ト リ ス トやシ ミ ュレーシ ョ ン ファ イルなど、 既存の IP ネッ ト リ ス トおよびソースを Vivado 合成およびインプ リ メ ンテーシ ョ ン フローで再利用するこ とができます。

移行手法ガイ ド japan.xilinx.com 57UG911 (v2013.2) 2013 年 6 月 19 日

Page 58: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

EDK IP の Vivado Design Suite への移行

EDK IP の Vivado Design Suite への移行XPS プロセッサ コアまたは Pcore は Vivado Design Suite ネイティブの IP に変換して、 IP インテグレーターで使用できるよ うにするこ とが可能です。

これには、 [Tools] → [Package IP] を実行する必要があ り ます。 このプロセスによ り、 Package IP ウ ィザードを使用して IP-XACT 定義ファ イルの component.xml が作成されます。 これは、 [Manage IP] フローを使用するか、 Pcore を直接操作するか、 デザイン プロジェク ト内で操作する と終了できます。

すべての手順については、 『Vivado Design Suite チュート リ アル : エンベデッ ド ハード ウェア デザイン』 (UG940) [参照 10] の 「演習 5 : レガシー EDK IP を IP インテグレーターで使用できるよ うに変換」 を参照してください。

移行手法ガイ ド japan.xilinx.com 58UG911 (v2013.2) 2013 年 6 月 19 日

Page 59: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

第 5 章

XPS から IP インテグレーターへの移行

概要Vivado™ Design Suite IP インテグレーターでは、 ザイ リ ンクス IP やカスタム IP を含むデザインを GUI 環境を使用してま とめるこ とができます。

重要 : Vivado IP インテグレーター は、 Zynq デバイスおよび MicroBlaze プロセッサをターゲッ トにするデザインを含めたエンベデッ ド プロセッサ デザイン用の Xilinx Platform Studio (XPS) に代わるものです。XPS では MicroBlaze プロセッサをターゲッ トにするデザインはサポート されますが、 Zynq デバイスはサポート されません。 IP インテグレーターも XPS も Vivado IDE から使用できます。

ISE® Design Suite の Xilinx Platform Studio (XPS) と同じよ うに、 Vivado Integrated Development Environment (IDE) の IPイ ンテグ レーターを使用し て、 Zynq™-7000 All Progammable SoC のエンベデッ ド プロセ ッ サ デザイ ン またはMicroblaze™ プロセッサと関連ペリ フェラルをま とめるこ とができます。

注記 : 本書には、 2013.1 リ リースでは早期アクセス機能と してライセンス付与される新しい Vivado IP インテグレーターの環境に関する情報が含まれます。 ラ イセンスの取得については、 フ ィールド アプ リ ケーシ ョ ン エンジニア(FAE) にご連絡ください。

Vivado IP インテグレーターには、 次のデザイン タイプを移行できます。

• Zynq-7000 AP SoC プロセッサ ベースのデザイン

• Microblaze プロセッサ ベースのデザイン

• ISE または PlanAhead™ ソフ ト ウェアで作成されたカスタム IP

重要 : デザインを Vivado IDE に移行する前に、 CORE Generator™ IP カタログで使用可能な最新バージ ョ ンの IP が使用されているかど うか確認して ください。

移行手法ガイ ド japan.xilinx.com 59UG911 (v2013.2) 2013 年 6 月 19 日

Page 60: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

Zynq-7000 AP Soc プロセッサ ベース デザインの移行

Zynq-7000 AP Soc プロセッサ ベース デザインの移行次の手順を実行する と、 Zynq-7000 プロセッサ ベースのデザインを Vivado IDE に移行できます。

1. XPS でデザインを開いて、 [Export] をク リ ッ ク します (図 5-1)。

2. [Export Zynq Processing System Configurations] ダイアログ ボッ クスでファイルを参照するか、[Export ConfigurationTo File] フ ィールドにディ レク ト リ を入力します。

[Description of the Configuration] フ ィールドには、Zynq デザインの簡単な説明を入力しておく こ と もできます (61ページ目の図 5-2)。

X-Ref Target - Figure 5-1

図 5-1 : XPS の Zynq コンフ ィギュレーシ ョ ン ウィンドウの [Export] オプシ ョ ン

移行手法ガイ ド japan.xilinx.com 60UG911 (v2013.2) 2013 年 6 月 19 日

Page 61: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

Zynq-7000 AP Soc プロセッサ ベース デザインの移行

XPS で [Export] コマン ド を実行する と、 XML フ ァ イルが生成されます。 次は、 [Export] コマン ド を実行してZynq-7000 プロセッサ用に生成される XML ファ イルの例です。

Zynq Subsystem Configuration.</description> <Projinfo Part="xc7z030fbg676-1" DeviceSize="xc7z030" Package="fbg676" Speed="-1" /> <set param="PCW::I2C0::I2C0::IO" value="MIO 26 ..27" /> <set param="PCW::SPI0::SPI0::IO" value="MIO 16 ..21" /> <set param="PCW::I2C0::PERIPHERAL::ENABLE" value="1" /> <set param="PCW::UART1::PERIPHERAL::ENABLE" value="1" /> <set param="PCW::I2C1::PERIPHERAL::ENABLE" value="1" /> <set param="PCW::I2C1::I2C1::IO" value="MIO 24 ..25" /> <set param="PCW::SPI0::PERIPHERAL::ENABLE" value="1" /> <set param="PCW::SD0::PERIPHERAL::ENABLE" value="1" /> <set param="PCW::QSPI::PERIPHERAL::ENABLE" value="1" /> <set param="PCW::UART0::UART0::IO" value="MIO 22 ..23" /> <set param="PCW::UART0::PERIPHERAL::ENABLE" value="1" /> <set param="PCW::MIO::MIO[1]::IOTYPE" value="LVCMOS 3.3V" /> <set param="PCW::MIO::MIO[0]::IOTYPE" value="LVCMOS 3.3V" /> <set param="PCW::USB0::PERIPHERAL::ENABLE" value="1" /> <set param="PCW::UIPARAM::DDR::ROW_ADDR_COUNT" value="14" /> <set param="PCW::UIPARAM::DDR::FREQ_MHZ" value="500" /> <set param="PCW::WDT::WDT::IO" value="MIO 14 ..15" /> <set param="PCW::WDT::PERIPHERAL::ENABLE" value="1" /> <set param="PCW::PJTAG::PJTAG::IO" value="MIO 10 ..13" /> <set param="PCW::PJTAG::PERIPHERAL::ENABLE" value="1" /> <set param="PCW::UIPARAM::DDR::PARTNO" value="MT41K128M16 JT-125" /> <set param="PCW::UIPARAM::DDR::DQS_TO_CLK_DELAY_3" value="-0.058" /> <set param="PCW::UIPARAM::DDR::DQS_TO_CLK_DELAY_2" value="-0.008" /> <set param="PCW::UIPARAM::DDR::DQS_TO_CLK_DELAY_1" value="-0.004" /> <set param="PCW::UIPARAM::DDR::DQS_TO_CLK_DELAY_0" value="-0.005" /> <set param="PCW::UIPARAM::DDR::T_FAW" value="40.0" /> <set param="PCW::UIPARAM::DDR::T_RAS_MIN" value="35.0" /> <set param="PCW::UIPARAM::DDR::T_RC" value="48.75" /> <set param="PCW::UIPARAM::DDR::CWL" value="6" /> <set param="PCW::UIPARAM::DDR::SPEED_BIN" value="DDR3_1066F" /> <set param="PCW::UIPARAM::DDR::DRAM_WIDTH" value="16 Bits" /> <set param="PCW::GPIO::V2.00.A::C_EN_EMIO_GPIO" value="0" />

X-Ref Target - Figure 5-2

図 5-2 : [Export Zynq Processing System Configurations] ダイアログ ボックス

移行手法ガイ ド japan.xilinx.com 61UG911 (v2013.2) 2013 年 6 月 19 日

Page 62: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

Zynq-7000 AP Soc プロセッサ ベース デザインの移行

<set param="PCW:GPIO::EMIO_GPIO::WIDTH" value="64" /> <set param="PCW::PRESET::FPGA::SPEED" value="-1" /> <set param="PCW::PRESET::FPGA::PARTNUMBER" value="xc7z030fbg676-1" /> <set param="PCW::DDR::V4.00.A::C_S_AXI_HP3_HIGHADDR" value="0x1FFFFFFF" /> <set param="PCW::DDR::V4.00.A::C_S_AXI_HP3_BASEADDR" value="0x00000000" /> <set param="PCW::DDR::V4.00.A::C_S_AXI_HP2_HIGHADDR" value="0x1FFFFFFF" /> <set param="PCW::DDR::V4.00.A::C_S_AXI_HP2_BASEADDR" value="0x00000000" /> <set param="PCW::DDR::V4.00.A::C_S_AXI_HP1_HIGHADDR" value="0x1FFFFFFF" /> <set param="PCW::DDR::V4.00.A::C_S_AXI_HP1_BASEADDR" value="0x00000000" /> <set param="PCW::DDR::V4.00.A::C_S_AXI_HP0_HIGHADDR" value="0x1FFFFFFF" /> <set param="PCW::DDR::V4.00.A::C_S_AXI_HP0_BASEADDR" value="0x00000000" /> <set param="PCW::UIPARAM::DDR::BOARD_DELAY3" value="0.1" /> <set param="PCW::UIPARAM::DDR::BOARD_DELAY2" value="0.082" /> <set param="PCW::UIPARAM::DDR::BOARD_DELAY1" value="0.076" /> <set param="PCW::UIPARAM::DDR::BOARD_DELAY0" value="0.075" /> <set param="PCW::UIPARAM::DDR::TRAIN_DATA_EYE" value="1" /> <set param="PCW::UIPARAM::DDR::TRAIN_READ_GATE" value="1" /> <set param="PCW::UIPARAM::DDR::TRAIN_WRITE_LEVEL" value="1" /> <set param="PCW::GPIO::PERIPHERAL::ENABLE" value="1" /> <set param="PCW::CAN::PERIPHERAL::FREQMHZ" value="100" /> <set param="PCW::SPI::PERIPHERAL::FREQMHZ" value="0.000000" /> <set param="PCW::FPGA3::PERIPHERAL::FREQMHZ" value="100.000000" /> <set param="PCW::FPGA2::PERIPHERAL::FREQMHZ" value="100.000000" /></project>

3. Vivado IDE を開き、 ISE または PlanAhead プロジェク ト と同じプロジェク ト設定を使用して新しいプロジェク トを作成します。

a. 新しいプロジェク ト、 たとえば zynq migration を RTL プロジェク ト タイプを使用して作成します。

b. この例では、 前のプロジェク ト と同じよ うに Zynq702 ボード オプシ ョ ンを使用します。

c. Vivado IP インテグレーターでブロ ッ ク デザイン、 たとえば zynq subsystem を作成します。

d. Zynq Processing System7 を追加します。

e. デザインを再カスタマイズします。使用可能なコンフ ィ ギュレーシ ョ ン プ リ セッ ト には、次の 3 つのオプシ ョ ンがあ り ます。

- テンプレート

- エクスポート された XML ファ イルを追加

- 前のプロジェク トですべてを表示するオプシ ョ ンがオンになった IO ペリ フェラル

- Zynq Processing System 7 が ISE/PlanAhead の前のプロジェク ト と同じよ うにコンフ ィギュレーシ ョ ンされたかど うか確認します。

推奨 : Vivado IP インテグレーターのライセンスが正し く インス トールされているかど うか確認して ください。 ProjectManager で IP インテグレーターのヘディングが表示されているかど うか確認します。表示されていない場合は、IP インテグレーターのライセンスがないか、 正し く インス トールされていません。

Vivado IP IDE の IP インテグレーターで XML ファ イルが読み込まれたら、 適切な制約ファイルを生成し直すこ とができます。

プロセッサ コア (ISE/PlanAhead でパッケージされた Pcore IP) は、 パッケージしし直すと Vivado に移行できます。 IPを使用した設計に関する詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 7] を参照して ください。

移行手法ガイ ド japan.xilinx.com 62UG911 (v2013.2) 2013 年 6 月 19 日

Page 63: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

MicroBlaze プロセッサ デザインのエクスポート

MicroBlaze プロセッサ デザインのエクスポートXPS では、 Microprocessor Hardware System (MHS) ファ イルで MicroBlaze コンフ ィギュレーシ ョ ンが記述されます。

重要 : MicroBlaze デザインの XMP ファ イルまたは MHS ファ イルは移行できません。

MicroBlaze デザインを移行するには、 Vivado IP インテグレーターに MHS 内のプロパティを手動で入力し直す必要があ り ます。

Vivado IP インテグレーター内で MHS ファ イルを確認し、 MHS ファ イルをパラ メーターのマップと して使用してブロッ ク図を作成します。

次は、 MHS ファ イルのコードの一部を示した例です。

PORT processing_system7_0_MIO = processing_system7_0_MIO, DIR = IO, VEC = [53:0]PORT processing_system7_0_PS_SRSTB = processing_system7_0_PS_SRSTB, DIR = IPORT processing_system7_0_PS_CLK = processing_system7_0_PS_CLK, DIR = I, SIGIS = CLKPORT processing_system7_0_PS_PORB = processing_system7_0_PS_PORB, DIR = IPORT processing_system7_0_DDR_Clk = processing_system7_0_DDR_Clk, DIR = IO, SIGIS = CLKPORT processing_system7_0_DDR_Clk_n = processing_system7_0_DDR_Clk_n, DIR = IO, SIGIS = CLKPORT processing_system7_0_DDR_CKE = processing_system7_0_DDR_CKE, DIR = IOPORT processing_system7_0_DDR_CS_n = processing_system7_0_DDR_CS_n, DIR = IOPORT processing_system7_0_DDR_RAS_n = processing_system7_0_DDR_RAS_n, DIR = IOPORT processing_system7_0_DDR_CAS_n = processing_system7_0_DDR_CAS_n, DIR = IOPORT processing_system7_0_DDR_WEB_pin = processing_system7_0_DDR_WEB, DIR = OPORT processing_system7_0_DDR_Addr = processing_system7_0_DDR_Addr, DIR = IO, VEC = [14:0]PORT processing_system7_0_DDR_ODT = processing_system7_0_DDR_ODT, DIR = IOPORT processing_system7_0_DDR_DRSTB = processing_system7_0_DDR_DRSTB, DIR = IO, SIGIS = RSTPORT processing_system7_0_DDR_DQ = processing_system7_0_DDR_DQ, DIR = IO, VEC = [31:0]BEGIN processing_system7 PARAMETER INSTANCE = processing_system7_0 PARAMETER HW_VER = 4.00.a PARAMETER C_DDR_RAM_HIGHADDR = 0x3FFFFFFF PARAMETER C_USE_M_AXI_GP0 = 0 PORT MIO = processing_system7_0_MIOPORT PS_SRSTB = processing_system7_0_PS_SRSTBPORT PS_CLK = processing_system7_0_PS_CLKPORT PS_PORB = processing_system7_0_PS_PORBPORT DDR_Clk = processing_system7_0_DDR_ClkPORT DDR_CKE = processing_system7_0_DDR_CKEPORT DDR_CS_n = processing_system7_0_DDR_CS_n PORT DDR_RAS_n = processing_system7_0_DDR_RAS_nEND

XPS の GUI から さまざまなコンポーネン トのインターコネク ト を確認し、 IP インテグレーターでそれらをそのとおりに復元するこ と もできます。

移行手法ガイ ド japan.xilinx.com 63UG911 (v2013.2) 2013 年 6 月 19 日

Page 64: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

第 6 章

ISE Simulator の Tcl の Vivado シミ ュレータの Tcl への移行

Tcl コマンドの移行次の表は ISE Simulator (ISim) の Tcl コマンドに対応する Vivado™ シ ミ ュレータの Tcl コマンドを リ ス ト しています。

表 6-1 : ISE Simulator (ISim) Tcl と同等の Vivado Tcl

ISim Tcl Vivado Design Suite Tcl

bp add <file_name> <line_number> add_bp file_name line_number

bp clear remove_bps

bp del <index> [<index>…] remove_bp indexlist…

bp list report_bps

bp remove <file_name> <line_number> remove_bps [get_bps –filter {file_name==<file_name> && line_number == <line_number>}]

describe <name> describe name

dump report_values

dump –p <process_scope_name> report_values process_scope_name/*

isim condition add <condition_expression> <command> [-label <label_name>]

add_condition [-label name] <condition_expression> <command>

isim condition remove [<label_names>…] [<indexlist>…] [-all]

remove_conditions [names_indices_objects…]

isim condition list report_conditions

isim force add <object_name> <value> [-radix <radix>] [-time <time_offset>] { [ -value <value> [-radix <radix>] -time <time_offset>] } <[-cancel <time_offset>] [-repeat <time_offset>]

add_force [-radix radix] [-cancel_after <time_offset>] [-repeat_every <time_duration>] <object_name> {<value> [<time>] } [{ <value> <time>}…]

isim force remove remove_force

isim get <property> Properties: arraydisplaylength, radix, userunit, maxtraceablesize, ltrace, ptrace

get_property property_name [current_sim] Properties: array_display_limit, radix, time_unit, trace_limit, line_tracing, process_tracing

移行手法ガイ ド japan.xilinx.com 64UG911 (v2013.2) 2013 年 6 月 19 日

Page 65: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

Tcl コマンドの移行

isim set <property> <value> properties: arraydisplaylength, radix, userunit, maxtraceablesize, ltrace, ptrace

set_property property_name property_value [current_sim] Properties: array_display_limit, radix, time_unit, trace_limit, line_tracing, process_tracing

onerror {tcl_commands} onerror {tcl_commands}

put [–radix <radix>] name value set_value [–radix radix] Design_object value

quit [-f|-force] [-s|-sim] quit [-f|-force]

restart restart

resume resume

run [all | continue | <time> <unit>] run [-all] [time unit]

saif open [-scope <path_name>] [-file <file_name>] [-level <nesting_level>] [-allnets]

open_saif file_name; log_saif hdl_objects

saif_close close_saif [SaifObj]

scope [<path>] current_scope hdl_scope

sdfanno SDF アノテーシ ョ ンはシ ミ ュレーシ ョ ンの xelab (エラボレーター ) コマンドのオプシ ョ ンです。 sdfanno はサポート されな くな り ました。

show time current_time

show port report_objects [get_objects * –filter {type == port}]

show scope report_scope

show signal report_objects [get_objects * –filter {type == signal}]

show variable report_objects [get_objects * –filter {type == variable}]

show constant report_objects [get_objects * –filter {type == constant}]

show child [-r] report_scopes [get scopes –r *]

show driver <hdl_object_name> report_drivers hdl_object (サポート なし )

show load <hdl_object_name> report_readers hdl_object (サポート なし )

show value [-radix <radix>] <hdl_object_name>

report_value [-radix radix] hdl_object

step step [-over]

test [-radix radix] <hdl_object_name> <test_value>

現在はサポート されていません。 Use Tcl built-in command as follows: expr {[get_value –radix radix hdl_object] == test_value}

vcd dumpfile <file_name> open_vcd file_name

vcd dumpvars –m <hdl_scope_name> [-l <level>]

log_vcd hdl_objects

vcd dumplimit <size> limit_vcd [VCDObject] filesize

表 6-1 : ISE Simulator (ISim) Tcl と同等の Vivado Tcl (続き)

ISim Tcl Vivado Design Suite Tcl

移行手法ガイ ド japan.xilinx.com 65UG911 (v2013.2) 2013 年 6 月 19 日

Page 66: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

Tcl コマンドの移行

vcd dumpon start_vcd [VCDObject]

vcd dumpoff stop_vcd [VCDObject]

vcd dumpflush flush_vcd [VCDObject]

wave log [-r] name log_wave hdl_objects

表 6-1 : ISE Simulator (ISim) Tcl と同等の Vivado Tcl (続き)

ISim Tcl Vivado Design Suite Tcl

移行手法ガイ ド japan.xilinx.com 66UG911 (v2013.2) 2013 年 6 月 19 日

Page 67: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

第 7 章

ISE ChipScope Logic Analyzer の Vivado ラボ ツールへの移行

概要本章では、 Vivado™ Design Tool ラボ ツールについて、 ISE® Design Suite ChipScope™ Logic Analyzer ツールとの関連や、 ISE Chipscope 環境から Vivado ラボ ツールへの IP コアの移行方法について説明します。

「Vivado ラボ ツール」 とは、Vivado Design Suite で使用可能なすべてのプログラムおよびデバッグ ツールの総称です。Vivado ラボ ツールに含まれる機能は次のとおりです。

• Vivado デバイス プログラマー

• Vovado ロジッ ク アナライザー

• Vivado シ リアル I/O アナライザー

68 ページ目の表 7-1 には、 Vivado Integrated Design Environment (IDE) の機能の名前と、 それに該当する ISE ツールをリ ス ト しています。

移行手法ガイ ド japan.xilinx.com 67UG911 (v2013.2) 2013 年 6 月 19 日

Page 68: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

概要

表 7-1 : Vivado IDE の機能と詳細、 およびそれに該当する ISE ツール

Vivado IDE の機能 詳細 同等の ISE ツール

Vivado デバイス プログラマー Vivado デバイス プログラマーとは、ザイ リ ンクス® FPGA デバイ スをプログ ラ ムおよびコ ンフ ィ ギ ュ レーシ ョ ンする ために使用 されるVivado IDE の機能のこ とです。 この機能では、 ザイ リ ンクス FPGA デバイスに接続される不揮発性 (NV) メモ リ ス ト レージ デバイスをプログラムするこ と もできます。NV メモ リ デバイスには、ザイ リ ンクス FPGA デバイスをプログラムするのに使用される コンフ ィギュレーシ ョ ン情報が保存されます。

iMPACT デバイス プログラマー ツール

Vovado ロジッ ク アナライザー Vivado ロジッ ク アナライザーとは、ハードウェアのザイ リ ンクス FPGA デバイスで実行されるデザインの論理デバッグおよび検証に使用される Vivado IDE の機能のこ とです。 Vivado ロ ジ ッ ク アナラ イザーは次を含むLogiCORE IP ロジッ ク デバッグ コアに使用されます。

• ILA 2.0 (およびそれ以降のバージ ョ ン) • VIO 2.0 (およびそれ以降のバージ ョ ン)

ChipScope Logic Analyzer

Vivado シ リ アル I/O アナラ イザー

Vivado シ リ アル I/O アナライザーとは、 ザイ リンクス FPGA デバイスの高速シ リアル I/O リ ンク をデバッ グおよび検証に使用される VivadoIDE の機能のこ とです。 Vivado シ リアル I/O アナラ イザーは次を含む LogiCORE IP シ リ アルI/O デバッグ コアに使用されます。 • IBERT 7 Series GTZ 3.0 (およびそれ以降) • IBERT 7 Series GTH 3.0 (およびそれ以降) • IBERT 7 Series GTX 3.0 (およびそれ以降) • IBERT 7 Series GTP 3.0 (およびそれ以降)

移行手法ガイ ド japan.xilinx.com 68UG911 (v2013.2) 2013 年 6 月 19 日

Page 69: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

レガシー IP コアのサポート

レガシー IP コアのサポートザイ リ ンクスでは、新しい Vivado デバッグ IP コアへ移行するこ とをお勧めしていますが、ChipScope Pro デバッグ コアの多くは Vivado デザイン ツール 2013.1 (およびそれ以降のバージ ョ ン) でまだ使用できます。

次の点に注意して ください。

• ChipScope Pro デバッグ IP コアは Vivado IP カタログには含まれません。 これらのコアは、 ISE Design Suite14.5 のCORE Generator™ からのみ使用できます。

• ChipScope Pro デバッグ IP コアの XCO ファ イルは Vivado では互換性があ り ません。

重要 : Vivado プロジェク トには XCO ファ イルを追加しないでください。

• Vivado プロジェク トで次を追加します。

° コアから生成した NGC ファ イル

° XDC ファ イル

° 合成テンプレート ファ イル (HDL 言語によって .v または .vhd)

• ChipScope デバッグ コアの XDC ファ イルの場合、 USED_IN_SYNTHESIS プロパティを false に設定します。

• SCOPE_TO_REF プロパティを最適なセル名に設定します。

次は、 icon_v1_06a、 ila_v1_05a、 vio_v1_05a などの ChipScope Pro デバッグ IP コアを含むデザインの例です。

set_property USED_IN_SYNTHESIS false [get_files icon_v1_06a.xdc ila_v1_05a.xdc vio_v1_05a.xdc]set_property SCOPE_TO_REF {ila_v1_05a} [get_files ila_v1_05a.xdc]

• 表 7-2 にリ ス ト されるレガシー ChipScope Pro デバッグ IP コアの場合、ランタイム デバッグ中に対話できるよ うに ChipScope Pro Analyzer が必要です。 Vivado ラボ ツールとは互換性があ り ません。

表 7-2 : レガシー コア、 互換性および新規 Vivado デバッグ IP コア

レガシー ChipScope Pro デバッグ IP コアとバージ ョ ン

Vivado 2013.1 (およびそれ以降)

との互換性 互換性のある新規

Vivado デバッグ IP コア

Agilent Trace Core 2 (ATC2)、 v1.05a なし 該当なし

AXI ChipScope Monitor、 v3.05a 可能 該当なし

Integrated Bit Error Ratio Tester (IBERT) 7 SeriesGTH、 v2.01a

なし Integrated Bit Error Ratio Tester (IBERT)7 Series GTH、 v3.0 (またはそれ以降)

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTP、v2.00a

なし Integrated Bit Error Ratio Tester (IBERT)7 Series GTP、 v3.0 (またはそれ以降)

Integrated Bit Error Ratio Tester (IBERT) 7 SeriesGTX、 v2.02a

なし Integrated Bit Error Ratio Tester (IBERT)7 Series GTX、 v3.0 (またはそれ以降)

Integrated Bit Error Ratio Tester (IBERT) Spartan6GTP、 v2.02a

なし 該当なし

Integrated Bit Error Ratio Tester (IBERT) Virtex5 GTX、v2.01a

なし 該当なし

Integrated Bit Error Ratio Tester (IBERT) Virtex6 GTX、v2.03a

なし 該当なし

移行手法ガイ ド japan.xilinx.com 69UG911 (v2013.2) 2013 年 6 月 19 日

Page 70: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

ChipScope Pro Analyzer コアの互換性

ChipScope Pro Analyzer コアの互換性次のセクシ ョ ンでは、 ChipScope Pro Analyzer と新しい Vivado デバッグ IP コアとの互換性について説明します。

ILA および VIO デバッグ IP コア

ILA v2.0 (またはそれ以降) および VIO v2.0 (またはそれ以降) デバッグ IP コアを使用するには、 Vivado ロジッ ク アナライザーを使用する必要があ り ます。

表 7-3 は、 ロジッ ク デバッグ IP コアのランタイム ツールとの互換性を示しています。

IBERT 7 Series GTH/GTP/GTX/GTZ v3.0 (またはそれ以降) デバッグ IP コア

IBERT 7 Series GTH/GTP/GTX/GTZ v3.0 (またはそれ以降) デバッグ IP コアを使用するには、 Vivado シ リ アル I/O アナライザーを使用する必要があ り ます。

表 7-4 は、 シ リ アル I/O デバッグ IP コアのランタイム ツールとの互換性を示しています。

Integrated Bit Error Ratio Tester (IBERT) Virtex6 GTH、v2.06a

なし 該当なし

Integrated Controller (ICON)、 v1.06a あ り 該当なし

Integrated Logic Analyzer (ILA)、 v1.05a あ り Integrated Logic Analyzer (ILA)、 v2.0 (またはそれ以降)

Virtual Input/Output (VIO)、 v1.05a あ り Virtual I/O (VIO)、 v2.0 (またはそれ以降)

表 7-3 : デバッグ IP コアおよびランタイム ツール要件

デバッグ IP コアとバージ ョ ン ランタイム ツール要件

AXI ChipScope Monitor、 v3.05a (またはそれ以前) ChipScope Pro Analyzer

Integrated Controller (ICON)、 v1.06a (またはそれ以前) ChipScope Pro Analyzer

Integrated Logic Analyzer (ILA)、 v1.05a (またはそれ以前) ChipScope Pro Analyzer

Integrated Logic Analyzer (ILA)、 v2.0 (またはそれ以降) Vovado ロジッ ク アナライザー

Virtual Input/Output (VIO)、 v1.05a (またはそれ以前) ChipScope Pro Analyzer

Virtual Input/Output (VIO)、 v2.0 (またはそれ以前) Vovado ロジッ ク アナライザー

表 7-4 : IBERT 7 Series デバッグ IP コアおよびランタイム ツール要件

デバッグ IP コアとバージ ョ ン ランタイム ツール要件

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTH、v2.01a (またはそれ以前) ChipScope Pro Analyzer

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTH、 v3.0 (またはそれ以降) Vivado シ リ アル I/O アナライザー

表 7-2 : レガシー コア、 互換性および新規 Vivado デバッグ IP コア (続き)

レガシー ChipScope Pro デバッグ IP コアとバージ ョ ン

Vivado 2013.1 (およびそれ以降)

との互換性 互換性のある新規

Vivado デバッグ IP コア

移行手法ガイ ド japan.xilinx.com 70UG911 (v2013.2) 2013 年 6 月 19 日

Page 71: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

ChipScope Pro Analyzer コアの互換性

デザイン内でのレガシー ChipScope Pro と Vivado デバッグ IP コアの統合

次の規則に従えば、 レガシー ChipScope コアと Vivado コアは統合できます。

• Vivado デバッグ IP コアは HDL コードにインスタンシエートできるほか、 Vivado デザイン ネッ ト リ ス トに ILAv2.0 を挿入できます。

注記 : Vivado デバッグ IP コアを JTAG 基盤に接続する dbg_hub コアは、 自動的にデザインに挿入されます。

• レガシー ChipScope Pro デバッグ IP コアは HDL コードにインスタンシエートする必要があ り ます。

注記 : デバッグ コアの Vivado デザイン ネッ ト リ ス トへの挿入は、 レガシー ChipScope Pro デバッグ IP コアではサポート されません。

• ほかのレガシー ChipScope Pro デバッグ IP コアを JTAG チェーン基盤に接続するために使用される ICON コアは、 ユーザーがデザインにインスタンシエートする必要があ り ます。

重要 : ICON および dbg_hub コアが同じ JTAG ユーザー スキャン チェーンを使用しないよ うにして ください。 同じものが使用される と、 write_bitstream DRC チェッ クでエラーが発生します。

図 7-1 は、dbg_hub コアの JTAG ユーザー スキャン チェーンの変更方法をスク リーン キャプチャに説明を付けて示しています。

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTP、v2.00a (またはそれ以前) ChipScope Pro Analyzer

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTP、 v3.0 (またはそれ以降) Vivado シ リ アル I/O アナライザー

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTX、 v2.02a ChipScope Pro Analyzer

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTX、 v3.0 (またはそれ以降) Vivado シ リ アル I/O アナライザー

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTZ、 v2.0 ChipScope Pro Analyzer または Vivadoシ リアル I/O アナライザー

表 7-4 : IBERT 7 Series デバッグ IP コアおよびランタイム ツール要件 (続き)

デバッグ IP コアとバージ ョ ン ランタイム ツール要件

移行手法ガイ ド japan.xilinx.com 71UG911 (v2013.2) 2013 年 6 月 19 日

Page 72: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

ChipScope Pro Analyzer コアの互換性

X-Ref Target - Figure 7-1

図 7-1 : dbg_hub コアの JTAG ユーザー スキャン チェーンの変更方法

移行手法ガイ ド japan.xilinx.com 72UG911 (v2013.2) 2013 年 6 月 19 日

Page 73: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

第 8 章

その他のコマンド ライン ツールの Vivado への移行

概要 この章では、 さまざまなザイ リ ンクス コマンド ラ イン ツールを Vivado™ Integrated Design Suite 環境で使用できるようにする方法について説明しています。

ISE Partgen コマンド ライン ツールの移行ISE® Design Suite の Partgen では、 次が入手できます。

• システムにインス トールされたデバイスすべての情報

• 詳細なパッケージ情報

これと同じタイプの情報は Vivado Design Suite で Tcl コマンドを使用する と入手できます。 表 8-1 は、 Partgen のパート リ ス ト ファ イル (.xct) に格納されたのと同等の情報を取得する Vivado の Tcl コマンドです。

パート リス ト ファイルの内容

表 8-1 : Tcl コマンドから Partgen のパート リス トの内容へのマッピング

パート リストの内容 Tcl コマンド

Device get_parts

Package get_property PACKAGE [get_parts <part_name>]

Speedgrade get_property SPEED [get_parts <part_name>]

NBIOBS llength [get_sites -filter {IS_BONDED==1 && SITE_TYPE =~IOB*}

SLICES_PER_CLB [llength [get_sites -of_objects [lindex [get_tilesCLBLM_L_*] 0] -filter {NAME=~SLICE*}]]

NUM_BLK_RAMS llength [get_sites RAMB36*]

移行手法ガイ ド japan.xilinx.com 73UG911 (v2013.2) 2013 年 6 月 19 日

Page 74: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

ISE Partgen コマンド ライン ツールの移行

NUM_BLK_RAM_COLS set looplimit[llength [get_sites RAMB36*]];

for {set i 0} {$i <= $looplimit} {incr i} {

set BLK_PER_COL [llength [get_sites RAMB36_X${i}Y*]]

if {$BLK_PER_COL > 0} {

puts "Number of BlockRAM per Column for RAMB36_X${i},$BLK_PER_COL"}}

for {set x 0} {$x <= $looplimit} {incr x} {

set BLK_COLS [llength [get_sites RAMB36_X*Y$x]]

if {$BLK_COLS > 0 } {

puts "Number of BlockRAM Columns for RAMB36_Y$x,$BLK_COLS"}}

FF_PER_SLICE [llength [get_bels -of [get_sites SLICE_X0Y0] -fil

ter {NAME=~*FF*}]]

NUM_MMCM llength [get_sites MMCM*]

NUM_LUTS_PER_SLICE llength [get_bels -of [get_sites SLICE_X0Y0] -filter{TYPE=~LUT_OR_MEM*}]

LUT_NAME ENUMERATIONa n dLUT_SIZE_ENUMERATION

foreach bel [get_bels -of [get_sites SLICE_X0Y0] -filter"TYPE=~LUT_OR_MEM*"] {

set name [split $bel /]

set type [get_property TYPE $bel]

set fields [split $type "M"]

lappend newlist "LUT_NAME=[lindex $name 1] andLUT_SIZE=[lindex $fields 2]"}

foreach line $newlist {puts "$line"}

NUM_GLOBAL_BUFFERS llength [get_sites BUFGCTRL*]

GLOBAL_BUFFERSENUMERATION

get_sites BUFGCTRL

GLOBAL_BUFFER IOBSENUMERATION

[get_sites -of [get_package_pins -filter {IS_CLK_CAPABLE==1&& IS_MASTER==1}]]

NUM_BUFIO_BUFFERS llength [get_sites BUFIO*]

BUFIO_BUFFERSENUMERATION

get_sites BUFIO

NUM_DSP llength [get_sites DSP*]

NUM_PCIE llength [get_sites PCIE*]

NUM_PLL llength [get_sites PLL*]

NUM_CLB llength [get_tiles CLB*]

CLKRGN ENUMERATION get_clock_regions

NUM_OF_SLR llength [get_slrs]

NUM_DSP_COLUMNS llength [get_sites DSP48_X*Y1]

NUM_DSP_PER_COLUMN llength [get_sites DSP48_X1Y*]

表 8-1 : Tcl コマンドから Partgen のパート リス トの内容へのマッピング (続き)

パート リストの内容 Tcl コマンド

移行手法ガイ ド japan.xilinx.com 74UG911 (v2013.2) 2013 年 6 月 19 日

Page 75: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

ISE Partgen コマンド ライン ツールの移行

パッケージ情報

表 8-2 は、 Partgen のパッケージ ファ イルの内容を取得する Tcl コマンドを リ ス ト しています。

NUM_BRAM_PER_COLUMN set looplimit [llength [get_sites RAMB36*]]

for {set i 0} {$i <= $looplimit} {incr i} {

set BLK_PER_COL [llength [get_sites RAMB36_X${i}Y*]]

if {$BLK_PER_COL > 0} {

puts "Number of BlockRAM per Column for RAMB36_X${i},$BLK_PER_COL"}}

for {set x 0} {$x <= $looplimit} {incr x} {

set BLK_COLS [llength [get_sites RAMB36_X*Y$x]]

if {$BLK_COLS > 0 } {

puts "Number of BlockRAM Columns for RAMB36_Y$x,$BLK_COLS"}}

HEIGHT_OF_DSP foreach region [get_clock_regions] { puts "Height of DSP48in $region, [llength [get_sites -filter"CLOCK_REGION==$region" DSP48*]]" }

SLR ENUMERATION get_slrs

表 8-2 : Tcl コマンドから Partgen のパッケージ ファイルの内容へのマッピング

パッケージ ファイル Tcl コマンド

ピン タイプ foreach pin [get_package_pins] {puts "Pin Type = [get_property CLASS [get_package_pins $pin|get_package_pins $pin]_]"}_

ピン名 foreach pin [get_package_pins] {puts "Pin Name = $pin"}

ピン関数 foreach pin [get_package_pins] {puts "Pin Function = [get_property PIN_FUNC [get_package_pins $pin|get_package_pins $pin]_]"}_

PAD 名 foreach pin [get_package_pins] {puts "PAD Name = [get_property NAME [get_sites $pin|get_sites $pin]_]"}_

ピンのバンク番号 foreach pin [get_package_pins] {puts "Bank Number = [get_property BANK [get_package_pins $pin|get_package_pins $pin]_]"}_

差動ペア foreach pin [get_package_pins] {puts "DIff Pair = [get_property DIFF_PAIR_PIN [get_package_pins $pin|get_package_pins $pin]_]"}_

IO バンク タイプ foreach pin [get_package_pins] {puts "Bank Type = [get_property BANK_TYPE [get_iobanks [get_property BANK [get_package_pins $pin|get_package_pins $pin]_]]]"}_

表 8-1 : Tcl コマンドから Partgen のパート リス トの内容へのマッピング (続き)

パート リストの内容 Tcl コマンド

移行手法ガイ ド japan.xilinx.com 75UG911 (v2013.2) 2013 年 6 月 19 日

Page 76: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

ISE Bitgen コマンド ライン ツール

ISE Bitgen コマンド ライン ツール ISE Design Suite の Bitgen ツールでは、 ビ ッ ト ス ト リ ームが生成されます。 Vivado では、 Tcl コマン ド のwrite_bitstream を使用します。 詳細は、 次を参照して ください。

• 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 5]

• 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 6]

注記 : Bitgen コマンドのオプシ ョ ンは、 Vivado Design Suite の Tcl プロパティです。新しいプロパティおよび値についてはVivado Design Suite: 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 6] の 「付録 A : デバイス コンフ ィギュレーシ ョ ン ビッ ト ス ト リーム設定」 を参照してください。

ISE Speedprint コマンド ライン ツール ISE Design Suite の Speedprint ツールでは、すべてのデバイス コンポーネン トのスピード データが生成されます。

重要 : Vivado Design Suite では、 この機能はサポート されません。 ISE Design Suite speedprint ツールを使用してください。

ISE PROMGen コマンド ライン ツール ISE Design Suite の PROMGen ツールでは、 プログラム用の PROM ファ イルが作成されます。

重要 : Vivado Design Suite では、 この機能はサポート されません。 PROM ファ イルを作成するには、 ISE Design Suiteの PROMGen をご使用ください。

ISE BSDLAnno コマンド ライン ツール ISE Design Suite の BSDLAnno ツールでは、 コンフ ィギュレーシ ョ ン後の BSDL (バウンダ リ スキャン記述言語) ファイルが作成されます。

重要 : Vivado Design Suite では、 この機能はサポート されません。 BSDL ファ イルを作成するには、 ISE Design Suite のBSDLAnno をご使用ください。

移行手法ガイ ド japan.xilinx.com 76UG911 (v2013.2) 2013 年 6 月 19 日

Page 77: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

付録 A

使用廃止プリ ミテ ィブ

概要次のプリ ミ ティブは、 7 シ リーズ デバイスに含まれていません。 このため、 Vivado® Design ではこれらのプリ ミ ティブを使用できません。

A

• AFIFO35_INTERNAL

• ARAMB_36_INTERNAL

B

• BSCAN_FPGACORE

• BSCAN_SPARTAN3

• BSCAN_SPARTAN3A

• BUFCF

• BUFDS

• BUFE

• BUFGDLL

• BUFIO2

• BUFIO2_2CLK

• BUFIO2FB

• BUFIODQS

• BUFPLL

• BUFPLL_MCB

• BUFT

C

• CAPTURE_FPGACORE

• CLKDLL

• CLKDLLE

• CLKDLLHF

• CONFIG

• CRC32

移行手法ガイ ド japan.xilinx.com 77UG911 (v2013.2) 2013 年 6 月 19 日

Page 78: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

概要

• CRC64

D

• DCM_CLKGEN

• DCIRESET

• DSP48A

• DSP48A1

E

• EMAC

F

• FDDRCPE

• FDDRRSE

• FIFO36_EXP

• FIFO36_72_EXP

• FMAP

• FRAME_ECC_VIRTEX4

• FRAME_ECC_VIRTEX5

G

• GT11

• GT11CLK

• GT11_CUSTOM

• GT11_DUAL

• GT11CLK_MGT

• GTHE1_QUAD

• GTPA1_DUAL

• GTP_DUAL

• GTX_DUAL

• GTXE1

I

• IBUF_DLY_ADJ

• IBUFDS_DLY_ADJ

• IBUFDS_GTHE1

• IBUFDS_GTXE1

• IFDDRCPE

• IFDDRRSE

• IODELAY2

移行手法ガイ ド japan.xilinx.com 78UG911 (v2013.2) 2013 年 6 月 19 日

Page 79: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

概要

• IODRP2

• IODRP2_MCB

• ISERDES2

J• JTAGPPC

• JTAGPPC440

• JTAG_SIM_SPARTAN3A

• JTAG_SIM_VIRTEX4

• JTAG_SIM_VIRTEX5

M

• MCB

O

• OFDDRCPE

• OFDDRRSE

• OFDDRTCPE

• OFDDRTRSE

• ORCY

• OSERDES2

P

• PCIE_2_0

• PCIE_A1

• PCIE_EP

• PCIE_INTERNAL_1_1

• PMCD

• POST_CRC_INTERNAL

• PPC405_ADV

• PPC440

R

• RAMB32_S64_ECC

• RAMB36_EXP

• RAMB36SDP_EXP

• RAMB4_S1

• RAMB4_S1_S1

• RAMB4_S1_S16

• RAMB4_S1_S2

移行手法ガイ ド japan.xilinx.com 79UG911 (v2013.2) 2013 年 6 月 19 日

Page 80: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

概要

• RAMB4_S1_S4

• RAMB4_S1_S8

• RAMB4_S16

• RAMB4_S16_S16

• RAMB4_S2

• RAMB4_S2_S16

• RAMB4_S2_S2

• RAMB4_S2_S4

• RAMB4_S2_S8

• RAMB4_S4

• RAMB4_S4_S16

• RAMB4_S4_S4

• RAMB4_S4_S8

• RAMB4_S8

• RAMB4_S8_S16

• RAMB4_S8_S8

• ROC

• ROCBUF

S

• SIM_CONFIG_S3A_SERIAL

• STARTUP_SPARTAN3E

T

• TBLOCK

• TEMAC

• TEMAC_SINGLE

• TIMEGRP

• TIMESPEC

• TOC

• TOCBUF

移行手法ガイ ド japan.xilinx.com 80UG911 (v2013.2) 2013 年 6 月 19 日

Page 81: Vivado Design Suite 移行手法ガイド (UG911) - Xilinx...移行手法ガイド japan.xilinx.com 7 UG911 (v2013.2) 2013 年 6 月 19 日 XST プロジェクト ファイルのインポート

移行手法ガイ ド japan.xilinx.com 81UG911 (v2013.2) 2013 年 6 月 19 日

付録 B

その他のリソース

ザイリンクスの資料アンサー レコード、 資料、 ダウンロード、 フォーラムなどのサポート資料は、 ザイ リ ンクスのサポート ウェブサイト をご覧ください。

http://japan.xilinx.com/support

ザイ リ ンクスの資料で使用されている技術用語の用語集はこちらをご覧ください。

http://japan.xilinx.com/company/terms.htm.

ソリューシ ョ ン センターデバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 デザイン アシスタン ト、 デザイン アドバイザリ、 ト ラブルシューティングなどが含まれます。

参考資料本書では、 次の資料についての記述があ り ます。

• Vivado Design Suite 2013.2 の資料 : (japan.xilinx.com/support/documentation/dt_vivado_vivado2013-2.htm)

1. 『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892)

2. 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力 (UG895)

3. 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903)

4. 『制約ガイ ド』 (UG625) (http://japan.xilinx.com/support/documentation/sw_manuals/xilinx14_6/cgd.pdf)

5. 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)

6. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908)

7. 『Vivado ユーザー ガイ ド : IP を使用した設計』 (UG896)

8. 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャー テクニッ ク』 (UG906)

9. 『Vivado Design Suite チュート リ アル : デザイン解析およびクロージャー テクニッ ク』 (UG938)

10. 『Vivado Design Suite チュート リ アル : エンベデッ ド ハード ウェア デザイン』 (UG940)