Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com...

336
R 本資料は英語版 (v1.8.1) を翻訳したものです。 英語の更新 バージ ョ ンがリ リースされている場合には、 最新の英語版を 必ずご参照 く だ さ い。 Virtex-5 FPGA RocketIO GTP トランシーバ ユーザー ガイド UG196 (v1.8.1) 2008 12 1

Transcript of Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com...

Page 1: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

R

本資料は英語版 (v1.8.1) を翻訳 し た ものです。 英語の更新

バージ ョ ンがリ リ ース されている場合には、 新の英語版を

必ずご参照 く だ さい。

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

ユーザー ガイ ド

UG196 (v1.8.1) 2008 年 12 月 1 日

Page 2: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日

Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with Xilinx hardware devices. You may not reproduce, distribute, republish, download, display, post, or transmit the Documentation in any form or by any means including, but not limited to, electronic, mechanical, photocopying, recording, or otherwise, without the prior written consent of Xilinx. Xilinx expressly disclaims any liability arising out of your use of the Documentation. Xilinx reserves the right, at its sole discretion, to change the Documentation without notice at any time. Xilinx assumes no obligation to correct any errors contained in the Documentation, or to advise you of any corrections or updates. Xilinx expressly disclaims any liability in connection with technical support or assistance that may be provided to you in connection with the Information.

THE DOCUMENTATION IS DISCLOSED TO YOU “AS-IS” WITH NO WARRANTY OF ANY KIND. XILINX MAKES NO OTHER WARRANTIES, WHETHER EXPRESS, IMPLIED, OR STATUTORY, REGARDING THE DOCUMENTATION, INCLUDING ANY WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE, OR NONINFRINGEMENT OF THIRD-PARTY RIGHTS. IN NO EVENT WILL XILINX BE LIABLE FOR ANY CONSEQUENTIAL, INDIRECT, EXEMPLARY, SPECIAL, OR INCIDENTAL DAMAGES, INCLUDING ANY LOSS OF DATA OR LOST PROFITS, ARISING FROM YOUR USE OF THE DOCUMENTATION.

© 2006–2008 Xilinx, Inc. XILINX, the Xilinx logo, Virtex, Spartan, ISE, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. PCI, PCI Express, PCIe, and PCI-X are trademarks of PCI-SIG. All other trademarks are the property of their respective owners.

R

Page 3: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日

改訂履歴

本書の改訂履歴は次の とお り です。

日付 バージ ョ ン 変更内容

2006/09/06 1.0 CD での初版 リ リ ース

2006/10/13 1.1 ウ ェブ サイ ト への初版 リ リ ース

2007/02/02 1.2 第 4 章 の「パッ ケージにおける配置情報」に SXT パッ ケージを追加。表 7-27 および表 7-30 の メ モに RX バッ フ ァ のオーバーフ ロー /アンダーフ ローの説明を追加。 第 10 章 の

「SelectIO と GTP の ク ロ ス ト ークのガ イ ド ラ イ ン」 を追加。 第 11 章 の 「SelectIO と シ リ

アル ト ラ ンシーバの ク ロ ス ト ークのガ イ ド ラ イ ン」 を追加。付録 E 「低レ イ テンシのデザイ

ン」 を追加。 Virtex-II Pro X FPGA の参照記載を削除。

2007/05/25 1.3 第 1 章 : 23 ページの 「概要」 に記載のラ イ ン レー ト を更新。 28 ページの表 1-3 に

RXBYTEISALIGNED の説明を追加し、 CRC ポー ト を削除。 表 1-5 の PCOMMA_ DETECT を修正し、CRC_INIT[31:0] 属性を削除。CRC ポー ト は GTP_DUAL プ リ ミ テ ィ

ブではない (第 8 章を参照)。

第 3 章 : 50 ページの 「シ ミ ュ レーシ ョ ンにおける ク ロ ッ ク供給」 を追加。 マルチレー ト ク

ロ ッ キング デザイ ンに対する注意事項と付録 F への リ ン ク を追加。

第 4 章 : 55 ページの表 4-1 に メ モ 2 を追加。

第 5 章 : 68 ページの図 5-1 に メ モ 5 を追加。 PCS_COM_CFG および 71 ページの図 5-2 に

メ モを追加。 式 5-1 を修正。 71 ページの表 5-3 にあ る FC1、 FC2、 SFI-5、 TFI-5、 HD-SDI 規格の PLL ク ロ ッ ク周波数を変更。77 ページの図 5-3 の メ モを更新。81 ページの表 5-6 に

PRBSCNTRESET および PLLPOWERDOWN を追加し、GTPRESET の説明を更新。「GTP コ ンポーネン ト レベルの リ セ ッ ト 」 および 83 ページの「 リ ン ク ア イ ド ル リ セ ッ ト のサポー

ト 」 を更新。 89 ページの表 5-9 の RXPOWERDOWN に メ モを追加。 92 ページの表 5-11 に メ モを追加。

第 6 章 : 図 6-5 に BUFG を追加。 PMA_COM_CFG、 OVERSAMPLE_MODE を更新し、

これらの属性を 105 ページの表 6-8 に追加。 115 ページの 「TX 位相ア ラ イ メ ン ト 回路を使

用し た TX バ ッ フ ァ のバイパス」 を更新。116 ページの図 6-12 を更新。116 ページの表 6-12 に INTDATAWIDTH を追加。 121 ページの表 6-14 の OVERSAMPLE_MODE、 127 ペー

ジの表 6-16 の TX_DIFF_BOOST を更新。 129 ページの表 6-18 にデフ ォル ト 値を追加。

第 7 章 : 134 ページの図 7-2 を更新。 表 7-3 を更新し、 表 7-6 に OOB 標準値を追加。 147 ページの 「CDR の調整」 を追加。 149 ページの表 7-12 を更新し、 173 ページの表 7-29 に

メ モ 1 と追加。 CLK_COR_MAX_LAT を更新。

第 8 章 : CRC ブロ ッ クの説明を追加。

第 9 章 : 「近端 PCS ループバッ ク」、 「近端 PMA ループバッ ク」、 「遠端 PMA ループバッ

ク」 、 「遠端 PCS ループバッ ク」 を修正し、 「限界条件および制限」 を追加。 表 9-2 を追加。

第 10 章 : 220 ページの 「REFCLK ガ イ ド ラ イ ン」 の記載を改訂。 図 10-10 を追加。表 10-2 に TERMINATION_IMP を追加。 表 10-3、 表 10-4、 表 10-7 に メ モおよびアナロ グ電源を

追加。 章末に MGTCLK に近接する SelectIO の表を追加。 223 ページの 「AC カ ッ プ リ ン

グ」 を編集し、 「フ ィ ルタ ネ ッ ト ワーク設計のガ イ ド ラ イ ン」 にガイ ド ラ イ ンを追加。

付録 D : 表 D-2、 表 D-7、 表 D-8 に PCS_COM_CFG を追加。 表 D-3 のビ ッ ト 4 および

ビ ッ ト 6 を更新。

付録 E : 325 ページの表 E-2 に メ モ 2 を追加。

付録 F を追加。

Page 4: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日

2007/09/12 1.4 文書全体でラ イ ン レー ト を 3.2Gb/s から 3.75Gb/s に更新。

表 1-1 および表 5-3 で SAS 規格を削除。 表 5-3 に メ モを追加。 77 ページの図 5-3 に メ モ 2 を追加。 79 ページの図 5-5 の下に メ モを追加。 81 ページの表 5-6 に メ モを追加。 83 ページ

の 「 リ ン ク ア イ ド ル リ セ ッ ト のサポー ト 」 に箇条書き を追加。 86 ページの表 5-8 の更新お

よび 89 ページの表 5-9 への メ モ 2 の追加。 REFCLKPOWERDNB を REFCLKPWRDNB で置き換え。 91 ページの図 5-10 に メ モを追加。

116 ページの図 6-12 を変更。 144 ページ で CDR レー ト 耐性値を増加。

148 ページの表 7-11 を更新。

図 8-3 を含む 「CRC ブロ ッ クの使用」 の更新および 204 ページの図 8-4 の追加。

230 ページの表 10-7 で FF1136 および FF1738 の特定バン ク を変更。 248 ページの 適な

ケーブルの長さに関するセ ク シ ョ ンを削除。

291 ページの表 D-1 で PLL_DIVSEL_FB の属性値 5 に対するバイナ リ 値を更新。323 ペー

ジの図 E-1 を追加。324 ページの表 E-1 および表 E-2 で PMA + Interface の値を更新。325 ページの表 E-2 でカンマ ア ラ イ メ ン ト およびオーバーサンプ リ ングな しでの値も更新。329 ページの図 F-2 に メ モを追加。

2007/12/11 1.5 マイナーな変更。 「このユーザー ガイ ド について」 に [参照 1] を追加し、 その他の参照を更

新。 27 ページの表 1-2 で MGTRXN/MGTRXP および MGTTXN/MGTTXP ポー ト の方向

を修正。 28 ページの表 1-3 および 138 ページの表 7-5 で ポー ト の RXELECIDLE ド メ イ ン

を修正。CRC ポー ト (36 ページの表 1-4) および CRC 属性 (44 ページの表 1-6) のサマ リ 表

を追加。 61 ページの図 4-3 で XC5VSX50T での GTP_DUAL タ イルの配置を修正。

第 4 章に XC5VLX20T-FF323、 XC5VLX30T-FF323、 XC5VLX155T-FF1136、 および

XC5VLX155T-FF1738 の 4 つの LXT パッ ケージを追加。87 ページの「 リ フ ァ レン ス ク ロ ッ

クの電源投入後」 に安定し た リ フ ァ レ ンス ク ロ ッ クが必要であ る こ と を示す文章を追加。

109 ページの図 6-9 で矢印の方向を修正。 115 ページの 「TX 位相ア ラ イ メ ン ト 回路を使用

し た TX バ ッ フ ァ のバイパス」 で待機する TXUSRCLK2 ク ロ ッ ク サイ クル数を更新。 116 ページの図 6-12 で TXUSRCLK2 ク ロ ッ ク サイ クル数を更新。 115 ページの表 6-9 を更新

し メ モを追加。 118 ページの表 6-12 に TXENPRBSTST ポー ト のク ロ ッ ク ド メ イ ンを入

力。 123 ページの表 6-18 で電圧増加オン、 オフの表示を更新しデフ ォル ト 設定を更新。 122 ページの 「差動電圧制御」 の 後の段落を更新。 143 ページの表 7-7 の例 6 での値を更新。

148 ページの表 7-11 を更新。式 7-6 で PLL_CLKDIV_FB を PLL_DIVSEL_FB に更新。158 ページの図 7-11 を更新。 183 ページの 「ク ロ ッ ク コ レ ク シ ョ ンのイ ネーブル」 で

RX_BUFFER を RX_BUFFER_USE に更新。 193 ページの図 7-33 でス レーブ エラ ス

テ ィ ッ ク バッ フ ァ の内容を更新。 213 ページの表 10-1 に メ モを追加。 228 ページの 「バウ

ンダ リ ス キ ャ ン テス ト のガイ ド ラ イ ン」 を追加。 228 ページの 「未使用または一部使用の

GTP_DUAL 列のガイ ド ラ イ ン」の 初の箇条書き を更新。231 ページの表 10-10 および 231 ページの表 10-11 の メ モに XC5VLX155T を追加。 275 ページの「FPGA ロジ ッ ク イ ン ター

フ ェ イ ス」に新しいサブセ ク シ ョ ンを追加。276 ページの表 A-12 を更新し メ モを 3 つ追加。

324 ページの表 E-1 および 325 ページの表 E-2 でレ イ テンシを更新。 331 ページの 「索引」

を追加。

2008/02/11 1.6 マイナーな更新。 ザイ リ ン ク ス Web サイ ト への リ ン ク を更新。 23 ページの表 1-1 で PCI Express および OC-12/48 プロ ト コルの説明を更新。 68 ページの図 5-1 を更新。式 5-1 の条

件を更新。81 ページの表 5-6 で PLLPOWERDOWN および TXDETECTRX の説明を更新。

100 ページの図 6-2、101 ページの図 6-3、196 ページの図 7-34、および 196 ページの図 7-35 で矢印の方向を更新。 228 ページの 「バウ ンダ リ ス キ ャ ン テス ト のガ イ ド ラ イ ン」 および

228 ページの 「未使用または一部使用の GTP_DUAL 列のガイ ド ラ イ ン」 を更新。

日付 バージ ョ ン 変更内容

Page 5: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日

2008/09/23 1.7 23 ページの表 1-1 および 71 ページの表 5-3 に 3G-SDI を追加。 28 ページの表 1-3 および

156 ページの表 7-18 で RXPRBSERR ポー ト の説明を更新。 138 ページの表 7-5 で

RXVALID の方向を出力に修正。 156 ページの表 7-19 で PRBS_ERR_THRESHOLD 属性

の説明を更新。 172 ページの表 7-28 および 180 ページの表 7-30 で RXBUFSTATUS のオー

バーフ ローおよびアンダーフ ローのエン コード を修正。228 ページの表 10-5 で表タ イ ト ル、

を変更し、 電源名を修正し、 MGTRREF を追加。 BGA 隣接ガ イ ド ラ イ ンを メ モ と し て 229 ページの 「SelectIO と GTP のク ロ ス ト ーク のガイ ド ラ イ ン」 に追加。

2008/11/10 1.8 23 ページの表 1-1 でスペク ト ラ ム拡散ク ロ ッ クに関する メ モ 1 を追加。

37 ページの表 1-5 および 48 ページの表 3-1 に SIM_MODE 属性を追加。

49 ページの 「SIM_MODE」 を追加。

71 ページの表 5-3 に メ モを追加。

86 ページの表 5-8 および 88 ページの 「RXP/RXN の接続後」 で 「RXN/RXP の接続後」 に

対する推奨 リ セ ッ ト と し て RXRESET を追加。

91 ページの「GTP の一般的なパワーダウ ン機能」内で「電力管理」を 「パワーダウ ン」に変更。

92 ページの表 5-11 の相対電力節約および リ カバ リ タ イ ム列を削除。107 ページの表 6-4 で

TXKERR および TXRUNDISP のエン コード を修正し、 イ ン ターフ ェ イ ス幅に基づいて定

義。

124 ページの 「TXINHIBIT」 を更新。

147 ページの 「通常の動作モード」 に PLL_RXDIVSEL_OUT に関する段落を追加。

158 ページの表 7-11 に メ モ 4 を追加。

149 ページの表 7-12 でビ ッ ト [23:16] および メ モを更新。

215 ページの図 10-2 の上に メ モを追加。

図 10-2 を更新。

216 ページの図 10-3 およびその関連 メ モを追加。

218 ページの図 10-6 に箇条書き メ モを 3 つ追加。

228 ページの 「バウ ンダ リ ス キ ャ ン テス ト のガイ ド ラ イ ン」 タ イ ト ルを更新。

228 ページの 「完全に未使用の GTP_DUAL 列」 を更新。

229 ページの表 10-6 で表の メ モ 1 を更新し、 メ モ 2 を追加。

2008/12/01 1.8.1 セ ク シ ョ ン 1 のヘッ ダを修正

日付 バージ ョ ン 変更内容

Page 6: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日

Page 7: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 7UG196 (v1.8.1) 2008 年 12 月 1 日

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

このユーザー ガイ ド について本ユーザー ガイ ド の内容 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

その他の資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

その他の リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

表記規則 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

書体 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

オン ラ イ ン マニュ アル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

セクシ ョ ン 1 : FPGA レベルの設計

第 1 章 : RocketIO GTP の概要概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

第 2 章 : RocketIO GTP ト ラ ンシーバ ウ ィ ザー ド

第 3 章 : シ ミ ュ レーシ ョ ンはじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

制限 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

SmartModel の属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49SIM_GTPRESET_SPEEDUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

SIM_MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

SIM_PLL_PERDIV2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

SIM_RECEIVER_DETECT_PASS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

電源投入および リ セ ッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

リ ン ク ア イ ド ル リ セ ッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

GSR の ト グル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

シ ミ ュ レーシ ョ ンにおけ る ク ロ ッ ク供給 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

Verilog でのシ ミ ュ レーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

テス ト ベンチでの GSR/GTS の定義 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

VHDL でのシ ミ ュ レーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51

例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

シ ミ ュ レーシ ョ ン環境の設定例 (Linux で ModelSim SE 6.1d を使用する場合) . . . . . 52

SIM_PLL_PERDIV2 算出例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53

第 4 章 : イ ンプ リ メ ンテーシ ョ ン概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

目次

Page 8: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

8 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

目次R

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

GTP_DUAL 配置の UCF 例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

パッ ケージにおける配置情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

第 5 章 : タ イルの機能はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

共有 PMA PLL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

XAUI を使用する場合の共有 PMA PLL のコ ンフ ィ ギュ レーシ ョ ン . . . . . . . . . . . . . 73

OC-48 を使用する場合の共有 PMA PLL のコ ンフ ィ ギュ レーシ ョ ン . . . . . . . . . . . . . 74

ギガビッ ト イ ーサネッ ト を使用する 場合の共有 PMA PLL のコ ンフ ィ ギュ レ ーショ ン 74

PCI Express を使用する場合の共有 PMA PLL のコ ンフ ィ ギュ レーシ ョ ン . . . . . . . . . 75

ク ロ ッ キング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78

外部ソースからの ク ロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78

隣接する GTP_DUAL タ イルからの ク ロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

GREFCLK を使用する ク ロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80

リ セ ッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

コ ンフ ィ ギュ レーシ ョ ンの完了に応答し た GTP の リ セ ッ ト . . . . . . . . . . . . . . . . . . . . 82

GTPRESET がアサー ト された場合の GTP の リ セ ッ ト . . . . . . . . . . . . . . . . . . . . . . . . 82

GTP コ ンポーネン ト レベルの リ セ ッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

リ ン ク ア イ ド ル リ セ ッ ト のサポー ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

GTP_DUAL タ イルの リ セ ッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85

例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87

消費電力管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91

GTP の一般的なパワーダウ ン機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91

PCI Express のパワーダウ ン機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93

パワーダウ ンへの移行時間 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

ダ イナ ミ ッ ク リ コ ンフ ィ ギュ レーシ ョ ン ポー ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

Page 9: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 9UG196 (v1.8.1) 2008 年 12 月 1 日

目次R

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX)ト ラ ンス ミ ッ タの概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97

FPGA TX イ ン ターフ ェ イ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100

イ ン ターフ ェ イ ス幅の設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100

TXUSRCLK および TXUSRCLK2 の接続 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101

例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102

TXOUTCLK によ る GTP TX の駆動 (1 バイ ト モー ド ) . . . . . . . . . . . . . . . . . . . . . . . 102

TXOUTCLK によ る GTP TX の駆動 (2 バイ ト モー ド ) . . . . . . . . . . . . . . . . . . . . . . . 103

TXOUTCLK によ る複数の ト ラ ンシーバの駆動 (データパスが 2 バイ ト の場合) . . . 103

REFCLKOUT によ る複数 ト ラ ンシーバの駆動 (データパスが 2 バイ ト の場合) . . . . 104

コ ンフ ィ ギャブル 8B/10B エン コーダ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108

8B/10B エン コーダのイネーブル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108

8B/10B のビ ッ ト およびバイ ト 順序 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109

K キ ャ ラ ク タ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109

ラ ンニング デ ィ スパ リ テ ィ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109

8B/10B のバイパス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110

TX バッ フ ァ、 位相ア ラ イ メ ン ト 、 およびバッ フ ァ バイパス . . . . . . . . . . . . . . . . . . . . . . . . . . . 110

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115

TX バ ッ フ ァ の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115

TX 位相ア ラ イ メ ン ト 回路を使用し た TX バッ フ ァ のバイパス . . . . . . . . . . . . . . . . . 115

TX 位相ア ラ イ メ ン ト 回路を使用し た TX ス キ ューの 小化 . . . . . . . . . . . . . . . . . . . 116

TX におけ る極性制御 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117

TX PRBS ジェネレータ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119Parallel In Serial Out . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120

コ ンフ ィ ギュ レーシ ョ ン可能な TX ド ラ イバ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122

差動電圧制御 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122

Page 10: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

10 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

目次R

プ リ エンフ ァ シス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123

設定可能な終端イ ンピーダン ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124TXINHIBIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124

PCI Express のレシーバ検出機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126

TX の OOB/ビーコ ン信号送信 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

PCI Express 対応のビーコ ン信号 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

SATA 対応の OOB 信号送信 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

第 7 章 : GTP レシーバ (RX)レシーバの概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131

RX 終端およびイ コ ラ イゼーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133

はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135

ビル ト イ ン AC カ ッ プ リ ング (オプシ ョ ン) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136

設定変更可能な終端イ ンピーダン ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136

設定変更可能な終端電圧 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136

設定変更可能な RX リ ニア イ コ ラ イゼーシ ョ ン (オプシ ョ ン) . . . . . . . . . . . . . . . . . . 137

RX の OOB/ビーコ ン信号 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138

はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141

PCI Express の電気的ア イ ド ルの検出 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141

SATA OOB の検出 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142

例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143

RX の ク ロ ッ ク データ リ カバ リ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144

はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145

CDR リ セ ッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146

CDR の調整 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147

サンプ リ ング位置の水平シフ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148Serial In Parallel Out . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150

はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150

オーバーサンプ リ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152

はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153

Page 11: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 11UG196 (v1.8.1) 2008 年 12 月 1 日

目次R

5 倍のラ イ ン レー ト を設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153

PCS の内部データパスおよびク ロ ッ クの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154

オーバーサンプ リ ング ブロ ッ ク をア ク テ ィ ブにし、 動作させる . . . . . . . . . . . . . . . . 154

RX の極性制御 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155

はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155

PRBS 検出 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156

はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157

設定変更可能なカンマ ア ラ イ メ ン ト および検出 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157

はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161

カンマ ア ラ イ メ ン ト の有効化 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161

カンマ パターンの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161

カンマ ア ラ イ メ ン ト のア ク テ ィ ブ化 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162

ア ラ イ メ ン ト ステータ ス信号 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163

ア ラ イ メ ン ト バウ ンダ リ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163

手動ア ラ イ メ ン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163

設定変更可能な Loss-of-Sync ステー ト マシン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166

コ ンフ ィ ギャブル 8B/10B デコーダ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168

8B/10B デコーダのイネーブル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168

8B/10B デコーダでのビ ッ ト およびバイ ト 順 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169

K キ ャ ラ ク タおよび 8B/10B カンマ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169

RX ラ ンニング デ ィ スパ リ テ ィ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169

デ ィ スパ リ テ ィ エラーおよび Out of Table エラー . . . . . . . . . . . . . . . . . . . . . . . . . . . 170

設定変更可能な RX エラ ステ ィ ッ ク バッ フ ァおよび位相ア ラ イ メ ン ト . . . . . . . . . . . . . . . . . . . 171

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173

RX エラ ステ ィ ッ ク バッ フ ァ の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173

RX 位相ア ラ イ メ ン ト の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174

ビル ト イ ン オーバーサンプ リ ングを使用する場合に RX エラ ステ ィ ッ ク バッ フ ァ

をバイパス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178

設定変更可能な ク ロ ッ ク コ レ ク シ ョ ン機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183

Page 12: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

12 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

目次R

ク ロ ッ ク コ レ ク シ ョ ンのイ ネーブル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183

RX エラ ステ ィ ッ ク バッ フ ァ の制限の設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183

ク ロ ッ ク コ レ ク シ ョ ン シーケンスの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183

ク ロ ッ ク コ レ ク シ ョ ンのオプシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184

ク ロ ッ ク コ レ ク シ ョ ンのモニ タ リ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185

設定変更可能なチャネル ボンデ ィ ング (レーン デスキ ュー ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189

チャネル ボンデ ィ ングのイ ネーブル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189

チャネル ボンデ ィ ングのモード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190

チャネル ボンデ ィ ング ポー ト の接続 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190

チャネル ボンデ ィ ング シーケンスの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191

大スキ ューの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192

チャネル ボンデ ィ ング と ク ロ ッ ク コ レ ク シ ョ ン間の優先順位 . . . . . . . . . . . . . . . . . 193

FPGA RX イ ン ターフ ェ イ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194

概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195

イ ン ターフ ェ イ ス幅の設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195

RXUSRCLK および RXUSRCLK2 の接続 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197

第 8 章 : CRC (Cyclic Redundancy Check)はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202

CRC を使用し たエラー チェ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202

CRC プ リ ミ テ ィ ブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202

CRC ブロ ッ クの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203

TX への CRC ブロ ッ クの統合 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205

RX への CRC ブロ ッ クの統合 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205

CRC ブロ ッ クのイ ンプ リ メ ンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206

第 9 章 : ループバッ クはじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208

近端 PCS ループバッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208

近端 PMA ループバ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209

限界条件および制限 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209

遠端 PMA ループバ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210

限界条件および制限 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210

遠端 PCS ループバッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211

Page 13: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 13UG196 (v1.8.1) 2008 年 12 月 1 日

目次R

第 10 章 : GTP およびボー ド 間のイ ン ターフ ェ イスアナロ グ デザイ ンのガイ ド ラ イ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213

はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213

ポー ト および属性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214

REFCLK ガイ ド ラ イ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220

はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220

GTP の リ フ ァ レ ンス ク ロ ッ クに関するチェ ッ ク項目 . . . . . . . . . . . . . . . . . . . . . . . . 222

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222

オシレータの選択 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222

オシレータからの 2 つ以上の差動ク ロ ッ ク入力ペアを ソース とする場合 . . . . . . . . . 222

2 つの異なる リ フ ァ レ ン ス ク ロ ッ ク間での切 り 替え . . . . . . . . . . . . . . . . . . . . . . . . . 222

AC カ ッ プ リ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223

未使用 リ フ ァ レン ス ク ロ ッ ク入力のク ロ ッ ク転送 . . . . . . . . . . . . . . . . . . . . . . . . . . . 223

ベンダーおよびデバイ ス一覧 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223

電源供給 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225

はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225

説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225

リ ニア レギ ュ レータの選択基準 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225

レギュ レータ設計のガ イ ド ラ イ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 226

フ ェ ラ イ ト 選択のガ イ ド ラ イ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227

キ ャパシタ選択のガ イ ド ラ イ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228

フ ィ ルタ ネ ッ ト ワーク設計のガイ ド ラ イ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228

バウ ンダ リ スキ ャ ン テス ト のガ イ ド ラ イ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228

未使用または一部使用の GTP_DUAL 列のガイ ド ラ イ ン . . . . . . . . . . . . . . . . . . . . . . 228

SelectIO と GTP の ク ロ ス ト ークのガ イ ド ラ イ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229

セクシ ョ ン 2 : ボー ド レベルの設計

第 11 章 : デザイ ン制約の概要ト ラ ンシーバの消費電力 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236

電力分配アーキテ クチャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236

レギュ レータの選択 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237

フ ィ ルタ処理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237

リ フ ァ レ ンス ク ロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237

ク ロ ッ ク ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237

ク ロ ッ ク ト レース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237

カ ッ プ リ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238

DC カ ッ プ リ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238

AC カ ッ プ リ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238

外部キ ャパシタ値の選択 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238

SelectIO と シ リ アル ト ラ ンシーバの ク ロ ス ト ークのガイ ド ラ イ ン . . . . . . . . . . . . . . . . . . 241

第 12 章 : PCB 材質と ト レース高速 と は . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243

誘電損失 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243

Page 14: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

14 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

目次R

比誘電率 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243

損失正接 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244

表皮効果および抵抗損失 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244

基板材質の選択 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244

ト レース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245

ト レースの形状 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245

ト レースの特性イ ンピーダン ス設計 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245

ト レース配線 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247

プレーンの分離 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247

リ ターン電流 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247

損失が発生しやすい伝送ラ イ ンのシ ミ ュ レーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248

ケーブル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248

コネ ク タ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248

コ ンダ ク タ間のスキ ュー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248

第 13 章 : ト ラ ンジシ ョ ンの設計過剰な容量およびイ ンダ ク タ ンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249

時間領域反射率測定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249

BGA パッ ケージ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251

SMT パッ ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251

差動ビア . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256

P/N ク ロ スオーバー ビア . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258

SMA コ ネ ク タ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258

バッ ク プレーン コネ ク タ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258

マイ ク ロ ス ト リ ッ プ/ス ト リ ッ プラ イ ンの屈折 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259

第 14 章 : ガイ ド ラ イ ンおよび例ガ イ ド ラ イ ンの概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263

BGA エスケープの例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264

HM-Zd デザイ ン例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264

セクシ ョ ン 3 : 付録

付録 A : MGT から GTP ト ラ ンシーバ デザイ ンへの移行概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269

主要な違い . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269

デバイ ス当た り の MGT 数 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269

ク ロ ッ キング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270

サポー ト される シ リ アル レー ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271

エン コード のサポー ト およびク ロ ッ ク逓倍器 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271

柔軟性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 273

ボード ガ イ ド ラ イ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 273

電源フ ィ ルタ リ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 273

その他の違い . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275

終端 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275

FPGA ロ ジ ッ ク イ ン ターフ ェ イ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275

Page 15: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 15UG196 (v1.8.1) 2008 年 12 月 1 日

目次R

CRC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275

ループバッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276

シ リ アル化 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276

ク ロ ッ ク調整およびチャネル ボンデ ィ ング シーケン スの定義 . . . . . . . . . . . . . . . . . . . . . . 276

RXSTATUS バス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277

プ リ エンフ ァ シス、 差動振幅、 およびイ コ ラ イゼーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277

付録 B : OOB/ビーコ ン信号SATA での OOB 信号 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 279

PCI Express におけ る ビーコ ン信号 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 280

付録 C : 8B/10B で有効なキャ ラ ク タ

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ属性ご と の DRP ア ド レ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293

ビ ッ ト 位置ご と の DRP ア ド レ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 309

付録 E : 低レ イテンシのデザイ ンGTP TX のレ イ テンシ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323

GTP RX のレ イ テンシ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324

付録 F : ア ドバンス ク ロ ッ キング例 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 329

索引 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 331

Page 16: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

16 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

目次R

Page 17: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 17UG196 (v1.8.1) 2008 年 12 月 1 日

R

このユーザー ガイ ド について

本書では、Virtex®-5 FPGA の RocketIO™ GTP ト ラ ンシーバについて説明し ます。Virtex-5 FPGA フ ァ ミ リ の 新版ユーザー ガ イ ド は、ザイ リ ン ク スのウ ェブ サイ ト http://japan.xilinx.com/virtex5 から入手し て く ださ い。

本ユーザー ガイ ドの内容

本書では、 次の項目について説明し ます。

• セ ク シ ョ ン 1 : FPGA レベルの設計

♦ 第 1 章 「RocketIO GTP の概要」

♦ 第 2 章 「RocketIO GTP ト ラ ンシーバ ウ ィ ザード」

♦ 第 3 章 「シ ミ ュ レーシ ョ ン」

♦ 第 4 章 「イ ンプ リ メ ンテーシ ョ ン」

♦ 第 5 章 「タ イルの機能」

♦ 第 6 章 「GTP ト ラ ン ス ミ ッ タ (TX)」

♦ 第 7 章 「GTP レシーバ (RX)」

♦ 第 8 章 「CRC (Cyclic Redundancy Check)」

♦ 第 9 章 「ループバッ ク」

♦ 第 10 章 「GTP およびボード間のイ ン ターフ ェ イ ス」

• セ ク シ ョ ン 2 : ボード レベルの設計

♦ 第 11 章 「デザイ ン制約の概要」

♦ 第 12 章 「PCB 材質と ト レース」

♦ 第 13 章 「 ト ラ ンジシ ョ ンの設計」

♦ 第 14 章 「ガ イ ド ラ イ ンおよび例」

• セ ク シ ョ ン 3 : 付録

♦ 付録 A 「MGT から GTP ト ラ ンシーバ デザイ ンへの移行」

♦ 付録 B 「OOB/ビーコ ン信号」

♦ 付録 C 「8B/10B で有効なキ ャ ラ ク タ」

♦ 付録 D 「GTP_DUAL タ イルのア ド レ ス マ ッ プ」

♦ 付録 E 「低レ イ テンシのデザイ ン」

♦ 付録 F 「ア ド バン ス ク ロ ッ キング」

Page 18: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

18 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

このユーザー ガイ ド についてR

その他の資料

次の資料は、 http://japan.xilinx.com/virtex5 から ダウ ン ロード でき ます。

• 『Virtex-5 フ ァ ミ リ 概要』

Virtex-5 フ ァ ミ リ の機能および製品の概略について説明し ています。

• 『Virtex-5 FPGA データ シー ト : DC 特性およびス イ ッチ特性』

Virtex-5 フ ァ ミ リ の DC 特性およびス イ ッ チ特性が記載されています。

• 『Virtex-5 FPGA ユーザー ガイ ド』

こ のユーザー ガ イ ド は、 次の章で構成されています。

♦ ク ロ ッ ク リ ソース

♦ ク ロ ッ ク マネージ メ ン ト テ ク ノ ロ ジ (CMT)

♦ 位相ロ ッ ク ループ (PLL)

♦ ブロ ッ ク RAM および FIFO メ モ リ

♦ コ ンフ ィ ギャブル ロジ ッ ク ブロ ッ ク (CLB)

♦ SelectIO™ リ ソース

♦ I/O ロ ジ ッ ク リ ソース

♦ ア ド バン ス ド I/O ロ ジ ッ ク リ ソース

• 『Virtex-5 FPGA RocketIO GTX ト ラ ンシーバ ユーザー ガイ ド』

Virtex-5 TXT および FXT プラ ッ ト フ ォームで使用可能な RocketIO GTX™ ト ラ ンシーバにつ

いて説明し ています。

• 『Virtex-5 FFPGA エンベデッ ド ト ラ イモード イーサネ ッ ト MAC ユーザー ガイ ド』

Virtex-5 LXT、 SXT、 TXT、 および FXT プラ ッ ト フ ォーム デバイ スで提供されている専用 ト

ラ イモード イーサネ ッ ト MAC ( メ デ ィ ア ア ク セス コ ン ト ローラ ) について説明し ています。

• 『PCI Express 用 Virtex-5 FPGA Integrated エン ド ポイ ン ト ブロ ッ ク ユーザー ガイ ド』

LXT、 SXT、 TXT、 および FXT プ ラ ッ ト フ ォーム デバイ スで提供されている PCI Express® に準拠し た Endpoint ブロ ッ クについて説明し ています。

• 『Virtex-5 FPGA XtremeDSP ユーザー ガイ ド』

XtremeDSP™ ス ラ イ スについて説明し、 DSP48E を使用する リ フ ァ レン ス デザイ ン も記載さ

れています。

• 『Virtex-5 FPGA コ ンフ ィ ギュ レーシ ョ ン ガイ ド』

コ ンフ ィ ギ ュ レーシ ョ ン イ ン ターフ ェ イ ス (シ リ アルおよび SelectMAP)、 ビ ッ ト ス ト リ ーム

暗号化、 バウ ンダ リ ス キ ャ ンおよび JTAG コ ンフ ィ ギュ レーシ ョ ン、 リ コ ンフ ィ ギュ レーシ ョ

ン手法、 SelectMAP および JTAG イ ン ターフ ェ イ ス を介し た リ ー ド バ ッ ク など コ ン フ ィ ギ ュ

レーシ ョ ンについて網羅的に説明し ています。

• 『Virtex-5 FPGA システム モニ タ ユーザー ガ イ ド』

Virtex-5 デバイ スで利用可能な System Monitor の機能について説明し ています。

• 『Virtex-5 FPGA パッ ケージおよびピ ン配置の仕様』

デバイ ス/ピンの組み合わせ、 および差代 I/O 数の表、 ピ ン配置表、 ピン配置図、 機械的図面、

熱仕様が記載されています。

Page 19: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 19UG196 (v1.8.1) 2008 年 12 月 1 日

その他のリ ソースR

こ のユーザー ガ イ ド と併せ、 次の補足資料も活用し て く だ さい。

1. Athavale, Abhijit and Carl Christensen. High-Speed Serial I/O Made Simple. http://japan.xilinx.com/publications/books/serialio/serialio-book.pdf

2. 『合成 / シ ミ ュ レーシ ョ ン デザイ ン ガイ ド』 http://japan.xilinx.com/support/software_manuals.htm

3. Granberg, Tom. Handbook of Digital Techniques for High-Speed Design. Prentice-Hall. ISBN 0-13-142291-X。

4. Grover, Frederick W., Ph.D. 1946. Inductance Calculations: Working Formulas and Tables. New York: D. Van Nostrand Company, Inc.

5. Johnson, Howard. Signal Integrity Techniques and Loss Budgeting for RocketIO Transceivers http://japan.xilinx.com/onlinestore/si_intro.htm

6. Johnson, Howard, Martin Graham. High-Speed Signal Propagation: Advanced Black Magic. Prentice-Hall. ISBN-10: 0-13-084408-X.ISBN-13: 978-0130844088.

7. Montrose, Mark I. 1999. EMC and the Printed Circuit Board. The Institute of Electrical and Electronics Engineers, Inc. ISBN 0-7803-4703-X.

8. Smith, Larry D. November 1984. Decoupling Capacitor Calculations for CMOS Circuits. Proceedings EPEP Conference.

9. Williams, Ross N. The Painless Guide to CRC Error Detection Algorithms. http://www.ross.net/crc/ (CRC pitstop).

10. DS083『Virtex-II Pro/Virtex-II Pro X Platform FPGA 完全なデータシート』

11. UG024『RocketIO Transceiver User Guide』

12. UG076『Virtex-4 RocketIO マルチギガビ ッ ト ト ラ ンシーバ ユーザー ガイ ド』

13. XAPP209『IEEE 802.3 CRC (Cyclic Redundancy Check)』14. XAPP562『Configurable LocalLink CRC Reference Design』15. UG351 『Virtex-5 FPGA RocketIO Transceiver Signal Integrity Simulation Kit User Guide』

その他のリ ソース

シ リ コ ンおよびソ フ ト ウ ェ アに関する情報やアンサー データ ベースの検索、 またはウ ェブ ケース

を開 く 場合は、 http://japan.xilinx.com/support から ア ク セス し て く だ さい。

表記規則

こ の本書では、 次の規則が使用されています。 各規則について、 例を挙げて説明し ます。

書体

次の規則は、 すべてのマニ ュ アルで使用されています。

表記規則 使用箇所 例

Courier フ ォ ン トシステムが表示する メ ッ セージ、プロ ンプ ト 、 プロ グ ラ ム フ ァ イルを表示し ます。

speed grade: - 100

Courier フ ォ ン ト ( 太字 )

構文内で入力する コマン ド を示し ます。

ngdbuild design_name

イ タ リ ッ ク フ ォ ン トユーザーが値を入力する必要のあ る構文内の変数に使用し ます。

ngdbuild design_name

二重 / 一重かぎかっ こ 『 』、 「」

『 』 はマニュ アル名を、 「 」 はセク シ ョ ン名を示し ます。

詳細については、 『開発システム リ フ ァ レ ンス ガ イ ド』 の 「PAR」を参照し て く ださい。

Page 20: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

20 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

このユーザー ガイ ド についてR

オン ラ イ ン マニュアル

本書では、 次の規則が使用されています。

角かっ こ [ ]

オプシ ョ ンの入力またはパラメ ータ を示し ますが、bus[7:0] のよ う なバス仕様では必ず使用し ます。 また、 GUI 表記にも使用し ます。

ngdbuild [option_name] design_name

[File] → [Open] を ク リ ッ ク し ます。

中かっ こ { } 1 つ以上の項目を選択する ためのリ ス ト を示し ます。

lowpwr ={on|off}

縦棒 | 選択する リ ス ト の項目を分離します。

lowpwr ={on|off}

縦の省略記号 . . .

繰 り 返し項目が省略されているこ と を示し ます。

IOB #1: Name = QOUT’ IOB #2: Name = CLKIN’ . . .

横の省略記号 . . . 繰 り 返し項目が省略されているこ と を示し ます。

allow block block_name loc1 loc2 ... locn;

表記規則 使用箇所 例

表記規則 使用箇所 例

青色の文字マニュ アル内の相互参照を示します。

詳細 に つ い て は、 「そ の 他 の リソース」 を参照し て く ださい。

詳細については、 第 1 章の 「タ イ ト ル フ ォーマ ッ ト 」 を参照し て く ださい。

赤色の文字ほかのマニュ アルへの相互参照を示し ます。

詳細については、 『Virtex-II Platform FPGA ユーザー ガイ ド』の図 2-5 を参照し て く ださい。

青色の下線付き文字ウ ェブ サイ ト (URL) へのハイパー リ ン ク です。

新のス ピード フ ァ イルは、http://japan.xilinx.com から入手でき ます。

Page 21: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 21UG196 (v1.8.1) 2008 年 12 月 1 日

R

セクシ ョ ン 1 : FPGA レベルの設計

こ のセ ク シ ョ ンでは、 FPGA デザイ ンで RocketIO™ GTP ト ラ ンシーバを使用する際に必要な、 次

のよ う な項目について説明し ます。

• GTP ト ラ ンシーバの機能および特長

• RocketIO GTP Wizard を使用し た ト ラ ンシーバ コ ンフ ィ ギュ レーシ ョ ンの方法

• ト ラ ンシーバ イ ンス タ ンスのデバイ ス リ ソースへのマ ッ ピング

• GTP ト ラ ンシーバ デザイ ンのシ ミ ュ レーシ ョ ン

• ボード レベルでの ク ロ ッ キングおよび消費電力要件

こ のセ ク シ ョ ンは、 次の章で構成されています。

「RocketIO GTP の概要」

「RocketIO GTP ト ラ ンシーバ ウ ィ ザード」

「シ ミ ュ レーシ ョ ン」

「イ ンプ リ メ ンテーシ ョ ン」

「タ イルの機能」

「GTP ト ラ ン ス ミ ッ タ (TX)」

「GTP レシーバ (RX)」

「CRC (Cyclic Redundancy Check)」

「ループバッ ク」

「GTP およびボード間のイ ン ターフ ェ イ ス」

Page 22: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

22 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

R

Page 23: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 23UG196 (v1.8.1) 2008 年 12 月 1 日

R

第 1 章

RocketIO GTP の概要

概要

RocketIO™ GTP ト ラ ンシーバは、Virtex®-5 FPGA に搭載さ れた消費電力を 抑えた ト ラ ンシーバ

です。 こ のト ラ ンシーバは、 高度なコ ンフ ィ ギュ レ ーショ ンや FPGA のプロ グラ マブル ロ ジッ ク

リ ソ ース と の密な統合が可能で、次のよ う な機能を 提供し て広範なアプリ ケーショ ンを サポート し

ま す。

• 終端、 電圧振幅、 およびカ ッ プ リ ングが設定変更可能な CML (Current Mode Logic) シ リ アル

ド ラ イバ/バッ フ ァ

• シグナル イ ンテグ リ テ ィ を 適化するためのプロ グ ラマブル TX プ リ エンフ ァ シスおよび RX イ コ ラ イゼーシ ョ ン

• 100Mb/s ~ 3.75Gb/s のラ イ ン レー ト 、 オプシ ョ ン と し て 100Mb/s ~ 500Mb/s のレー ト に対

応する場合に必要な 5 倍デジタル オーバーサンプ リ ング

• 8B/10B エン コード、 カンマ ア ラ イ メ ン ト 、 チャネル ボンデ ィ ング、 ク ロ ッ ク コ レ ク シ ョ ン

などの PCS 機能オプシ ョ ン

• データ パス レ イ テンシが 小で確定的な固定レ イ テンシ モード

• PCI Express® デザイ ン用のビーコ ン信号、 SATA デザイ ンの COM 信号サポー ト を含む Out - of-Band (OOB) 信号

はじめて使用する場合は、 『High-Speed Serial I/O Made Simple』 [参照 1] を参照し て く ださい。 こ

の資料では、 高速シ リ アル ト ラ ンシーバ技術およびその応用例が説明されています。

表 1-1 に、 GTP ト ラ ンシーバを使用し て イ ンプ リ メ ン ト 可能な標準プロ ト コルの一部を示し ます。

ザイ リ ン ク スの CORE Generator™ ツールには、 GTP ト ラ ンシーバを自動的にコ ンフ ィ ギュ レー

シ ョ ンする ウ ィ ザード が含まれてお り 、 これら のプロ ト コルをサポー ト し た り 、 またはカス タ ム コ

ンフ ィ ギュ レーシ ョ ンを実行でき ます (第 2 章「RocketIO GTP ト ラ ンシーバ ウ ィ ザー ド」 を参照)。

表 1-1 : GTP_DUAL タ イルでサポー ト される規格

サポー ト されるプロ ト コルサポー ト されるプロ ト コル

データ レー ト機能

PCI Express Rev 1.0a

PCI Express Rev 1.1

2.5Gb/s • TX におけ る レシーバ検出

• LOS (Loss of Signal)/ア イ ド ル ステー ト 検出

• 低電力ステー ト

• ビーコ ン信号

• グ ラ ン ド参照終端

XAUI 802.3ae D5p0 3.125Gb/s • LOS

Page 24: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

24 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

概要R

Virtex-5 LXT および SXT プラ ッ ト フ ォーム デバイ スでは、 GTP ト ラ ンシーバはデュ アル ト ラ ン

シーバ GTP_DUAL タ イル と し て配置されています。 このよ う なコ ンフ ィ ギュ レーシ ョ ンによ り 、

両方の ト ラ ンシーバが TX および RX 機能を持ちながら 1 つの PLL を共有でき る ため、サイ ズおよ

び消費電力が削減されます。

図 1-1 に、Virtex-5 デバイ ス (XC5VLX110T の場合) における GTP_DUAL タ イルの配置例を示し

ます。デバイ ス右側の GTP_DUAL 1 列 のすべての GTP_DUAL タ イルが図 1-1 に示されています。

図 1-1 に示されている フ ァ ン ク シ ョ ン ブロ ッ クの詳細は、 次の資料を参照し て く ださい。

• 図 1-1 にあ る CRC ブロ ッ クの詳細は、 第 8 章 の 「CRC (Cyclic Redundancy Check)」 を参照

し て く ださ い。

• コ ンフ ィ ギュ レーシ ョ ン と ク ロ ッ ク、 CMT および I/O ブロ ッ クの詳細は、 『Virtex-5 FPGA コ

ンフ ィ ギュ レーシ ョ ン ガ イ ド』 を参照し て く だ さい。

OC-12/48 622.08/2488.32Mb/s • 同期動作時に FIFO のバイパス を可能にする

FC-1 Rev 4.0 1.0625Gb/s • レー ト ネゴシエーシ ョ ン (TX および RX が異なる

レー ト で動作可能)FC-2 Rev 4.0 2.125Gb/s

10GFC 3.1875Gb/s

SDI

HD-SDI

DVB-ASI

3G-SDI

143/176/270/360Mb/s

1.485/1.4835Gb/s

270Mb/s

2.970Gb/s

10GBASE-CX4 802.3ak/D4.0 3.125Gb/s

Gigabit Ethernet (1000BASE-CX 802.3z/D5.0)

1.25Gb/s

SATA Generation 1/2、 Rev 1.0a

SATA Generation 2、 Rev 1.0a

1.5Gb/s(1)

3.0Gb/s

• Generation 2 のレー ト ネゴシエーシ ョ ン (Generation 1/Generation 2 のレー ト で リ ン ク全体

が動作)

• LOS

• OOB ビーコ ン

Serial RapidIO 1.25/2.5/3.125Gb/s

CPRI (Version 2.0) 614.4/1228.8/2457.6Mb/s

Infiniband (Volume 2、 Release 1.1)

2.5Gb/s

SFI-5 2.488 ~ 3.125Gb/s • 同期ク ロ ッ キング (FIFO をバイパス)

OBSAI RP3 (Spec Issue 1.0) 768/1536/3072Mb/s

Aurora 100Mb/s ~ 3.2Gb/s

メ モ :

1. スペク ト ラ ム拡散ク ロ ッ ク (SSC) は、 2Gb/s 以上の ラ イ ン レー ト でのみサポー ト されています。

表 1-1 : GTP_DUAL タ イルでサポー ト される規格 (続き)

サポー ト されるプロ ト コルサポー ト されるプロ ト コル

データ レー ト機能

Page 25: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 25UG196 (v1.8.1) 2008 年 12 月 1 日

第 1 章 : RocketIO GTP の概要 R

• イーサネ ッ ト MAC の詳細は、 『Virtex-5 FPGA エンベデッ ド ト ラ イモード イーサネ ッ ト

MAC ユーザー ガイ ド』 を参照し て く ださ い。

• PCI Express に準拠する際の詳細は、 『PCI Express 用 Virtex-5 FPGA Integrated エン ド ポイ ン

ト ブロ ッ ク ユーザー ガ イ ド』 を参照し て く ださい。

図 1-1 : Virtex-5 LX110T FPGA における GTP_DUAL タ イル

Virtex-5 FPGA (XC5VLX110T)

MAC

GTP_DUALX0_Y7

GTP_DUALX0_Y6

GTP_DUALX0_Y5

GTP_DUALX0_Y4

GTP_DUALX0_Y3

GTP_DUALX0_Y2

GTP_DUALX0_Y1

GTP_DUALX0_Y0

CMT

GTP_DUAL

CMT

CMT

CMT

CMT

CMT

I/OI/O

CRC

CRC

CRC

CRC

CRC

CRC

CRC

CRC

UG196_c1_01_120307

PCIExpress

MAC

メ モ :

1. こ の図は、 フ ァ ン ク シ ョ ン ブロ ッ ク の正確なサイ ズ、 位置あ るいはブロ ッ ク間の縮尺比を示すものではあ り ません。

Page 26: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

26 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

概要R

図 1-2 に GTP_DUAL タ イル図を示し ます。1 つのタ イルには、2 つの GTP ト ラ ンシーバ と 1 つの

共有 リ ソース ブロ ッ クが含まれます。 GTP_DUAL タ イルは、FPGA 内で GTP ト ラ ンシーバを動作

させるための HDL プ リ ミ テ ィ ブです。

図 1-2 : GTP_DUAL タ イルのブロ ッ ク図

RX-PMA RX-PCS

GTP1

GTP0

GTP_DUAL

FPGA

TX-PMA TX-PCS

UG196_c1_02_112107

RX-PMA RX-PCS

TX-PMA TX-PCS

PMAPLL

PLL

TXDATA0[15:0]TXBYPASS8B10B0[1:0]TXCHARISK0[1:0]TXCHARDISPMODE0[1:0]TXCHARDISPVAL0[1:0]

TXDATA1[15:0]TXBYPASS8B10B1[1:0]TXCHARISK1[1:0]TXCHARDISPMODE1[1:0]TXCHARDISPVAL1[1:0]

RXPOWERDOWN0[1:0]RXSTATUS0[2:0]RXDATA0[15:0]RXNOTINTABLE0[1:0]RXDISPERR0[1:0]RXCHARISCOMMA0[1:0]RXCHARISSK0[1:0]RXRUNDISP0[1:0]RXVALID0[1:0]

RXPOWERDOWN1[1:0]RXSTATUS1[2:0]RXDATA1[15:0]RXNOTINTABLE1[1:0]RXDISPERR1[1:0]RXCHARISCOMMA1[1:0]RXCHARISSK1[1:0]RXRUNDISP1[1:0]

TXOUTCLK0TXUSRCLK0TXUSRCLK20RXUSRCLK0RXUSRCLK20RXRECCLK0

CLKIN(1)

TXOUTCLK1TXUSRCLK1TXUSRCLK21RXUSRCLK1RXUSRCLK21RXRECCLK1

DRP

TXP0MGTTXP0

TXN0MGTTXN0

RXP0MGTRXP0

RXN0MGTRXN0

TXP1MGTTXP1

TXN1MGTTXN1

RXP1MGTRXP1

RXN1MGTRXN1

AVTTTX

AVTTRX

AVTTTX

AVCC

AVCCPLL

AVCC

FP

GA

F

PG

A

MGTAVCC

MGTAVCCPLL

MGTAVCC

MGTAVTTTX

MGTAVTTRX

MGTAVTTTX

RXVALID1[1:0]

GTP TX

GTP RX

GTP TX

GTP RX

7

6

6

7

4 5

3

21

FP

GA

F

PG

A

メ モ :

1. CLKIN はク ロ ッ ク ソース を単純化し て示し た ものです。 CLKIN の詳細は、 77 ページの図 5-3 を参照し て く だ さ い。

Page 27: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 27UG196 (v1.8.1) 2008 年 12 月 1 日

第 1 章 : RocketIO GTP の概要 R

図 1-2 に示し た GTP_DUAL タ イルにあ る 7 つの主要ブロ ッ ク それぞれのコ ンフ ィ ギュ レーシ ョ ン

および使用手順の詳細は、 次のセク シ ョ ンで説明し ています。

1. 68 ページの 「共有 PMA PLL」 (第 5 章)

2. 80 ページの 「 リ セ ッ ト 」 (第 5 章)

3. 76 ページの 「ク ロ ッ キング」 (第 5 章)

4. 89 ページの 「消費電力管理」 (第 5 章)

5. 96 ページの 「ダ イナ ミ ッ ク リ コ ンフ ィ ギュ レーシ ョ ン ポー ト 」 (第 5 章)

6. 97 ページの 「GTP ト ラ ンス ミ ッ タ (TX)」 (第 6 章)

7. 131 ページの 「GTP レシーバ (RX)」 (第 7 章)

ポー ト および属性

こ のセ ク シ ョ ンでは、ピン (表 1-2)、ポー ト (表 1-3 および表 1-4) および属性 (表 1-5 および表 1-6) をアルフ ァベッ ト 順に示し ます。 本書に記載するすべてのポー ト および属性は、 その名前が 0 で終

わる場合はタ イルの GTP0 ト ラ ンシーバ、 1 で終わる場合は GTP1 ト ラ ンシーバに対する もの と

なっています。 名前の 後が 0 または 1 のいずれでも ないポー ト /属性は共有されています。

表 1-2 には、 GTP_DUAL アナロ グ ピンの信号名をアルフ ァベッ ト 順に示し て、 方向、 および説明

を示し ます。 セ ク シ ョ ン列に記載されている リ ン ク を ク リ ッ クする と、 その詳細を参照でき ます。

表 1-2 : GTP_DUAL のアナログ ピン

ピン 方向 説明 セクシ ョ ン (ページ番号)

MGTAVCCPLL 入力共有 PMA PLL 用アナロ グ電源で、 GTP_DUAL タ イ ルのク ロ ッ ク 配線およ びネッ ト ワ ーク 接続用

アナロ グ デザイ ンのガ イ ド ラ イ ン

(213)

MGTAVTTRX 入力GTP_DUAL タ イルのレシーバ回路および終端

用のアナロ グ電源

アナロ グ デザイ ンのガ イ ド ラ イ ン

(213)

MGTAVTTRXC 入力抵抗キ ャ リ ブレーシ ョ ンおよびデバイ ス全体の

ス タ ンバイ回路のアナロ グ電源

アナロ グ デザイ ンのガ イ ド ラ イ ン

(213)

MGTAVTTTX 入力GTP_DUAL タ イルの ト ラ ン ス ミ ッ タ終端およ

びド ラ イバ回路のアナロ グ電源

アナロ グ デザイ ンのガ イ ド ラ イ ン

(213)

MGTAVCC 入力GTP_DUAL タ イルの内部アナロ グ回路のアナ

ロ グ電源

アナロ グ デザイ ンのガ イ ド ラ イ ン

(213)

MGTREFCLKP

MGTREFCLKN入力

GTP_DUAL タ イルの リ フ ァ レ ン ス ク ロ ッ ク用

差動ク ロ ッ ク入力ピン ペアアナロ グ デザイ ンのガ イ ド ラ イ ン

(214)

MGTRREF 入力デバイ ス全体の参照抵抗入力 アナロ グ デザイ ンのガ イ ド ラ イ ン

(214)

Page 28: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

28 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ポー ト および属性R

表 1-3 には、GTP_DUAL ポー ト の信号名をアルフ ァベッ ト 順に示し て、 ク ロ ッ ク ド メ イ ン、方向、

および説明を示し ます。 セク シ ョ ン列に記載されている リ ン ク を ク リ ッ クする と、 その詳細を参照

でき ます。

MGTRXN0

MGTRXP0

MGTRXN1

MGTRXP1

入力

(パッ ド )各 ト ラ ンシーバの差動レシーバ入力ペアを構成

RX 終端およびイ コ ラ イゼーシ ョ ン

(133)

MGTTXN0

MGTTXP0

MGTTXN1

MGTTXP1

出力

(パッ ド )各 ト ラ ンシーバの差動 ト ラ ン ス ミ ッ タ出力ペア

を構成

コ ンフ ィ ギュ レーシ ョ ン可能な TX ド ラ イバ (122)

表 1-2 : GTP_DUAL のアナログ ピン (続き)

ピン 方向 説明 セクシ ョ ン (ページ番号)

表 1-3 : GTP_DUAL ポー ト

ポー ト 方向 ド メ イ ン 説明 セク シ ョ ン (ページ番号)

CLKIN 入力 非同期共有 PMA PLL への リ フ ァ レ ン ス ク ロ ッ ク入力

共有 PMA PLL (69)、

ク ロ ッ キング (78)、

消費電力管理 (89)

DADDR[6:0] 入力 DCLK DRP ア ド レ ス バス

ダ イナ ミ ッ ク リ コ ンフ ィ

ギュ レーシ ョ ン ポー ト

(96)

DCLK 入力 な し DRP イ ン ターフ ェ イ ス ク ロ ッ ク

ダ イナ ミ ッ ク リ コ ンフ ィ

ギュ レーシ ョ ン ポー ト

(96)

DEN 入力 DCLK DRP の読み出し または書き込み処理を

イ ネーブルにし ます。

ダ イナ ミ ッ ク リ コ ンフ ィ

ギュ レーシ ョ ン ポー ト

(96)

DI[15:0] 入力 DCLKFPGA ロジ ッ ク から GTP_DUAL タ イル

へコ ンフ ィ ギュ レーシ ョ ン データ を書き

込むためのデータ バス

ダ イナ ミ ッ ク リ コ ンフ ィ

ギュ レーシ ョ ン ポー ト

(96)

DO[15:0] 出力 DCLKGTP_DUAL タ イルから FPGA ロジ ッ ク

へのコ ンフ ィ ギュ レーシ ョ ン データ を読

み出すためのデータ バス

ダ イナ ミ ッ ク リ コ ンフ ィ

ギュ レーシ ョ ン ポー ト

(96)

DRDY 出力 DCLKDRP 書き込み処理が完了し たか、 または

DRP 読み出し処理のデータが有効であ

る こ と を示し ます。

ダ イナ ミ ッ ク リ コ ンフ ィ

ギュ レーシ ョ ン ポー ト

(96)

DWE 入力 DCLK DRP 動作が読み出しか書き込みかを示

し ます。

ダ イナ ミ ッ ク リ コ ンフ ィ

ギュ レーシ ョ ン ポー ト

(96)

Page 29: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 29UG196 (v1.8.1) 2008 年 12 月 1 日

第 1 章 : RocketIO GTP の概要 R

GTPRESET 入力 非同期GTP_DUAL リ セ ッ ト シーケン ス全体を

開始し ます。リ セ ッ ト (81)

GTPTEST[3:0] 入力 非同期工場での テ ス ト 用 ピ ン。 通常動作時は

Low に固定する必要があ り ます。

INTDATAWIDTH 入力 非同期

GTP_DUAL タ イルの内部データパス幅

を指定し ます。

0 : 内部データパス幅は 8 ビ ッ ト

1 : 内部データパス幅は 10 ビ ッ ト

共有 PMA PLL (69)、

FPGA TX イ ン ターフ ェ

イ ス (98)、TX PRBS ジェ

ネレータ (118), Parallel In Serial Out (119)、Serial In Parallel Out (156),

PRBS 検出 (172)、設定変

更可能な RX エラ ス

テ ィ ッ ク バッ フ ァおよび

位相ア ラ イ メ ン ト (172)、

設定変更可能な ク ロ ッ ク

コ レ ク シ ョ ン機能 (180)、

設定変更可能なチャ ネル

ボンディ ング (レーン デ

ス キュ ー ) (187)、 FPGA RX イ ンタ ーフェ イ ス

(194)

LOOPBACK0[2:0]

LOOPBACK1[2:0]入力 非同期 ループバッ ク モード を設定し ます。 ループバッ ク (208)

PHYSTATUS0

PHYSTATUS1出力 非同期

電力管理ス テー ト の移行やレ シーバ検出

などの PHY フ ァ ン ク シ ョ ンの完了を示

し ます。

PCI Express のレシーバ

検出機能 (125)

PLLLKDET 出力 非同期VCO レ ート が、 目的のレ ート における

許容可能な範囲内である かを 示し ま す。共有 PMA PLL (69)

PLLLKDETEN 入力 非同期 PLL のロ ッ ク 検出をイ ネーブルにし ま す。 共有 PMA PLL (69)

PLLPOWERDOWN 入力 非同期 共有 PMA PLL の電源を切 り ます。リ セ ッ ト (81)、

消費電力管理 (89)

PRBSCNTRESET0

PRBSCNTRESET1入力 RXUSRCLK2 PRBS エラ ー カウ ンタ をリ セッ ト し ま す。

リ セ ッ ト (81)、

PRBS 検出 (156)

REFCLKOUT 出力 な し

共有 PMA PLL に入力されている リ フ ァ

レ ン ス ク ロ ッ ク (CLKIN) へのア ク セス

を提供し ます。

共有 PMA PLL (69)、 ク

ロ ッ キング (78)、 FPGA TX イ ン ターフ ェ イ ス

(98)、 TX バッ フ ァ、 位相

ア ラ イ メ ン ト 、 お よ び

バッ フ ァ バイパス

(112)、 FPGA RX イ ン

ターフ ェ イ ス (194)

表 1-3 : GTP_DUAL ポー ト (続き)

ポー ト 方向 ド メ イ ン 説明 セク シ ョ ン (ページ番号)

Page 30: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

30 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ポー ト および属性R

REFCLKPWRDNB 入力 非同期GTP リ フ ァ レ ンス ク ロ ッ ク回路の電源

を切 り ます (ア ク テ ィ ブ Low)。消費電力管理 (89)

RESETDONE0

RESETDONE1出力 非同期

GTP ト ラ ンシーバが リ セ ッ ト を完了し、

使用可能な状態であ る こ と を示し ます。

リ セ ッ ト (81)、 RX のク

ロ ッ ク データ リ カバ リ

(144)

RXBUFRESET0

RXBUFRESET1入力 非同期

RX エラ ステ ィ ッ ク バッ フ ァ ロジ ッ ク を

リ セ ッ ト し ます。

リ セ ッ ト (81)、 設定変更

可能な RX エラ ステ ィ ッ

ク バッ フ ァおよび位相ア

ラ イ メ ン ト (172)、設定変

更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (180)

RXBUFSTATUS0[2:0]

RXBUFSTATUS1[2:0]出力 RXUSRCLK2

RX エラ ステ ィ ッ ク バッ フ ァ がオーバー

フ ロー /アンダーフ ロー ステータ スであ

るかを示し ます。

設定変更可能な RX エラ

ステ ィ ッ ク バッ フ ァおよ

び 位 相 ア ラ イ メ ン ト

(172)、 設定変更可能な ク

ロ ッ ク コ レ ク シ ョ ン機能

(180)

RXBYTEISALIGNED0

RXBYTEISALIGNED1出力 RXUSRCLK2

カンマ検出に基づき、パラ レル データ ス

ト リ ームがバイ ト バウ ンダ リ に適切に揃

え られているかを示し ます。

PCOMMA_ALIGN = TRUE の と き、 ア

ラ イ メ ン ト を実行する ため、 PCOMMAの値にアサー ト されます。

MCOMMA_ALIGN = TRUE の と き、ア

ラ イ メ ン ト を実行するため、 MCOMMAの値にアサー ト されます。

設定変更可能なカンマ ア

ラ イ メ ン ト お よ び検出

(159)

RXBYTEREALIGN0

RXBYTEREALIGN1出力 RXUSRCLK2

シ リ アル データ ス ト リ ーム内のバイ ト

ア ラ イ メ ン ト が、 カンマ検出に よ って変

更されたかを示し ます。

設定変更可能なカンマ ア

ラ イ メ ン ト お よ び検出

(159)

RXCDRRESET0

RXCDRRESET1入力 RXUSRCLK2 RX CDR の リ セ ッ ト で、 残 り の RX PCS

も リ セ ッ ト し ます。

リ セ ッ ト (81)、 RX のク

ロ ッ ク データ リ カバ リ

(144)

RXCHANBONDSEQ0

RXCHANBONDSEQ1出力 RXUSRCLK2 RXDATA にチャネル ボンデ ィ ング シー

ケン スの開始が含まれるかを示し ます。

設定変更可能な チ ャ ネ

ル ボン ディ ン グ (レ ー

ン デス キ ュ ー ) (187)

RXCHANISALIGNED0

RXCHANISALIGNED1出力 RXUSRCLK2

チャネルがデータ ス ト リ ーム内の確認さ

れたチャネル ボンデ ィ ング シーケン ス

に従い、 マス タ ト ラ ンシーバ と適切にア

ラ イ ン されているかを示し ます。

設定変更可能な チ ャ ネ

ル ボン ディ ン グ (レ ー

ン デス キ ュ ー ) (187)

RXCHANREALIGN0

RXCHANREALIGN1出力 RXUSRCLK2 レシーバの変更時には、 小で 1 サイ ク

ル間 High を保持し ます。

設定変更可能な チ ャ ネ

ル ボン ディ ン グ (レ ー

ン デス キ ュ ー ) (187)

表 1-3 : GTP_DUAL ポー ト (続き)

ポー ト 方向 ド メ イ ン 説明 セク シ ョ ン (ページ番号)

Page 31: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 31UG196 (v1.8.1) 2008 年 12 月 1 日

第 1 章 : RocketIO GTP の概要 R

RXCHARISCOMMA0[1:0]

RXCHARISCOMMA1[1:0]出力 RXUSRCLK2 RXDATA が 8B/10B カンマの と きに

アサー ト されます。

コ ン フ ィ ギ ャ ブ ル

8B/10B デコーダ (167)

RXCHARISK0[1:0]

RXCHARISK1[1:0]出力 RXUSRCLK2 RXDATA が 8B/10B K キ ャ ラ ク タの

場合にアサー ト されます。

コ ン フ ィ ギ ャ ブ ル

8B/10B デコーダ (167)

RXCHBONDI0[2:0]

RXCHBONDI1[2:0]入力 RXUSRCLK FPGA チャネル ボンデ ィ ングを制御。

ス レーブによ ってのみ使用されます。

設定変更可能な チ ャ ネ

ル ボン ディ ン グ (レ ー

ン デス キ ュ ー ) (187)

RXCHBONDO0[2:0]

RXCHBONDO1[2:0]出力 RXUSRCLK FPGA チャ ネル ボンディ ングを制御し

ま す。

設定変更可能な チ ャ ネ

ル ボン ディ ン グ (レ ー

ン デス キ ュ ー ) (187)

RXCLKCORCNT0[2:0]

RXCLKCORCNT1[2:0]出力 RXUSRCLK2 エラ ステ ィ ッ ク バッ フ ァの ク ロ ッ ク コ

レ ク シ ョ ンのステータ ス を通知し ます。

設定変更可能な ク ロ ッ ク

コ レ ク シ ョ ン機能 (180)

RXCOMMADET0

RXCOMMADET1出力 RXUSRCLK2 カンマ ア ラ イ メ ン ト ブロ ッ ク でカンマ

が検出される と アサー ト されます。

設定変更可能なカンマ ア

ラ イ メ ン ト お よ び検出

(159)

RXCOMMADETUSE0

RXCOMMADETUSE1入力 RXUSRCLK2 カンマ検出およびア ラ イ メ ン ト 回路をア

ク テ ィ ブにし ます。

設定変更可能なカンマ ア

ラ イ メ ン ト お よ び検出

(159)

RXDATA0

RXDATA1出力 RXUSRCLK2 FPGA の受信イ ン ターフ ェ イ スの受信

データ バス

FPGA RX イ ン ターフ ェ

イ ス (194)

RXDATAWIDTH0

RXDATAWIDTH1入力 RXUSRCLK2 RXDATA 受信データの FPGA への接続

幅を選択し ます。

FPGA RX イ ン ターフ ェ

イ ス (194)

RXDEC8B10BUSE0

RXDEC8B10BUSE1入力

RXU非同期

SRCLK2 8B/10B デコーダを イ ネーブルにし ます。コ ン フ ィ ギ ャ ブ ル

8B/10B デコーダ (167)

RXDISPERR0[1:0]

RXDISPERR1[1:0]出力 RXUSRCLK2 受信し た RXDATA にデ ィ スパ リ テ ィ

エラーがあ るかを示し ます。

コ ン フ ィ ギ ャ ブ ル

8B/10B デコーダ (167)

RXELECIDLE0

RXELECIDLE1出力 非同期

RXN およ び RXP 間の差動電圧が、 小し

き い値未満に降下し たこ と を示し ま す。

RX の OOB/ビーコ ン信号

(138)

RXELECIDLERESET0

RXELECIDLERESET1入力 非同期

RX ク ロ ッ ク データ リ カバ リ 回路を リ

セ ッ ト 。必須の リ ン ク ア イ ド ル リ セ ッ ト

回路によ って使用されます。

リ セ ッ ト (81)、 RX のク

ロ ッ ク データ リ カバ リ

(144)

RXENCHANSYNC0

RXENCHANSYNC1入力 RXUSRCLK2 チャネル ボンデ ィ ングを イ ネーブルにし

ます。

設定変更可能なチャ ネル

ボンデ ィ ング (レーン デ

スキ ュー ) (187)

表 1-3 : GTP_DUAL ポー ト (続き)

ポー ト 方向 ド メ イ ン 説明 セク シ ョ ン (ページ番号)

Page 32: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

32 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ポー ト および属性R

RXENELECIDLERESETB 入力 非同期

RXELECIDLERESET 入力を イ ネーブ

ルにし ます。 必須の リ ン ク ア イ ド ル リ

セ ッ ト 回路によ って使用されます (ア ク

テ ィ ブ Low)。

リ セ ッ ト (81)、 RX のク

ロ ッ ク データ リ カバ リ

(144)

RXENEQB0

RXENEQB1入力 非同期

レ シーバのイ コ ラ イゼーシ ョ ン を イ ネー

ブルにし ます (ア ク テ ィ ブ Low)。RX 終端およびイ コ ラ イ

ゼーシ ョ ン (133)

RXENMCOMMAALIGN0

RXENMCOMMAALIGN1入力 RXUSRCLK2 負のカンマが検出された場合、 バイ ト

バウ ンダ リ を揃えます。

設定変更可能なカンマ ア

ラ イ メ ン ト お よ び検出

(159)

RXENPCOMMAALIGN0

RXENPCOMMAALIGN1入力 RXUSRCLK2 正のカンマが検出された場合、 バイ ト

バウ ンダ リ を揃えます。

設定変更可能なカンマ ア

ラ イ メ ン ト お よ び検出

(160)

RXENPRBSTST0[1:0]

RXENPRBSTST1[1:0]入力 RXUSRCLK2 レシーバのテス ト パターン チェ ッ カを

制御し ます。PRBS 検出 (156)

RXENSAMPLEALIGN0

RXENSAMPLEALIGN1入力 RXUSRCLK2

High の と き、PCS 内の 5 倍オーバーサン

プ ラ が、 サンプル位置を継続的に調整し

ます。 Low の と き、ポー ト が Low にな る

以前にア ク テ ィ ブだった位置でのみサン

プルを実行し ます。

オ ーバ ー サ ン プ リ ン グ

(152)

RXEQMIX0[1:0]

RXEQMIX1[1:0]入力 非同期

RX イ コ ラ イザの広帯域/ハイ パスの

混在比率を指定し ます。

RX 終端およびイ コ ラ イ

ゼーシ ョ ン (133)

RXEQPOLE0[3:0]

RXEQPOLE1[3:0]入力 非同期

RX イ コ ラ イザのハイ パス フ ィ ルタの極

位置を指定し ます。

RX 終端およびイ コ ラ イ

ゼーシ ョ ン (134)

RXLOSSOFSYNC0[1:0]

RXLOSSOFSYNC1[1:0]出力 RXUSRCLK2

バイ ト ス ト リ ームの同期化に関連し た

FPGA のステータ スで、 RX_LOSS_OF _SYNC_FSM 属性のステー ト に依存し

ます。

設定変更可能な Loss-of- Sync ステー ト マシン

(165)

RXNOTINTABLE0[1:0]

RXNOTINTABLE1[1:0]出力 RXUSRCLK2 RXDATA が不正な 8B/10B コード の

結果であ り 、エラーであ るかを示し ます。

コ ン フ ィ ギ ャ ブ ル

8B/10B デコーダ (168)

RXOVERSAMPLEERR0

RXOVERSAMPLEERR1出力 RXUSRCLK2

オーバーサンプ リ ング回路の FIFO が

オーバーフ ローあ るいはアンダーフ ロー

であ る こ と を示し ます。

オ ーバ ー サ ン プ リ ン グ

(152)

RXPMASETPHASE0

RXPMASETPHASE1入力 RXUSRCLK2

PMA レシーバの リ カバ リ ク ロ ッ ク を

PCS のユーザー ク ロ ッ ク と揃え、 RX エ

ラ ステ ィ ッ ク バッ フ ァ をバイパス可能に

し ます。

設定変更可能な RX エラ

ステ ィ ッ ク バッ フ ァおよ

び 位 相 ア ラ イ メ ン ト

(172)

RXPOLARITY0

RXPOLARITY1入力 RXUSRCLK2 入力データの極性を反転し ます。 RX の極性制御 (155)

表 1-3 : GTP_DUAL ポー ト (続き)

ポー ト 方向 ド メ イ ン 説明 セク シ ョ ン (ページ番号)

Page 33: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 33UG196 (v1.8.1) 2008 年 12 月 1 日

第 1 章 : RocketIO GTP の概要 R

RXPOWERDOWN0[1:0]

RXPOWERDOWN1[1:0]入力 非同期 RX レーンの電源を切 り ます。

消費電力管理 (90)、 PCI Express のレシーバ検出

機能 (126)

RXPRBSERR0

RXPRBSERR1出力 RXUSRCLK2

PRBS テス ト のエラー数が PRBS_ERR_ THRESHOLD 属性での指定値以上であ

るかを示し ます。

PRBS 検出 (156)

RXRECCLK0

RXRECCLK1出力 N/A

RX CDR 回路から派生し た リ カバ リ ク

ロ ッ クで、 PMA と RX エラ ステ ィ ッ ク

バッ フ ァ間の RX ロジ ッ ク にク ロ ッ ク を

入力し ます。

FPGA RX イ ン ターフ ェ

イ ス (194)

RXRESET0

RXRESET1入力 非同期

RX PCS ロ ジ ッ クのア ク テ ィ ブ High リ セ ッ ト

リ セ ッ ト (81)、FPGA RX イ ン ターフ ェ イ ス (195)

RXRUNDISP0[1:0]

RXRUNDISP1[1:0]出力 RXUSRCLK2 RXDATA 受信時に、8B/10B エンコ ーダの

ラ ンニング ディ ス パリ ティ を示し ま す。

コ ン フ ィ ギ ャ ブ ル

8B/10B デコーダ (168)

RXSLIDE0

RXSLIDE1入力 RXUSRCLK2

カンマ ア ラ イ メ ン ト のバンプ制御を イ ン

プ リ メ ン ト し、 手動でのカンマ ア ラ イ メ

ン ト を可能にし ます。

設定変更可能なカンマ ア

ラ イ メ ン ト お よ び検出

(160)

RXSTATUS0[2:0]

RXSTATUS1[2:0]出力 RXUSRCLK2

PCI Express または SATA 動作のステー

タ ス を示し ます。 デコード は RX_ STATUS_FMT の設定に依存し ます。

TX の OOB/ビーコ ン信

号送信 (128)、 RX の

OOB/ ビーコ ン信号

(139)、 PCI Express のレ

シーバ検出機能 (125)

RXUSRCLK20

RXUSRCLK21入力 N/A FPGA と GTP ト ラ ンシーバ間のイ ン

ターフ ェ イ スに使用される入力ク ロ ッ ク FPGA RX イ ン ターフ ェ

イ ス (195)

RXUSRCLK0

RXUSRCLK1入力 N/A

RX エラ ステ ィ ッ ク バッ フ ァ の後にあ る

内部 RX ロジ ッ ク に使用される入力ク

ロ ッ ク

FPGA RX イ ン ターフ ェ

イ ス (195)

RXVALID0

RXVALID1出力 RXUSRCLK2

PCI Express 動作に対し て RXDATA お

よび RXCHARISK[1:0] 上でシンボルが

ロ ッ ク しデータが有効であ る こ と を示し

ます。

RX の OOB/ビーコ ン信号

(139)

TXBUFDIFFCTRL0[2:0]TXBUFDIFFCTRL1[2:0] 入力 非同期

TX プ リ ド ラ イバの強度を制御し ます。

TXDIFFCTRL と同一値に接続し ます。

コ ン フ ィ ギ ュ レーシ ョ ン

可能な TX ド ラ イバ

(122)

TXBUFSTATUS0[1:0]

TXBUFSTATUS1[1:0]出力 TXUSRCLK2

TX バッ フ ァ のステータ ス。 TX バッ フ ァ

がオーバーフ ロー / アンダーフ ローであ

るかを示し ます。

TX バッ フ ァ、位相ア ラ イ

メ ン ト 、 およびバ ッ フ ァ

バイパス (112)

TXBYPASS8B10B0[1:0]

TXBYPASS8B10B1[1:0]入力 TXUSRCLK2 バイ ト ご と に TX 8B/10B エン コーダの

動作を制御し ます。

コ ン フ ィ ギ ャ ブ ル

8B/10B エン コーダ (107)

表 1-3 : GTP_DUAL ポー ト (続き)

ポー ト 方向 ド メ イ ン 説明 セク シ ョ ン (ページ番号)

Page 34: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

34 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ポー ト および属性R

TXCHARDISPMODE0[1:0]

TXCHARDISPMODE1[1:0]入力 TXUSRCLK2

8B/10B エン コード がイネーブルの と き、

TXCHARDISPMODE および

TXCHARDISPVAL で出力データの

8B/10B デ ィ スパ リ テ ィ を制御でき ます。

8B/10B エン コード がデ ィ スエーブルの

と きは、 TX イ ン ターフ ェ イ スのデータ

バス (バス 幅は 10 の倍数) の拡張に使用

さ れま す。

コ ン フ ィ ギ ャ ブ ル

8B/10B エン コーダ (107)

TXCHARDISPVAL0[1:0]

TXCHARDISPVAL1[1:0]入力 TXUSRCLK2

8B/10B エン コード がイネーブルの と き、

TXCHARDISPVAL および

TXCHARDISPMODE で出力データの

8B/10B デ ィ スパ リ テ ィ を制御でき ます。

8B/10B エン コード がデ ィ スエーブルの

と きは、10 ビ ッ ト および 20 ビ ッ ト TX イ

ン ターフ ェ イ スのデータ バスの拡張に使

用されます。

コ ン フ ィ ギ ャ ブ ル

8B/10B エン コーダ (107)

TXCHARISK0[1:0]

TXCHARISK1[1:0]入力 TXUSRCLK2 TXDATA を 8B/10B K キ ャ ラ ク タ と し

て送信するには High に設定し ます。

コ ン フ ィ ギ ャ ブ ル

8B/10B エン コーダ (108)

TXCOMSTART0

TXCOMSTART1入力 TXUSRCLK2

TXCOMTYPE で選択さ れた COM シーケン ス の送信を 開始 (SATA のみ) し ま す。

TX の OOB/ビーコ ン信

号送信 (128)

TXCOMTYPE0

TXCOMTYPE1入力 TXUSRCLK2 送信する COM 信号のタ イプを選択し ま

す (SATA のみ)。TX の OOB/ビーコ ン信

号送信 (128)

TXDATA0

TXDATA1入力 TXUSRCLK2 送信データ バス

FPGA TX イ ン ターフ ェ

イ ス (98)

TXDATAWIDTH0

TXDATAWIDTH1入力 TXUSRCLK2 TXDATA ポー ト 幅を選択し ます。

FPGA TX イ ン ターフ ェ

イ ス (98)

TXDETECTRX0

TXDETECTRX1入力 TXUSRCLK2

RXPOWERDOWN および

TXPOWERDOWN のステータ スに基づ

いて TXDETECTRX で PCI Express の

レ シ ーバ検出機能を イ ネ ーブルにする

か、 PCI Express 準拠のループバッ ク

モード を 選択し ま す。

消費電力管理 (90)、 PCI Express のレシーバ検出

機能 (126)

TXDIFFCTRL0[2:0]

TXDIFFCTRL1[2:0]入力 非同期

ト ラ ン ス ミ ッ タ の差動出力幅を 制御し

ま す。

コ ン フ ィ ギ ュ レーシ ョ ン

可能な TX ド ラ イバ

(122)

TXELECIDLE0

TXELECIDLE1入力 TXUSRCLK2

PCI Express の電気的ア イ ド ル/ビーコ ン

を実行する ため、 TXN および TXP を同

一電圧に駆動し ます。

消費電力管理 (90)、TX の

OOB/ ビーコ ン信号送信

(128)

表 1-3 : GTP_DUAL ポー ト (続き)

ポー ト 方向 ド メ イ ン 説明 セク シ ョ ン (ページ番号)

Page 35: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 35UG196 (v1.8.1) 2008 年 12 月 1 日

第 1 章 : RocketIO GTP の概要 R

TXENC8B10BUSE0

TXENC8B10BUSE1入力 TXUSRCLK2 8B/10B エン コ ーダを イ ネーブルにし

ま す。

コ ン フ ィ ギ ャ ブ ル

8B/10B エン コーダ

(108)、 FPGA TX イ ン

ターフ ェ イ ス (99)

TXENPMAPHASEALIGN 入力 非同期

GTP_DUAL タ イルの GTP ト ラ ンシーバ

両方で XCLK と TXUSRCLK を揃え る

こ と ができ ます。 これによ って TX バッ

フ ァ がバイパスでき、複数の GTP ト ラ ン

シーバにあ る XCLK が同期可能と な り

ます。

TX バッ フ ァ、位相ア ラ イ

メ ン ト 、 およびバ ッ フ ァ

バイパス (113)

TXENPRBSTST0[1:0]

TXENPRBSTST1[1:0]入力 TXUSRCLK2 ト ラ ン ス ミ ッ タのテス ト パターン生成を

制御し ます。

TX PRBS ジェネレータ

(118)

TXINHIBIT0

TXINHIBIT1入力 TXUSRCLK2 データ送信を抑制し ます。

TXKERR0[1:0]

TXKERR1[1:0]出力 TXUSRCLK2 K キ ャ ラ ク タに無効な コード が指定され

たか示し ます。

コ ン フ ィ ギ ャ ブ ル

8B/10B エン コーダ (108)

TXOUTCLK0

TXOUTCLK1出力 N/A

GTP ト ラ ンシーバの内部分周回路で生成

されたパラ レル ク ロ ッ ク を供給し ます。

メ モ : INTDATAWIDTH が High の と

き、 デューテ ィ サイ クルは 50/50 ではな

く 60/40 です。 TX 位相ア ラ イ メ ン ト 回

路が使用される と、 TXOUTCLK は

TXUSRCLK を駆動でき ません。

FPGA TX イ ン ターフ ェ

イ ス (99)、 TX バッ フ ァ、

位相ア ラ イ メ ン ト 、 およ

びバッ フ ァ バイパス

(113)

TXPMASETPHASE 入力 非同期

GTP_DUAL タ イルにあ る両方の GTP ト

ラ ンシーバで XCLK と TXUSRCLK を

揃えます。

TX バッ フ ァ、位相ア ラ イ

メ ン ト 、 およびバ ッ フ ァ

バイパス (113)

TXPOLARITY0

TXPOLARITY1入力 TXUSRCLK2 終的な ト ラ ン ス ミ ッ タの出力を反転さ

せるかを指定し ます。

TX におけ る極性制御

(117)

TXPOWERDOWN0[1:0]

TXPOWERDOWN1[1:0]入力 非同期 TX レーンの電源を切 り ます。

消費電力管理 (90)、 PCI Express のレシーバ検出

機能 (126)、 TX の OOB/ ビーコ ン信号送信 (126)

TXPREEMPHASIS0[2:0]

TXPREEMPHASIS1[2:0]入力 非同期

駆動能力およびプ リ エン フ ァ シスの相対

的強度を制御し ます。

コ ン フ ィ ギ ュ レーシ ョ ン

可能な TX ド ラ イバ

(122)

TXRESET0

TXRESET1入力 非同期

位相ア ラ イ メ ン ト FIFO、 8B/10B エン

コーダ、 および FPGA TX イ ン ターフ ェ

イ ス を含む GTP ト ラ ン ス ミ ッ タの PCS を リ セ ッ ト し ます。

リ セ ッ ト (81)、FPGA TX イ ン ターフ ェ イ ス (99)

表 1-3 : GTP_DUAL ポー ト (続き)

ポー ト 方向 ド メ イ ン 説明 セク シ ョ ン (ページ番号)

Page 36: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

36 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ポー ト および属性R

表 1-4 には、 CRC ポーの信号名をアルファ ベッ ト 順に示し て、 ク ロ ッ ク ド メ イ ン、 方向、 およ び説

明を示し ま す。 セク ショ ン列に記載さ れている リ ンク をク リ ッ ク する と 、 その詳細を参照でき ま す。

TXRUNDISP0[1:0]

TXRUNDISP1[1:0]出力 TXUSRCLK2 8B/10B エン コーダの現在のラ ンニング

デ ィ スパ リ テ ィ を示し ます。

コ ン フ ィ ギ ャ ブ ル

8B/10B エン コーダ (108)

TXUSRCLK0

TXUSRCLK1入力 な し

内部 TX PCS データ パス のク ロ ッ ク を

供給し ま す。

FPGA TX イ ン ターフ ェ

イ ス (99)、 TX バッ フ ァ、

位相ア ラ イ メ ン ト 、 およ

びバッ フ ァ バイパス

(113)

TXUSRCLK20

TXUSRCLK21入力 な し

FPGA ロ ジ ッ ク と TX イ ン ターフ ェ イ ス

を同期させます。

FPGA TX イ ン ターフ ェ

イ ス (99)

表 1-3 : GTP_DUAL ポー ト (続き)

ポー ト 方向 ド メ イ ン 説明 セク シ ョ ン (ページ番号)

表 1-4 : CRC ポー ト

ポー ト 方向 ド メ イ ン 説明 セク シ ョ ン (ページ番号)

CRCCLK 入力 な し CRC ク ロ ッ ク .CRC (Cyclic Redundancy Check) (200)

CRCDATAVALID 入力 CRCCLK CRCIN 入力のデータが有効であ

る こ と を示し ます。

CRC (Cyclic Redundancy Check) (200)

CRCDATAWIDTH[2:0] 入力 CRCCLK 有効な入力データ バイ ト 数を示

し ます。

CRC (Cyclic Redundancy Check) (200)

CRCIN[63:0] 入力 CRCCLK CRC 入力データ。 小データパス

幅は 8 バイ ト

CRC (Cyclic Redundancy Check) (200)

CRCOUT[31:0] 出力 CRCCLK

32 ビ ッ ト CRC 出力。 直前のク

ロ ッ ク サイ クルおよび直前の

CRC 値の有効なバイ ト における

CRC 計算値をバイ ト 逆順、ビ ッ ト

反転し た CRC 値

CRCDATAVALID は High に駆動

する必要があ り ます。

CRC (Cyclic Redundancy Check) (201)

CRCRESET 入力 CRCCLK CRC レジス タの同期 リ セ ッ ト CRC (Cyclic Redundancy Check) (201)

Page 37: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 37UG196 (v1.8.1) 2008 年 12 月 1 日

第 1 章 : RocketIO GTP の概要 R

表 1-5 には、GTP_DUAL 属性の属性名をアルフ ァベッ ト 順に示し て、デフ ォル ト 値および方向を示

し ています。 セク シ ョ ン列に記載されている リ ン ク を ク リ ッ クする と、 その詳細を参照でき ます。

表 1-5 : GTP_DUAL 属性

属性 説明 セク シ ョ ン (ページ番号)

AC_CAP_DIS_0

AC_CAP_DIS_1

TRUE の と き、 レシーバ入力の内蔵 AC カ ッ

プ リ ング キ ャパシ タ をデ ィ スエーブルにし

ます。

RX 終端およ びイ コ ラ イ ゼー

ショ ン (134)

ALIGN_COMMA_WORD_0

ALIGN_COMMA_WORD_1

マルチバイ ト のデータパスで検出されたカン

マ ア ラ イ メ ン ト を制御し ます。

設定変更可能なカンマ ア ラ イ メ

ン ト および検出 (160)

CHAN_BOND_1_MAX_SKEW_0

CHAN_BOND_1_MAX_SKEW_1

CHAN_BOND_2_MAX_SKEW_0

CHAN_BOND_2_MAX_SKEW_1

チャネル ボンデ ィ ングを使用する場合に許

容可能なレーン スキ ューの 大量を設定。

チャネル ボンデ ィ ング シーケンス間の 小

距離の 1/2 未満に指定する必要があ り ます。

設定変更可能なチャネル ボン

デ ィ ング (レーン デス キ ュー ) (187)

CHAN_BOND_LEVEL_0

CHAN_BOND_LEVEL_1

エラ ステ ィ ッ ク バ ッ フ ァの制御信号に使用

される内部パイプラ イ ン レベル (量) を示し

ます。

設定変更可能なチャネル ボン

デ ィ ング (レーン デス キ ュー ) (188)

CHAN_BOND_MODE_0

CHAN_BOND_MODE_1

ト ラ ンシーバでのチャネル ボンデ ィ ングの

動作モード を定義し ます。

設定変更可能なチャネル ボン

デ ィ ング (レーン デス キ ュー ) (188)

CHAN_BOND_SEQ_1_1_0

CHAN_BOND_SEQ_1_1_1

CHAN_BOND_SEQ_1_2_0

CHAN_BOND_SEQ_1_2_1

CHAN_BOND_SEQ_1_3_0

CHAN_BOND_SEQ_1_3_1

CHAN_BOND_SEQ_1_4_0

CHAN_BOND_SEQ_1_4_1

チャネル ボンデ ィ ング シーケン ス 1 を定義

する ため、CHAN_BOND_SEQ_1_ENABLEと共に使用し ます。

設定変更可能なチャネル ボン

デ ィ ング (レーン デス キ ュー ) (188)

CHAN_BOND_SEQ_1_ENABLE_0

CHAN_BOND_SEQ_1_ENABLE_1

チャネル ボンデ ィ ング シーケン ス 1 で考慮

が不要な部分を設定し ます。

設定変更可能なチャネル ボン

デ ィ ング (レーン デス キ ュー ) (188)

CHAN_BOND_SEQ_2_1_0

CHAN_BOND_SEQ_2_1_1

CHAN_BOND_SEQ_2_2_0

CHAN_BOND_SEQ_2_2_1

CHAN_BOND_SEQ_2_3_0

CHAN_BOND_SEQ_2_3_1

CHAN_BOND_SEQ_2_4_0

CHAN_BOND_SEQ_2_4_1

2 番目のチャネル ボンデ ィ ング シーケンス

を定義する ため、 CHAN_BOND_SEQ_2_ ENABLE と共に使用し ます。

設定変更可能なチャネル ボン

デ ィ ング (レーン デス キ ュー ) (189)

Page 38: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

38 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ポー ト および属性R

CHAN_BOND_SEQ_2_ENABLE_0

CHAN_BOND_SEQ_2_ENABLE_1

チャネル ボンデ ィ ング シーケン ス 2 で考慮

が不要な部分を設定し ます。

設定変更可能なチャネル ボン

デ ィ ング (レーン デス キ ュー ) (189)

CHAN_BOND_SEQ_2_USE_0

CHAN_BOND_SEQ_2_USE_1

チャネル ボンデ ィ ング シーケン ス 2 を使用

するかを指定し ます。

設定変更可能なチャネル ボン

デ ィ ング (レーン デス キ ュー ) (189)

CHAN_BOND_SEQ_LEN_0

CHAN_BOND_SEQ_LEN_1

チャ ネル ボンディ ングを検出する ためにト ラ

ンシーバが一致さ せる チャ ネル ボンディ ング

シーケンス の長さ をバイ ト で定義し ます。

設定変更可能なチャネル ボン

デ ィ ング (レーン デス キ ュー ) (189)

CLK_COR_ADJ_LEN_0

CLK_COR_ADJ_LEN_1

ク ロ ッ ク コ レ ク シ ョ ンでの調整サイ ズ (反復

またはス キ ッ プするバイ ト 数) を定義し ます。

設定変更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (181)

CLK_COR_DET_LEN_0

CLK_COR_DET_LEN_1

ク ロ ッ ク コ レ ク シ ョ ンを検出する ために ト

ラ ンシーバが一致させる シーケン スの長さ を

定義し ます。

設定変更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (181)

CLK_COR_INSERT_IDLE_FLAG_0

CLK_COR_INSERT_IDLE_FLAG_1

RXRUNDISP 入力ステータ スがラ ンニング

デ ィ スパ リ テ ィ または挿入ア イ ドル (ク ロ ッ

ク コ レ ク シ ョ ン シーケンス) フ ラ グを示すか

を制御し ます。

設定変更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (181)

CLK_COR_KEEP_IDLE_0

CLK_COR_KEEP_IDLE_1

バイ ト ス ト リ ームの少な く と も 1 ク ロ ッ ク

コ レ ク シ ョ ン シーケン ス を、 エラ ステ ィ ッ ク

バ ッ フ ァ で保持するかを制御し ます。

設定変更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (181)

CLK_COR_MAX_LAT_0

CLK_COR_MAX_LAT_1

エラ ステ ィ ッ ク バ ッ フ ァの 大レ イ テンシ

を指定し ます。

設定変更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (181)

CLK_COR_MIN_LAT_0

CLK_COR_MIN_LAT_1

エラ ステ ィ ッ ク バ ッ フ ァの 小レ イ テンシ

を指定し ます。

設定変更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (181)

CLK_COR_PRECEDENCE_0

CLK_COR_PRECEDENCE_1

ク ロ ッ ク コ レ ク シ ョ ン と チャネル ボンデ ィ

ングが同時に ト リ ガ された場合、 どち ら を優

先するかを指定し ます。 TRUE に設定する

と、 ク ロ ッ ク コ レ ク シ ョ ンが優先されます。

設定変更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (182)

CLK_COR_REPEAT_WAIT_0

CLK_COR_REPEAT_WAIT_1

次の ク ロ ッ ク コ レ ク シ ョ ンが実行される ま

での RXUSRCLK の 低サイ クル数を指定

し ます。

設定変更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (182)

表 1-5 : GTP_DUAL 属性 (続き)

属性 説明 セク シ ョ ン (ページ番号)

Page 39: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 39UG196 (v1.8.1) 2008 年 12 月 1 日

第 1 章 : RocketIO GTP の概要 R

CLK_COR_SEQ_1_1_0

CLK_COR_SEQ_1_1_1

CLK_COR_SEQ_1_2_0

CLK_COR_SEQ_1_2_1

CLK_COR_SEQ_1_3_0

CLK_COR_SEQ_1_3_1

CLK_COR_SEQ_1_4_1

ク ロ ッ ク コ レ ク シ ョ ン シーケン ス 1 を定義

する ため、 CLK_COR_SEQ_1_ENABLE と

共に使用し ます。

設定変更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (182)

CLK_COR_SEQ_1_ENABLE_0

CLK_COR_SEQ_1_ENABLE_1

ク ロ ッ ク コ レ ク シ ョ ン シーケン ス 1 で考慮

が不要な部分を設定し ます。

設定変更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (182)

CLK_COR_SEQ_2_1_0

CLK_COR_SEQ_2_1_1

CLK_COR_SEQ_2_2_0

CLK_COR_SEQ_2_2_1

CLK_COR_SEQ_2_3_0

CLK_COR_SEQ_2_3_1

CLK_COR_SEQ_2_4_0

CLK_COR_SEQ_2_4_1

2 番目のク ロ ッ ク コ レ ク シ ョ ン シーケンス

を定義する ため、 CLK_COR_SEQ_2_ ENABLE と共に使用し ます。

設定変更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (182)

CLK_COR_SEQ_2_ENABLE_0

CLK_COR_SEQ_2_ENABLE_1

ク ロ ッ ク コ レ ク シ ョ ン シーケン ス 2 で考慮

が不要な部分を設定し ます。

設定変更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (182)

CLK_COR_SEQ_2_USE_0

CLK_COR_SEQ_2_USE_1

ク ロ ッ ク コ レ ク シ ョ ン シーケン ス 2 を使用

するかを指定し ます。

設定変更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (183)

CLK_CORRECT_USE_0

CLK_CORRECT_USE_1

ク ロ ッ ク コ レ ク シ ョ ンを イ ネーブルにする

には TRUE に設定し ます。

設定変更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (183)

CLK25_DIVIDER CLKIN を 25MHz に近い内部レー ト に分周

する ために使用する分周値を指定し ます。

ク ロ ッ キング (78)、 消費電力管理

(91)

CLKINDC_B必ず TRUE に指定し ます。 専用の リ フ ァ レ ン

ス ク ロ ッ ク入力を駆動するオシレータは AC カ ッ プ リ ングする必要があ り ます。

ク ロ ッ キング (78)

COM_BURST_VAL_0[3:0]

COM_BURST_VAL_1[3:0]

SATA COM シーケンスで送信されたバース

ト 数を指定し ます。

TX の OOB/ビーコ ン信号送信

(129)

C O M M A _ 1 0 B _ E N A B L E _ 0COMMA_10B_ENABLE_1

MCOMMA/PCOMMA で入力データに一致

する必要があ る ビ ッ ト 、 および don’t care ビ ッ ト を指定し ます。

設定変更可能なカンマ ア ラ イ メ

ン ト および検出 (160)

表 1-5 : GTP_DUAL 属性 (続き)

属性 説明 セク シ ョ ン (ページ番号)

Page 40: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

40 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ポー ト および属性R

COMMA_DOUBLE_0

COMMA_DOUBLE_1

TRUE に設定する と、 カンマ検出には

PCOMMA 一致の直後が MCOMMA 一致と

なっている必要があ り ます。 SONET の

A1/A2 フ レー ミ ング キ ャ ラ ク タ検出に使用

されます。

設定変更可能なカンマ ア ラ イ メ

ン ト および検出 (161)

DEC_MCOMMA_DETECT_0

DEC_MCOMMA_DETECT_1

負の 8B/10B カンマの検出を イ ネーブルにし

ます。

コ ン フ ィ ギャ ブル 8B/10B デ

コ ーダ (168)

DEC_PCOMMA_DETECT_0

DEC_PCOMMA_DETECT_1

正の 8B/10B カンマの検出を イ ネーブルにし

ます。

コ ン フ ィ ギャ ブル 8B/10B デ

コ ーダ (168)

DEC_VALID_COMMA_ONLY_0

DEC_VALID_COMMA_ONLY_1

RXCHARISCOMMA が応答する カンマを

制限し ます。

コ ン フ ィ ギャ ブル 8B/10B デ

コ ーダ (168)

MCOMMA_10B_VALUE_0

MCOMMA_10B_VALUE_1

RXCOMMADET を High にし てパラ レ ル

データ を 揃え る 場合の負のカ ン マを 定義し

ま す。

設定変更可能なカンマ ア ラ イ メ

ン ト および検出 (161)

MCOMMA_DETECT_0

MCOMMA_DETECT_1

負のカンマの検出およびア ラ イ メ ン ト を可能

にするには TRUE に設定し ます。

設定変更可能なカンマ ア ラ イ メ

ン ト および検出 (161)

OOB_CLK_DIVIDER CLKIN に基づいてスケルチ ク ロ ッ ク レー ト

を指定し ます。RX の OOB/ビーコ ン信号 (140)

OOBDETECT_THRESHOLD_0

OOBDETECT_THRESHOLD_1

信号が PCI 電気的ア イ ドルまたは SATA OOB 信号と認識される以前の RXN および

RXP 間の 小差動電圧を指定し ます。

RX の OOB/ビーコ ン信号 (140)

OVERSAMPLE_MODE 5 倍オーバーサンプ リ ングを イ ネーブルにし

ます。

TX バッ フ ァ、 位相ア ラ イ メ ン ト 、

およびバッ フ ァ バイパス (114)、

Parallel In Serial Out (120)、Serial In Parallel Out (150)、 オーバーサ

ンプ リ ング (153)、設定変更可能な

RX エラ ステ ィ ッ ク バ ッ フ ァおよ

び位相ア ラ イ メ ン ト (173)

PCI_EXPRESS_MODE_0

PCI_EXPRESS_MODE_1

PCI Express 固有の処理を イ ネーブルにし

ま す。

消費電力管理 (91)、 設定変更可能

なチャネル ボンデ ィ ング (レーン

デスキ ュー ) (189)

PCOMMA_10B_VALUE_0

PCOMMA_10B_VALUE_1

RXCOMMADET を High にし てパラ レ ル

データ を 揃え る 場合の正のカ ン マを 定義し

ま す。

設定変更可能なカンマ ア ラ イ メ

ン ト および検出 (161)

PCOMMA_DETECT_0

PCOMMA_DETECT_1

正のカンマの検出およびア ラ イ メ ン ト を可能

にするには TRUE に設定し ます。

設定変更可能なカンマ ア ラ イ メ

ン ト および検出 (161)

PCS_COM_CFG 共有 PLL のコ ンフ ィ ギュ レーシ ョ ン設定し

ます。共有 PMA PLL (69)

表 1-5 : GTP_DUAL 属性 (続き)

属性 説明 セク シ ョ ン (ページ番号)

Page 41: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 41UG196 (v1.8.1) 2008 年 12 月 1 日

第 1 章 : RocketIO GTP の概要 R

PLL_DIVSEL_FB 共有 PMA PLL の リ フ ァ レン ス ク ロ ッ ク分

周器を制御し ます。共有 PMA PLL (69)

PLL_DIVSEL_REF 共有 PMA PLL の リ フ ァ レン ス ク ロ ッ ク分

周器を制御し ます。共有 PMA PLL (69)

PLL_RXDIVSEL_OUT_0

PLL_RXDIVSEL_OUT_1

共有 PMA PLL レー ト に基づき、 レシーバの

共通ラ イ ン レー ト を定義し ます。

共有 PMA PLL (69)、 Serial In Parallel Out (150)

PLL_SATA_0

PLL_SATA_1

FALSE に設定する と、 TX SATA 操作を

SATA Generation 1 (1.5Gb/s) または SATA Generation 2 (3Gb/s) レー ト で実行でき ます。

TX の OOB/ビーコ ン信号送信

(129)

PLL_TXDIVSEL_COMM_OUT

タ イル内の 2 つの GTP ト ラ ンシーバの共通

ラ イ ン レー ト 分周値を指定し ます。 両 ト ラ ン

シーバの TX 分周値が同一場合、 PLL_ TXDIVSEL_OUT の代わに使用でき ます。

共有 PMA PLL (69)、 TX バ ッ

フ ァ、 位相ア ラ イ メ ン ト 、 および

バッ フ ァ バイパス (110)、 Parallel In Serial Out (120)、 TX の OOB/ ビーコ ン信号送信 (129)

PLL_TXDIVSEL_OUT_0

PLL_TXDIVSEL_OUT_1

各 GTP ト ラ ンシーバの TX ラ イ ン レー ト の

分周値を指定し ます。

共有 PMA PLL (70)、 TX バ ッ

フ ァ、 位相ア ラ イ メ ン ト 、 および

バッ フ ァ バイパス (110)、 Parallel In Serial Out (120)、 TX の OOB/ ビーコ ン信号送信 (129)

PMA_CDR_SCAN_0

PMA_CDR_SCAN_1CDR サンプ リ ング位置の検出を制御し ます。

RX の ク ロ ッ ク データ リ カバ リ

(145)

PMA_COM_CFG 共通 PMA のコ ンフ ィ ギュ レーシ ョ ン属性

TX バッ フ ァ、 位相ア ラ イ メ ン ト 、

およびバッ フ ァ バイパス (114)、

限界条件および制限 (209)

PMA_RX_CFG_0

PMA_RX_CFG_1

オーバーサンプ リ ングおよび PLL_ RXDIVSEL_OUT 設定に対応する よ う CDR 処理を調整し ます。

RX の ク ロ ッ ク データ リ カバ リ

(145)

PRBS_ERR_THRESHOLD_0

PRBS_ERR_THRESHOLD_1

PRBS チェ ッ カのエラ ーし き い値を指定し

ま す。PRBS 検出 (156)

RCV_TERM_GND_0

RCV_TERM_GND_1

RX 終端電圧を GND に設定し ます。 PCI Express の TXDETECTRX 機能をサポー ト

する ため、 内部および外部 AC カ ッ プ リ ング

と併用し ます。

RX 終端およ びイ コ ラ イ ゼー

ショ ン (134)

RCV_TERM_MID_0

RCV_TERM_MID_1

内部 RX 終端電圧をア ク テ ィ ブにし ます。

RX にビル ト イ ン AC カ ッ プ リ ングを使用す

る と きは、 TRUE に設定し ます。

RX 終端およ びイ コ ラ イ ゼー

ショ ン (134)

RCV_TERM_VTTRX_0

RCV_TERM_VTTRX_1RX 終端電圧を MGTAVTTRX に設定し ます。

RX 終端およ びイ コ ラ イ ゼー

ショ ン (135)

表 1-5 : GTP_DUAL 属性 (続き)

属性 説明 セク シ ョ ン (ページ番号)

Page 42: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

42 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ポー ト および属性R

RX_BUFFER_USE_0

RX_BUFFER_USE_1

RX エラ ステ ィ ッ ク バ ッ フ ァ を使用するには

TRUE に設定し ます。

設定変更可能な RX エラ ステ ィ ッ

ク バッ フ ァおよび位相ア ラ イ メ

ン ト (173)

RX_DECODE_SEQ_MATCH_0

RX_DECODE_SEQ_MATCH_1

シーケンスが、 8B/10B のデコード済みデー

タ またはデコード されていないデータのいず

れに一致するかを確定し ます。

設定変更可能な ク ロ ッ ク コ レ ク

シ ョ ン機能 (183)

RX_LOS_INVALID_INCR_0

RX_LOS_INVALID_INCR_1

LOS (Loss of Sync) かを判断する ため、 エ

ラー数を 1 ずつ減らす場合に要する有効な

キ ャ ラ ク タ数を定義し ます。

設定変更可能な Loss-of-Sync ス

テー ト マシン (165)

RX_LOS_THRESHOLD_0

RX_LOS_THRESHOLD_1

LOS ステー ト マシンを SYNC_ACQUIRED から SYNC_LOST ステー ト に移行させる た

めに必要なエラー数を定義し ます。

設定変更可能な Loss-of-Sync ス

テー ト マシン (165)

RX_LOSS_OF_SYNC_FSM_0

RX_LOSS_OF_SYNC_FSM_1RXLOSSOFSYNC 出力の動作を定義し ま す。

設定変更可能な Loss-of-Sync ス

テー ト マシン (165)

RX_SLIDE_MODE_0

RX_SLIDE_MODE_1

PMA ま たは PCS 間でのス ラ イ ディ ングを

選択し ま す。

設定変更可能なカンマ ア ラ イ メ

ン ト および検出 (161)

RX_STATUS_FMT_0

RX_STATUS_FMT_1

RX_STATUS ポー ト を使用し、 PCI Express または SATA 機能のどち らのステータ ス を示

すかを指定し ます。

RX の OOB/ビーコ ン信号 (140)

RX_XCLK_SEL_0

RX_XCLK_SEL_1

RX エラ ステ ィ ッ ク バッ フ ァ の PMA 側で使

用される ク ロ ッ ク を選択し ます。 デフ ォル ト

設定は RXREC (RX リ カバ リ ク ロ ッ ク ) で、

RX エラ ステ ィ ッ ク バ ッ フ ァ をバイパスする

場合は RXUSR (RX USRCLK) の使用が必要

です。

設定変更可能な RX エラ ステ ィ ッ

ク バッ フ ァおよび位相ア ラ イ メ

ン ト (173)

SATA_BURST_VAL_0

SATA_BURST_VAL_1

SATA OOB 検出器で COM の一致を宣言す

る ために必要なバース ト 数RX の OOB/ビーコ ン信号 (140)

SATA_IDLE_VAL_0

SATA_IDLE_VAL_1

SATA OOB 検出器で COM の一致を宣言す

る ために必要なア イ ドル数RX の OOB/ビーコ ン信号 (140)

SATA_MAX_BURST_0

SATA_MAX_BURST_1

ス ケルチ ク ロ ッ ク サイ クルに関し て、 SATA 検出器がバース ト を拒否する際のし きい値を

設定し ます。

RX の OOB/ビーコ ン信号 (140)

SATA_MAX_INIT_0

SATA_MAX_INIT_1

ス ケルチ ク ロ ッ ク サイ クルに関し て、 SATA 検出器で許容可能な COMINIT/COMRESET ア イ ドルの 大時間を設定し ます。

RX の OOB/ビーコ ン信号 (140)

SATA_MAX_WAKE_0

SATA_MAX_WAKE_1

ス ケルチ ク ロ ッ ク サイ クルに関し て、 SATA 検出器で許容可能な COMWAKE ア イ ドルの

大時間を設定し ます。

RX の OOB/ビーコ ン信号 (141)

表 1-5 : GTP_DUAL 属性 (続き)

属性 説明 セク シ ョ ン (ページ番号)

Page 43: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 43UG196 (v1.8.1) 2008 年 12 月 1 日

第 1 章 : RocketIO GTP の概要 R

SATA_MIN_BURST_0

SATA_MIN_BURST_1

ス ケルチ ク ロ ッ ク サイ クルに関し て、 SATA 検出器がバース ト を拒否する際のし きい値を

設定し ます。

RX の OOB/ビーコ ン信号 (141)

SATA_MIN_INIT_0

SATA_MIN_INIT_1

ス ケルチ ク ロ ッ ク サイ クルに関し て、 SATA 検出器で許容可能な COMINIT/COMRESET ア イ ドルの 小時間を設定し ます。

RX の OOB/ビーコ ン信号 (141)

SATA_MIN_WAKE_0

SATA_MIN_WAKE_1

ス ケルチ ク ロ ッ ク サイ クルに関し て、 SATA 検出器で許容可能な COMWAKE ア イ ドルの

小時間を設定し ます。

RX の OOB/ビーコ ン信号 (141)

SIM_GTPRESET_SPEEDUPシミ ュ レ ーショ ン 中の GTPRESET シーケ

ン ス の完了およ び PLL ロ ッ ク 時間を 短縮し

ま す。

シ ミ ュ レーシ ョ ン (48)

SIM_MODEシ ミ ュ レーシ ョ ン専用の属性で、 FAST と

LEGACY シ ミ ュ レーシ ョ ン モデルのいずれ

かを選択し ます。

シ ミ ュ レーシ ョ ン (48)

SIM_PLL_PERDIV2 シ ミ ュ レーシ ョ ンでの 1 シンボルの長さ を

ピ コセカン ド で指定し ます。シ ミ ュ レーシ ョ ン (48)

SIM_RECEIVER_DETECT_PASS0

SIM_RECEIVER_DETECT_PASS1レシーバの検出機能を制御し ます。 シ ミ ュ レーシ ョ ン (48)

TERMINATION_CTRL[4:0] 内部終端キ ャ リ ブレ ーシ ョ ン 回路を 制御し

ま す。

アナロ グ デザイ ンのガ イ ド ラ イ

ン (214)

TERMINATION_IMP_0

TERMINATION_IMP_1

TX ド ラ イバおよび RX レシーバの終端イ ン

ピーダンス を選択し ます。

アナロ グ デザイ ンのガ イ ド ラ イ

ン (214)

TERMINATION_OVRD

MGTRREF ピンに接続された 50Ω の正確な

外部抵抗を使用するか、 TERMINATION _CTRL で定義された優先値を使用するかを

選択 し ます。

アナロ グ デザイ ンのガ イ ド ラ イ

ン (214)

TRANS_TIME_FROM_P2_0

TRANS_TIME_FROM_P2_1

内部の 25MHz ク ロ ッ ク サイ クルで P2 電源

停止ステー ト からの移行時間。 厳密な時間は

CLKIN レー ト および CLK25_DIVIDER 設定に依存し ます。

消費電力管理 (91)

TRANS_TIME_NON_P2_0

TRANS_TIME_NON_P2_1

内部の 25MHz ク ロ ッ ク サイ クルで P2 以外

のパワーダウ ン ステー ト へ/からの移行時間。

厳密な時間は CLKIN レー ト および CLK25_ DIVIDER 設定に依存し ます。

消費電力管理 (91)

TRANS_TIME_TO_P2_0

TRANS_TIME_TO_P2_1

内部の 25MHz ク ロ ッ ク サイ クルで P2 パ

ワーダウ ン ステー ト への移行時間。 厳密な時

間は CLKIN レー ト および CLK25_ DIVIDER 設定に依存し ます。

消費電力管理 (91)

表 1-5 : GTP_DUAL 属性 (続き)

属性 説明 セク シ ョ ン (ページ番号)

Page 44: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

44 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ポー ト および属性R

表 1-6 には、CRC 属性の属性名をアルフ ァベッ ト 順に示し て、デフ ォル ト 値および方向を示し てい

ます。 セク シ ョ ン列に記載されている リ ン ク を ク リ ッ クする と、 その詳細を参照でき ます。

TX_BUFFER_USE_0

TX_BUFFER_USE_1

TX バ ッ フ ァ を使用し ているかど う かを示し

ます。

TX バッ フ ァ、 位相ア ラ イ メ ン ト 、

およびバッ フ ァ バイパス (114)

TX_DIFF_BOOST_0

TX_DIFF_BOOST_1

TX ド ラ イバおよびプ リ エンフ ァ シス バ ッ

フ ァの強度を変更し ます。 TRUE に設定する

と、 プ リ エン フ ァ シ ス の比率が増加し ます。

差動幅お よ びプ リ エン フ ァ シ ス の標準値は

123 ページの表 6-18 を参照し て く ださ い。

TX_DIFF_BOOST が TRUE の と き、 全体的

な差動幅は小さ く な り ます。

コ ン フ ィ ギ ュ レ ーシ ョ ン可能な

TX ド ラ イバ (122)

TX_SYNC_FILTERB デフ ォル ト 値 1 のま まにし ます。

TX_XCLK_SEL_0

TX_XCLK_SEL_1

TX バッ フ ァ の後にあ る PCS のク ロ ッ ク ド メ イ ン を駆動する ク ロ ッ ク を選択し ます。

TX を使用する 場合は TXOUT (TXOUTCLK) に設定し、 TX バ ッ フ ァ をバイパスする場合

は、 TXUSR (TXUSRCLK) に設定し ます。

TX バッ フ ァ、 位相ア ラ イ メ ン ト 、

およびバッ フ ァ バイパス (114)

TXRX_INVERT0

TXRX_INVERT1

GTP ト ラ ンシーバ内の ク ロ ッ ク パス を 適

化する イ ンバータ を制御。 TX バッ フ ァ を

バイパスする場合は 00100 に設定し、それ以

外の場合は 00000 に設定し ます。

TX バッ フ ァ、 位相ア ラ イ メ ン ト 、

およびバッ フ ァ バイパス (114)

メ モ :

1. DRP の 2 進数値に これら の属性をマ ッ プする方法は、 付録 D を参照し て く だ さ い。

表 1-5 : GTP_DUAL 属性 (続き)

属性 説明 セク シ ョ ン (ページ番号)

表 1-6 : CRC 属性

属性 種類 説明 セ クシ ョ ン (ページ番号)

CRC_INIT[31:0] 32 ビ ッ ト 16 進数

CRC 内部レジス タの初期ステー ト に対

する 32 ビ ッ ト 値

CRC (Cyclic Redundancy Check) (201)

Page 45: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 45UG196 (v1.8.1) 2008 年 12 月 1 日

R

第 2 章

RocketIO GTP ト ラ ンシーバ ウ ィ ザー ド

RocketIO GTP ト ラ ンシーバ ウ ィ ザード は、 GTP_DUAL プ リ ミ テ ィ ブを イ ン ス タ ンシエー ト する

ためのラ ッパ生成に適し たツールです。 このウ ィ ザード は、 ザイ リ ン ク ス CORE Generator ツール

に含まれています。 使用前に 新の IP ア ッ プデー ト がダウ ン ロード されている こ と を確認し て く

ださ い。 使用方法の詳細は、 UG188 : 『Virtex-5 FPGA RocketIO GTP Transceiver Wizard』 に記載

されています。

1. ザイ リ ン ク スの CORE Generator ツールを起動し ます。

2. RocketIO GTP Wizard は、 ツ リ ー構造の次のデ ィ レ ク ト リ 以下にあ り ます。

/FPGA Features & Design/IO Interfaces

図 2-1 を参照し て く ださ い。

3. [RocketIO GTP Wizard] をダブルク リ ッ クする と、 ウ ィ ザード が起動し ます。

図 2-1 : RocketIO GTP Wizard の起動

UG196_c2_01_100406

Page 46: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

46 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

R

Page 47: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 47UG196 (v1.8.1) 2008 年 12 月 1 日

R

第 3 章

シ ミ ュ レーシ ョ ン

はじめに

GTP_DUAL タ イルを使用する シ ミ ュ レーシ ョ ンの場合、 シ ミ ュ レーシ ョ ン環境およびテス ト ベン

チに対する特定の要件があ り ます。

『合成/シ ミ ュ レーシ ョ ン デザイ ン ガイ ド』 [参照 2] には、 サポー ト されたシ ミ ュ レータでのシ ミ ュ

レーシ ョ ン環境の設定方法を、使用し たハード ウ ェ ア記述言語 (HDL) に応じ て記載し ています。 こ

のデザイ ン ガ イ ド は次のザイ リ ン ク ス ウ ェブ サイ ト から ダウ ン ロード でき ます。

http://japan.xilinx.com/support/software_manuals.htm

GTP ト ラ ンシーバを使用するデザイ ンをシ ミ ュ レーシ ョ ンする ための要件は、 次の とお り です。

• SmartModel をサポー ト する ための SWIFT イ ン ターフ ェ イ ス を備えたシ ミ ュ レータ、

SmartModel は暗号化バージ ョ ンの HDL で、モデル化されたブロ ッ ク のイ ンプ リ メ ン ト に使用

• GTP_DUAL の SmartModel のイ ン ス ト ール

• SmartModel のイ ンス ト ール デ ィ レ ク ト リ を指す適切な環境変数の設定

• SmartModel の使用に対応する ため、 シ ミ ュ レータの適切な設定 (初期化フ ァ イル、 環境変数)

• SmartModel ラ ッパ フ ァ イルの UNISIM および SIMPRIM ラ イブラ リ へのコ ンパイル

• GTP_DUAL SmartModel のシ ミ ュ レーシ ョ ン ラ イブラ リ へのコ ンパイル

• 適切なシ ミ ュ レータ リ ゾ リ ューシ ョ ンの設定 (Verilog)

• 適切な順序でのシ ミ ュ レーシ ョ ン ラ イブラ リ のコ ンパイル

シ ミ ュ レータのユーザー ガ イ ドおよび『合成/シ ミ ュ レーシ ョ ン デザイ ン ガイ ド』では、SmartModel サポー ト するための設定の詳細が説明されています。sl_admin を備えた COMPXLIB ツールを使用

する と、 サポー ト されている シ ミ ュ レータ を簡単に設定でき ます。

Page 48: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

48 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ポー ト および属性R

ポー ト および属性

GTP_DUAL プ リ ミ テ ィ ブには、 シ ミ ュ レーシ ョ ンにのみ使用される属性があ り ます。 表 3-1 に、

GTP_DUAL タ イ ルのシミ ュ レーショ ン専用の属性を示し ます。こ れら の属性名は SIM_ で始まり ます。

シ ミ ュ レーシ ョ ン専用のポー ト はあ り ません。

説明

GTP_DUAL タ イ ルの動作は SmartModel を 使用し てモデル化さ れま す。SmartModel を 使用する

こ と によ り 、設計の次のよ う な段階で GTP_DUAL タ イ ルを 含むデザイ ンをシミ ュ レ ーショ ンでき

ま す。

• Register Transfer Level (RTL)/合成前のシ ミ ュ レーシ ョ ン

• 合成後のシ ミ ュ レーシ ョ ン/NGDBuild 前のシ ミ ュ レーシ ョ ン

• NGDBuild 後/マ ッ プ前のシ ミ ュ レーシ ョ ン

• マ ッ プ後/パーシ ャル タ イ ミ ング シ ミ ュ レーシ ョ ン

• 配置配線後/タ イ ミ ング シ ミ ュ レーシ ョ ン

表 3-1 : シ ミ ュ レーシ ョ ン専用の GTP_DUAL 属性

属性 説明

SIM_GTPRESET_SPEEDUP

シ ミ ュ レーシ ョ ン中、 GTPRESET シーケン スの完了時間および共有 PMA PLL のロ ッ ク時間を短縮し ます。

1 : GTPRESET サイ クル時間を短縮し ます (約 300ns の高速な初期化)。 こ の

モー ド での PLL 周波数は SIM_PLL_PERDIV2 値によ って決定し ます。 シ ミ ュ

レーシ ョ ン中、 SIM_PLL_PERDIV2 値はオンザフ ラ イで変更不可のため、 こ

のモード はマルチレー ト デザイ ンでは使用でき ません。

0 : 元々の時間で GTPRESET シーケン ス をシ ミ ュ レーシ ョ ン し ます (標準的な

初期化は約 160ms)。 マルチレー ト デザイ ンでは、 こ のモード を使用し ます。

SIM_MODE

2 つの UNISIM/SIMPRIM シ ミ ュ レーシ ョ ン モデルのいずれかを選択

FAST : PMA の高速シ ミ ュ レーシ ョ ン モデルが使用され、 シ ミ ュ レーシ ョ ン実

行時間が削減されます。

LEGACY : PMA のレガシ シ ミ ュ レーシ ョ ンが使用され、 シ ミ ュ レーシ ョ ン実

行時間が長 く な り ます。

SIM_PLL_PERDIV2

PLL のク ロ ッ ク 周波数の 1/2 周期と 等し い 9 ビッ ト の 16 進数値をピコ 秒 (ps) で指

定。 たと えば、 400ps (10 進数) は 0x190 (16 進数) に等し く 、 こ れがデフォ ルト 値

になり ま す。

正しい SIM_PLL_PERDIV2 値が設定されていない場合、 シ ミ ュ レーシ ョ ン中に

確実にロ ッ ク されず、 ク ロ ッ ク周波数が不正にな り ます。

SIM_RECEIVER_DETECT_PASS0

SIM_RECEIVER_DETECT_PASS1

各 GTP ト ラ ンシーバの TXDETECTRX 機能のシ ミ ュ レーシ ョ ンに使用し ます。

TRUE (デフ ォル ト ) : TX シ リ アル ポー ト への RX 接続をシ ミ ュ レーシ ョ ン し ま

す。 TXDETECTRX は RX ポー ト が接続されている こ と を伝えます。

FALSE : 未接続の TX ポー ト をシ ミ ュ レーシ ョ ン し ます。 TXDETECTRX は RX ポー ト が検出されない こ と を伝えます。

Page 49: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 49UG196 (v1.8.1) 2008 年 12 月 1 日

第 3 章 : シ ミ ュ レーシ ョ ン R

制限

GTP_DUAL タ イルに含まれる一部のブロ ッ ク のアナロ グ特性によ り 、HDL シ ミ ュ レータ を使用し

たシ ミ ュ レーシ ョ ンにい く つかの制限が生じ ます。 レシーバ検出および OOB/ビーコ ン信号送信は

GTP_DUAL タ イルのアナロ グ機能であ り 、限定された方法によ ってのみ、 HDL シ ミ ュ レータでの

モデル化が可能です。 共有 PMA PLL も アナロ グ ブロ ッ ク で、 厳密なモデル化は困難です。 シ ミ ュ

レーシ ョ ン専用の属性のい く つかは、 こ のよ う な制限を回避するために提供されています。

SmartModel の属性

SIM_GTPRESET_SPEEDUP

SIM_GTPRESET_SPEEDUP 属性を使用する と、シ ミ ュ レーシ ョ ン中に共有 PMA PLL のロ ッ ク時

間を短縮でき ます。

デザイ ンで TXOUTCLK または RXRECCLK を使用し て ク ロ ッ ク を生成し ている と、GTP_DUAL タ イルのロ ッ ク中、 これらの ク ロ ッ クが動作し な く なる場合があ り ます。 また、 PLL かデジタル ク

ロ ッ ク マネージャ (DCM) を使用し て TXOUTCLK または RXRECCLK を分周する と、 終的な

ク ロ ッ ク出力は、GTP_DUAL タ イルおよび PLL または DCM の両方がロ ッ クする まで使用でき ま

せん。式 3-1 は、TXOUTCLK または RXRECCLK からの安定し た ソースがシ ミ ュ レーシ ョ ンで使

用可能になる までに必要な概算時間を求める等式です。 これには、使用し た PLL または DCM で要

する時間も含まれます。

式 3-1

PLL ま たは DCM が未使用の場合、 それら に該当する 項は等式から 削除でき ま す。 PMA PLL 周

波数ま たは REFCLK 周波数が変動する マルチレ ート デザイ ンを シミ ュ レ ーショ ン する 場合、

SIM_GTPRESET_SPEEDUP は FALSE に設定する必要があ り ます。 マルチレー ト デザイ ンの例

は、 付録 F の 「ア ド バン ス ク ロ ッ キング」 に示し ています。

SIM_MODE

こ の属性を使用し て、 2 つの UNISIM/SIMPRIM シ ミ ュ レーシ ョ ン モデルのいずれかを選択し

ます。 LEGACY を設定する と PMA のレガシ シ ミ ュ レーシ ョ ン モデルが使用され、 FAST を設定する と PMA の高速シ ミ ュ レーシ ョ ン モデルが使用されます。

レガシ モデルは ISE® 11.1 よ り 前のバージ ョ ンのソ フ ト ウ ェ アに含まれている シ ミ ュ レーシ ョ

ン モデルを使用し ている場合に使用可能ですが、 11.1 以降では廃止される予定です。 新しいデ

ザイ ンには FAST を設定し て く だ さい。

こ の属性は、 SIM_GTPRESET_SPEEDUP 属性 と は無関係に使用でき ます。

SIM_PLL_PERDIV2

GTP_DUAL タ イルには、 リ フ ァ レン ス ク ロ ッ ク から送信ク ロ ッ クおよび受信ク ロ ッ ク を生成する

ためのアナロ グ PLL が含まれます。HDL シ ミ ュ レータでは、アナロ グ PLL は完全にはモデル化さ

れないため、 GTP_DUAL の SmartModel には、 PLL 出力のシ ミ ュ レーシ ョ ン用に同等のビヘイ ビ

ア モデルが含まれます。SIM_PLL_PERDIV2 属性は、ビヘイ ビア モデルで可能な限 り 正確に PLL 出力を生成するために使用されます。 こ の属性は、 共有 PMA PLL の周期の 1/2 に設定し て く ださ

い。 特定のレー ト に対する SIM_PLL_PERDIV2 の算出方法は、 52 ページの 「例」 を参照し て く だ

さ い。

tUSRCLKstable tGTPRESETsequence tlocktimePLL tlocktimeDCM+ +≅

Page 50: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

50 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

説明R

SIM_RECEIVER_DETECT_PASS

GTP_DUAL タ イルには、 ト ラ ン ス ミ ッ タのシ リ アル ポー ト が現時点でレシーバに接続されている

かを、その ト ラ ン ス ミ ッ タで検出する TXDETECTRX 機能があ り ます。 こ の検出は、TXP/TXN 差

動ピン ペアの立ち上が り 時間を計測する こ と によ って行われます (125 ページの「PCI Express のレ

シーバ検出機能」 を参照)。

GTP_DUAL の SmartModel には、SIM_RECEIVER_DETECT_PASS と呼ばれる TXDETECTRX をシ ミ ュ レーシ ョ ンするための属性が含まれます。こ の属性によ って TXP/TXN 差動ピ ン ペアの立

ち上が り 時間の計測をモデル化する こ と な く 、各 GTP の TXDETECTRX がシ ミ ュ レーシ ョ ンでき

ます。

SIM_RECEIVER_DETECT_PASS は、 デフ ォ ルト では TRUE に設定さ れま す。 こ の場合、 属性

は接続さ れたレ シーバを モデル化し 、 TXDETECTRX はレ シーバが接続さ れている こ と を 示すよ

う 動作し ま す。 未接続のレ シーバを モデル化する 場合は、 そのト ラ ンシーバのSIM_RECEIVER_ DETECT_PASS を FALSE に設定し て く ださ い。

電源投入およびリ セ ッ ト

リ ン ク アイ ドル リ セ ッ ト

シ ミ ュ レーシ ョ ンを適切に実行するには、80 ページの 「 リ セ ッ ト 」 で説明し ている リ ン ク ア イ ド ル

リ セ ッ ト 回路を イ ンプ リ メ ン ト し、 各 GTP_DUAL イ ンス タ ンスに接続する必要があ り ます。 ウ ィ

ザード を使用し て GTP_DUAL イ ン ス タ ン ス を コ ンフ ィ ギュ レーシ ョ ンする場合は、 こ の回路は自

動的に含まれます。

GSR の ト グル

GSR は、デザイ ン内のネ ッ ト のグ ローバル配線信号で、 コ ンフ ィ ギュ レーシ ョ ン中にデバイ スの適

切なコ ンポーネン ト をセ ッ ト あ るいは リ セ ッ ト するために使用されます。

こ の信号のシ ミ ュ レーシ ョ ン動作は、Verilog の glbl モジュールまたは VHDL の ROC/ROCBUF コ

ンポーネン ト を使用し てモデル化されます。

シ ミ ュ レーシ ョ ンにおける ク ロ ッ ク供給

シ ミ ュ レーシ ョ ンでは、 PMA の内部ク ロ ッ クは SIM_PLL_PERDIV2 パラ メ ータ (ps) を使用し て

生成されます。ユーザー ク ロ ッ ク に供給されるその他のク ロ ッ ク の精度はすべて同じであ る必要が

あ り ます。 こ のレベルが異なる と TX バッ フ ァ エラー (およびク ロ ッ ク コ レ ク シ ョ ンを行わないシ

ステムにおけ る RX バッ フ ァ エラー ) が発生する可能性があ り ます。 テス ト ベンチで USRCLK、

USRCLK2、 または リ フ ァ レ ン ス ク ロ ッ ク を生成する際は、 ク ロ ッ ク周期を SIM_PLL_PERDIV2 に関連付け られた端数のない値 (ps) にし て く ださ い。 場合によ っては、 シ ミ ュ レーシ ョ ン と実際の

デザイ ンでク ロ ッ ク レー ト がわずかに異な り ます。

Verilog でのシ ミ ュ レーシ ョ ン

GSR およびグ ローバル ト ラ イ ステー ト (GTS) 信号は、 $XILINX/verilog/src/glbl.v モ

ジュールで定義されます。glbl.v モジュールはグ ローバル信号をデザイ ンに接続されるので、こ の

モジュールをその他のデザイ ン フ ァ イル と共にコ ンパイルし、シ ミ ュ レーシ ョ ン用に design.v お

よび testfixture.v と共にロードする必要あ り ます。

テス ト ベンチでの GSR/GTS の定義

テス ト ベンチでの GSR および GTS は、 次の 2 つの方法で扱 う こ と ができ ます。

Page 51: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 51UG196 (v1.8.1) 2008 年 12 月 1 日

第 3 章 : シ ミ ュ レーシ ョ ン R

1. ほ と んどの場合、 GSR および GTS をテス ト ベンチで定義する必要はあ り ません。 GSR および

GTS 信号は glbl.v で宣言され、 GSR は自動的に 100ns 間パルス されます。 バッ ク エン ド シ

ミ ュ レーシ ョ ンおよび論理シ ミ ュ レーシ ョ ンの場合、 こ のよ う な対応で十分です。

2. テス ト ベンチで GSR または GTS をエ ミ ュ レーシ ョ ンする必要があ る場合は、次に示すコード

を testfixture.v に追加し て く だ さい。

assign glbl.GSR = gsr_r;assign glbl.GTS = gts_r;initialbegingts_r = 1'b0;gsr_r = 1'b1;#(16*CLOCKPERIOD);gsr_r = 1'b0;end

VHDL でのシ ミ ュ レーシ ョ ン

ROCBUF セルは、テス ト ベンチでエ ミ ュ レー ト された GSR 信号を制御し ます。 こ のコ ンポーネン ト

は GSR 信号用のバッ フ ァ を作成し、 GSR を駆動する よ う にバ ッ フ ァ に入力ポー ト を備えます。 こ

のポー ト はエンテ ィ テ ィ リ ス ト で宣言し、 テス ト ベンチから駆動する必要があ り ます。

こ のセルの VHDL コード (EX_ROCBUF.vhd に含まれる) は次の とお り です。

library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;library UNISIM;use UNISIM.all;entity EX_ROCBUF isport (CLOCK, ENABLE, SRP,RESET : in std_logic;C_OUT: out std_logic_vector (3 downto 0));end EX_ROCBUF;

architecture A of EX_ROCBUF issignal GSR : std_logic;signal COUNT : std_logic_vector (3 downto 0);component ROCBUFport (I : in std_logic;O : out std_logic);end component;

beginU1 : ROCBUF port map (I => SRP, O => GSR);

//dummy processCOUNTER : process (CLOCK, ENABLE, RESET)begin...end process COUNTER;end A

こ のテス ト ベンチの VHDL コード (EX_ROCBUF_tb.vhd に含まれる) は次の とお り です。

entity EX_ROCBUF_tb isend EX_ROCBUF_tb;architecture behavior of EX_ROCBUF_tb is

Page 52: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

52 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

例R

declare component EX_ROCBUFdeclare signalsbeginEX_ROCBUF_inst: EX_ROCBUF PORT MAP(CLOCK => CLOCK,ENABLE => ENABLE,SRP => SRP,RESET => RESET,COUT => COUT);Clk_generation: processBegin...End processreset <= '1', '0' after CLK_PERIOD * 30;SRP <= '1', '0' after CLK_PERIOD * 25;end

詳細は、 『合成/シ ミ ュ レーシ ョ ン デザイ ン ガ イ ド』 [参照 2] を参照し て く ださ い。

シ ミ ュ レーシ ョ ン環境の設定例 (Linux で ModelSim SE 6.1d を使用する場合)

こ のセ ク シ ョ ンでは、 SmartModel をサポー ト する シ ミ ュ レーシ ョ ン環境の設定方法の一例を示し

ます。 例では、 GTP_DUAL タ イルを含むデザイ ンのシ ミ ュ レーシ ョ ンを前提条件と し ています。

こ こ では、 Mentor Graphics 社の HDL シ ミ ュ レータであ る ModelSim SE 6.1d、 オペレーテ ィ ング

システム と し て RedHat Enterprise Linux 3.0、 そし てザイ リ ン ク ス ISE 開発システムのバージ ョ ン

8.1i を使用し ます。 『合成/シ ミ ュ レーシ ョ ン デザイ ン ガ イ ド』 には、 別の HDL シ ミ ュ レータ また

はザイ リ ン ク ス ISE 開発システムを使用する場合のガ イ ド ラ イ ンおよび例が記載されています (1)。

次の環境変数の設定には、 setenv を使用し ます。

初期化フ ァ イル (Modelsim.ini) には、 次の設定が含まれます。

libsm = $MODEL_TECH/libsm.sllibswift = $LMC_HOME/lib/linux.lib/libswift.so Resolution = 1ps ;(one picosecond simulator resolution)

ISE デ ィ レ ク ト リ ツ リ ーでの SmartModel の位置は、 次の とお り です。

1.こ こに記載する例 と シ ミ ュ レータの資料の記述に矛盾があ る場合は、 シ ミ ュ レータの資料を優先し て く だ さい。

また、 バージ ョ ン 8.1i 以降のザイ リ ン ク ス ISE 開発システム を使用する際には、 ザイ リ ン ク スのウ ェブ サイ ト で追加

情報を確認し て く だ さい。

• XILINX ザイ リ ン ク ス ISE のイ ン ス ト ール デ ィ レ ク ト リ

(例 : /opt/Xilinx/ise_8_1_i)

• MODEL_TECH ModelSim シ ミ ュ レータのイ ン ス ト ール デ ィ レ ク ト リ

(例 : /edatools/mentor/modelsim/6.1d/)

• LMC_HOME $XILINX/smartmodel/lin/installed_lin

• LMC_CONFIG $LMC_HOME/data/linux.lmc

• LD_LIBRARY_PATH $LMC_HOME/lib/linux.lib:$LD_LIBRARY_PATH

Page 53: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 53UG196 (v1.8.1) 2008 年 12 月 1 日

第 3 章 : シ ミ ュ レーシ ョ ン R

$XILINX/virtex5/smartmodel/lin/image

COMPXLIB ツール ツ リ ーの選択オプシ ョ ンは、 次の とお り です。

compxlib -s mti_se -l all –arch all -smartmodel_setup

これらのオプシ ョ ンは、 COMPXLIB ツールを使用し て ModelSim SE 6.1d HDL シ ミ ュ レータが対

応す る 全言語の ラ イ ブ ラ リ すべて を コ ン パ イ ル し ま す。 デ フ ォ ル ト の出力デ ィ レ ク ト リ は、

$XILINX/language/target_simulator です。 コ ンパイル済みのラ イブラ リ は、 $XILINX/ vhdl/mti_se および $XILINX/verilog/mti_se に書き込まれる よ う 指定されます。

SIM_PLL_PERDIV2 算出例

こ こ では、シミ ュ レ ーショ ン専用の属性、SIM_PLL_PERDIV2 値の正確な算出方法の例を示し ま す。

PLL の周期は、 式 3-2 および式 3-3 から算出でき ます。

式 3-2

式 3-3

式 3-2 および式 3-3 で使用し ている値は、 次のよ う に定義されます。

• REFCLK は、 GTP_DUAL タ イ ルの CLKIN 入力に接続さ れたク ロ ッ ク の速度で、 単位は MHz です。

• PLL_DIVSEL_REF は、 共有 PMA PLL の リ フ ァ レ ンス ク ロ ッ ク分周器の分周係数を定義す

る属性です。

• PLL_DIVSEL_FB は、共有 PMA PLL のフ ィ ード バッ ク分周器の分周係数 (乗算係数と同様の

役割) を定義する属性です。

• INTDATAWIDTH が High (10 ビ ッ ト モード ) の と き DIV = 5 (10 ビ ッ ト モー ド )、 または

INTDATAWIDTH が Low (8 ビ ッ ト モード ) の と き DIV = 4 (8 ビ ッ ト モード ) です。

PCI Express デザイ ンの例

PCI Express を使用する場合の PLL SPEED および SIM_PLL_PERDIV2 を算出するには、 次の値

を適用し ます。

• REFCLK = 100MHz

• PLL_DIVSEL_REF = 2

• DIV = 5

• PLL_DIVSEL_FB = 5

式 3-2 から PLL SPEED = 1.25GHz が求められ、 周期は 800ps と な り ます。 式 3-3 よ り 、

SIM_PLL_PERDIV2 は 800 を 2 で割った値であ る こ と から 400 (10 進数) または 190 (16 進数) と

な り ます。

ギガビ ッ ト Ethernet デザインの例

ギガビ ッ ト Ethernet を使用する場合の PLL SPEED および SIM_PLL_PERDIV2 を算出するには、

次の値を適用し ます。

• REFCLK = 125MHz

• PLL_DIVSEL_REF = 1

PLL SPEEDREFCLK

PLL_DIV_REF--------------------------------------⎝ ⎠

⎛ ⎞ DIV× PLL_DIVSEL_FB( )×=

SIM_PLL_PERDIV21 PLL SPEED⁄( )

2---------------------------------------------=

Page 54: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

54 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

例R

• DIV = 5

• PLL_DIVSEL_FB = 2

式 3-2 から PLL SPEED = 1.25GHz が求められ、 周期は 800ps と な り ます。 式 3-3 よ り 、

SIM_PLL_PERDIV2 は 800 を 2 で割った値であ る こ と から 400 (10 進数) または 190 (16 進数) と

な り ます。

XAUI デザイ ンの例

XAUI を使用する場合の PLL SPEED および SIM_PLL_PERDIV2 を算出するには、次の値を適用

し ます。

• REFCLK = 156.25MHz

• PLL_DIVSEL_REF = 1

• DIV = 5

• PLL_DIVSEL_FB = 2

式 3-2 から PLL SPEED = 1.5625GHz が求められ、 周期は 640ps と な り ます。 式 3-3 よ り 、

SIM_PLL_PERDIV2 は 640 を 2 で割った値であ る こ と から 320 (10 進数) または 140 (16 進数) と

な り ます。

Page 55: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 55UG196 (v1.8.1) 2008 年 12 月 1 日

R

第 4 章

イ ンプ リ メ ンテーシ ョ ン

概要

本章では、デザイ ンでイ ン ス タ ンシエー ト し た GTP_DUAL タ イルのデバイ ス リ ソースへのマ ッ プ

に必要な次のよ う な項目について説明し ます。

• 入手可能なデバイ ス/パッ ケージの組み合わせにおけ る GTP_DUAL タ イルの位置

• 各 GTP_DUAL タ イルに関連する外部信号のパッ ド番号

• デザイ ンでイ ン ス タ ンシエー ト し た GTP_DUAL タ イルおよびク ロ ッ ク リ ソースが、ユーザー

制約フ ァ イル (UCF) を使用し て、 どのよ う に利用可能な位置にマ ッ プ されるか

ク ロ ッ ク リ ソース を適切に使用し、ボード設計時のシグナル イ ンテグ リ テ ィ の解析を容易にする た

め、GTP ト ラ ンシーバの位置は通常、設計の初期段階で指定し ます。イ ンプ リ メ ンテーシ ョ ン フ ロー

では UCF 内の配置制約を使用する こ と で、 この指定を円滑に行います。

本章では、 GTP_DUAL の ク ロ ッ キング コ ンポーネン ト のイ ン ス タ ンシエー ト 方法を説明し ます

が、GTP_DUAL タ イルのその他のク ロ ッ キング オプシ ョ ンの詳細は、 76 ページの「ク ロ ッ キング」

を参照し て く ださ い。

ポー ト および属性

表 4-1 に、 GTP_DUAL タ イルに関連する外部ポー ト を示し ます。

表 4-1 : GTP_DUAL タ イルの外部ポー ト

ポー ト 方向 ド メ イン 説明

MGTTXP0

MGTTXN0

MGTTXP1

MGTTXN1

出力エンベデッ ド

TX ク ロ ッ ク

GTP ト ラ ンシーバ 0 および 1 の

差動送信データ ペア

MGTRXP0

MGTRXN0

MGTRXP1

MGTRXN1

入力エンベデッ ド

RX ク ロ ッ ク

GTP ト ラ ンシーバ 0 および 1 の

差動受信データ ペア

MGTREFCLKP

MGTREFCLKN入力 なし 差動 リ フ ァ レン ス ク ロ ッ ク の入力ペア

MGTAVCCPLL(2) アナロ グ アナロ グ PLL の 1.2V 電源用パッ ド

Page 56: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

56 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

説明R

こ のセ ク シ ョ ンに該当する属性はあ り ません。

説明

GTP_DUAL タ イルの位置は、列番号と その列内での位置を表す XY 座標を使用し て指定されます。

現時点で リ リ ース されている Virtex-5 LXT および SXT プラ ッ ト フ ォーム デバイ スでは、すべての

GTP_DUAL タ イルがダ イの一辺に沿って 1 列に配置されています。し たがって、GTP_DUAL タ イ

ルすべての X 座標は 0 と な り ます。58 ページの 「パッ ケージにおける配置情報」 に、入手可能なデ

バイ ス/パッ ケージの全組み合わせにおけ る GTP_DUAL タ イル位置の情報、および各タ イルに関連

する外部信号のパッ ド番号が記載されています。

GTP_DUAL タ イルを使用するデザイ ンの UCF は 2 通 り の方法で作成でき、 RocketIO GTP Transceiver Wizard を使用する方法が推奨されます。ウ ィ ザード (第 2 章 の 「RocketIO GTP ト ラ ン

シーバ ウ ィ ザード 」 を参照) は、 ト ラ ンシーバを コ ンフ ィ ギュ レーシ ョ ン し、GTP_DUAL 配置情報

のプレースホルダを含む UCF テンプレー ト を自動的に生成し ます。この方法で生成された UCF は

編集可能で、 パラ メ ータや配置情報をアプ リ ケーシ ョ ン用にカ ス タマイ ズでき ます。

UCF 生成の 2 つ目の方法は手書きによ る ものです。こ の方法で作成する場合、設計者は、ト ラ ンシー

バの動作を制御する コ ンフ ィ ギュ レーシ ョ ン属性およびタ イル位置のパラ メ ータの両方を入力する

必要があ り ます。 GTP_DUAL タ イルのコ ンフ ィ ギュ レーシ ョ ンに必要なパラ メ ータすべてを確実

に入力する よ う 、 十分に注意し て く ださ い。

MGTAVCC(2) アナロ グ アナロ グト ラ ンシーバ混合信号回路の 1.0V 電源

用の 2 つのパッ ド

MGTAVTTRX(2) アナロ グ アナロ グ RX 回路の 1.2V 電源用パッ ド

MGTAVTTTX(2) アナロ グ アナロ グ TX 回路の 1.2V 電源用の 2 つのパッ ド

メ モ :

1. これらのポー ト 名には MGT と い う 接頭詞が付き、 ボー ド 設計の回路図で非常に頻繁に使用されるパ ッ ド フ ァ イル内で識別しやす く なっています。 本書では、 こ の接頭詞がポー ト 名か ら削除されていますが、 接頭詞の有無に関わ らず同一ポー ト を指し ています。

2. 標準値です。 厳密な値および限界条件は DS202 : 『Virtex-5 データ シー ト : DC 特性およびス イ ッ チ特性』を参照し て く だ さ い。

表 4-1 : GTP_DUAL タ イルの外部ポー ト (続き)

ポー ト 方向 ド メ イン 説明

Page 57: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 57UG196 (v1.8.1) 2008 年 12 月 1 日

第 4 章 : インプ リ メ ンテーシ ョ ン R

GTP_DUAL 配置の UCF 例こ のセ ク シ ョ ンでは、7 つの GTP_DUAL タ イルを イ ンス タ ンシエー ト する UCF 内にあ る重要なエ

レ メ ン ト を示し ます。 こ のフ ァ イルは、 79 ページの図 5-5 の例を イ ンプ リ メ ン ト し ます。 使用し た

デバイ ス/パッ ケージの組み合わせは XC5VLX110T-FF1136 です。

;; Instantiate the GTP_DUAL tiles in locations X0Y7 to X0Y1;INST design_root/gtp_dual[1]/gtp_dual LOC=GTP_DUAL_X0Y1;INST design_root/gtp_dual[2]/gtp_dual LOC=GTP_DUAL_X0Y2;INST design_root/gtp_dual[3]/gtp_dual LOC=GTP_DUAL_X0Y3;INST design_root/gtp_dual[4]/gtp_dual LOC=GTP_DUAL_X0Y4;INST design_root/gtp_dual[5]/gtp_dual LOC=GTP_DUAL_X0Y5;INST design_root/gtp_dual[6]/gtp_dual LOC=GTP_DUAL_X0Y6;INST design_root/gtp_dual[7]/gtp_dual LOC=GTP_DUAL_X0Y7;;; Connect the REFCLK_PAD_(N/P) differential pair to the middle; GTP_DUAL tile (GTP_DUAL_X0Y4);NET refclk_pad_n LOC=P4;NET refclk_pad_p LOC=P3;

GTP_DUAL タ イルおよび IBUFDS プ リ ミ テ ィ ブは、 一般的に、 デザイ ン階層の HDL コード内で

イ ン ス タ ンシエー ト されます。 また、 こ のコード は次の Verilog コード の一部が示すよ う に、

IBUFDS プ リ ミ テ ィ ブの出力を GTP_DUAL タ イルの CLKIN 入力に接続し ます。

//// Instantiate the GTP_DUAL tiles//genvar tile_num;

generate for (tile_num = 1; tile_num <= 7; ++tile_num)

begin: gtp_dual

GTP_DUAL gtp_dual(.CLKIN(refclk),

… The remaining GTP_DUAL ports are not shown)

end

endgenerate

//// Instantiate the IBUFDS for the reference clock//IBUFDS ref_clk_buffer(.IN(refclk_pad_n),.IP(refclk_pad_p),.O(refclk)

)

Page 58: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

58 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

パッ ケージにおける配置情報R

パッ ケージにおける配置情報

こ のセ ク シ ョ ンでは、 次のパッ ケージにおけ る GTP_DUAL の配置を図示し ます。

• LXT パッ ケージ

♦ XC5VLX20T-FF323

♦ XC5VLX30T-FF323

♦ XC5VLX30T-FF665

♦ XC5VLX50T-FF665

♦ XC5VLX50T-FF1136

♦ XC5VLX85T-FF1136

♦ XC5VLX110T-FF1136

♦ XC5VLX110T-FF1738

♦ XC5VLX155T-FF1136

♦ XC5VLX155T-FF1738

♦ XC5VLX220T-FF1738

♦ XC5VLX330T-FF1738

• SXT パッ ケージ

♦ XC5VSX35T-FF665

♦ XC5VSX50T-FF665

♦ XC5VSX50T-FF1136

♦ XC5VSX95T-FF1136

図 4-1 は、 これらの各図で使用し た命名規則を示し ています。 GTP_DUAL 配置名は、 デザイ ンでイ ン ス タ ンシエー ト し た GTP_DUAL タ イルをデバイ スの特定のタ イルにマ ッ プする際に UCF で使用された名前です。 ボード レベルのピ ン名およびピ ン番号は、 ISE デザイ ン フ ローで生成された PKG フ ァ イルで指定された ものです。 こ のフ ァ イルは、 通常、 ボード レベルの回路図キ ャプチャ ツールおよび配置ツールで、 コ ンポーネン ト シンボル と配置フ ッ ト プ リ ン ト を作成する場合に使用されます。

Page 59: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 59UG196 (v1.8.1) 2008 年 12 月 1 日

第 4 章 : インプ リ メ ンテーシ ョ ン R

図 4-1 : 配置図における命名規則

MGTAVCCPLL_116

MGTAVCC_116MGTAVCC_116

F3D4D3

E3F1E4E1

C3C1D1

B3G2G3F2

B2C2

GTP_DUAL_X0Y3

MGTAVTTTX_116MGTAVTTTX_116

MGTAVTTRX_116

MGTTXN0_116MGTTXP0_116

MGTTXN1_116MGTTXP1_116

MGTRXN0_116MGTRXP0_116

MGTRXN1_116MGTRXP1_116

MGTREFCLKP_116MGTREFCLKN_116

UG196_c4_01_102006

GTP_DUAL

Page 60: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

60 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

パッ ケージにおける配置情報R

図 4-2 : XC5VLX20T-FF323 および XC5VLX30T-FF323 での GTP 配置

MGTAVCC_112

MGTAVCC_114

MGTAVCCPLL_112

MGTAVCCPLL_114

E4C3C4

D3E1D1

B3B1C1

F3F2E2

A2B2

L4J3J4

K3L1K1

H3H1J1

M3M2L2

G2H2

XC5VLX20T: GTP_DUAL_X0Y2XC5VLX30T: GTP_DUAL_X0Y2

XC5VLX20T: GTP_DUAL_X0Y1XC5VLX30T: GTP_DUAL_X0Y1

MGTAVTTTX_114

MGTAVTTRX_114

MGTAVTTTX_112

MGTAVTTRX_112

MGTTXN0_114

MGTTXP0_114

MGTTXN1_114MGTTXP1_114

MGTRXN0_114MGTRXP0_114

MGTRXN1_114MGTRXP1_114

MGTREFCLKP_114MGTREFCLKN_114

MGTTXN0_112

MGTTXP0_112

MGTTXN1_112MGTTXP1_112

MGTRXN0_112MGTRXP0_112

MGTRXN1_112MGTRXP1_112

MGTREFCLKP_112MGTREFCLKN_112

UG196_c4_08_112907

Page 61: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 61UG196 (v1.8.1) 2008 年 12 月 1 日

第 4 章 : インプ リ メ ンテーシ ョ ン R

図 4-3 : XC5VLX30T-FF665、 XC5VLX50T-FF665、 XC5VSX35T-FF665、 および XC5VSX50T-FF665 での GTP 配置

MGTAVCCPLL_116

MGTAVCC_116MGTAVCC_116

MGTAVCC_112MGTAVCC_112

MGTAVCC_114MGTAVCC_114

MGTAVCC_118MGTAVCC_118

MGTAVCCPLL_112

MGTAVCCPLL_114

MGTAVCCPLL_118

F3D4D3

E3F1E4E1

C3C1D1

B3G2G3F2

B2C2

M3K4K3

L3M1L4L1

J3J1K1

H3N2N3M2

H2J2

V3T4T3

U3V1U4U1

R3R1T1

P3W2W3V2

P2R2

XC5VLX30T: GTP_DUAL_X0Y3XC5VLX50T: GTP_DUAL_X0Y4XC5VSX35T: GTP_DUAL_X0Y3XC5VSX50T: GTP_DUAL_X0Y4

XC5VLX30T: GTP_DUAL_X0Y2XC5VLX50T: GTP_DUAL_X0Y3XC5VSX35T: GTP_DUAL_X0Y2XC5VSX50T: GTP_DUAL_X0Y3

XC5VLX30T: GTP_DUAL_X0Y1XC5VLX50T: GTP_DUAL_X0Y2XC5VSX35T: GTP_DUAL_X0Y1XC5VSX50T: GTP_DUAL_X0Y2

XC5VLX30T: GTP_DUAL_X0Y0XC5VLX50T: GTP_DUAL_X0Y1XC5VSX35T: GTP_DUAL_X0Y0XC5VSX50T: GTP_DUAL_X0Y1

AD3AB4AB3

AC3AD1AC4AC1

AA3AA1AB1

AE3AE2MGTAVTTTX_118MGTAVTTTX_118

MGTAVTTRX_118

MGTAVTTTX_114MGTAVTTTX_114

MGTAVTTRX_114

MGTAVTTTX_112MGTAVTTTX_112

MGTAVTTRX_112

MGTAVTTTX_116MGTAVTTTX_116

MGTAVTTRX_116

Y3AD2

Y2MGTTXN0_118

MGTTXP0_118

MGTTXN1_118

MGTTXP1_118

MGTRXN0_118

MGTRXP0_118

MGTRXN1_118

MGTRXP1_118

MGTREFCLKP_118MGTREFCLKN_118

MGTTXN0_114

MGTTXP0_114

MGTTXN1_114MGTTXP1_114

MGTRXN0_114MGTRXP0_114

MGTRXN1_114MGTRXP1_114

MGTREFCLKP_114MGTREFCLKN_114

MGTTXN0_112

MGTTXP0_112

MGTTXN1_112MGTTXP1_112

MGTRXN0_112MGTRXP0_112

MGTRXN1_112MGTRXP1_112

MGTREFCLKP_112MGTREFCLKN_112

MGTTXN0_116MGTTXP0_116

MGTTXN1_116MGTTXP1_116

MGTRXN0_116MGTRXP0_116

MGTRXN1_116MGTRXP1_116

MGTREFCLKP_116MGTREFCLKN_116

AA2

UG196_c4_02_110807

Page 62: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

62 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

パッ ケージにおける配置情報R

図 4-4 : XC5VLX50T-FF1136、 XC5VLX85T-FF1136、 XC5VLX110T-FF1136、 XC5VSX50T-FF1136、

および XC5VSX95T-FF1136 での GTP 配置 (1/2 ページ)

D3 MGTAVCCPLL_120E4 MGTREFCLKP_120

XC5VLX50T: GTP_DUAL_X0Y5XC5VLX85T: GTP_DUAL_X0Y5XC5VLX110T: GTP_DUAL_X0Y6XC5VLX155T: GTP_DUAL_X0Y6XC5VSX50T: GTP_DUAL_X0Y5XC5VSX95T: GTP_DUAL_X0Y6

D4 MGTREFCLKN_120

D5 MGTAVCC_120F4 MGTAVCC_120

D1 MGTRXP1_120C1 MGTRXN1_120

C3 MGTAVTTRX_120A3 MGTRXP0_120A2 MGTRXN0_120

C4 MGTAVTTTX_120E3 MGTAVTTTX_120

E2 MGTTXP1_120D2 MGTTXN1_120

B4 MGTTXP0_120B3 MGTTXN0_120

C6 MGTAVCCPLL_124D8 MGTREFCLKP_124

XC5VLX50T: Not AvailableXC5VLX85T: Not AvailableXC5VLX110T: GTP_DUAL_X0Y7XC5VLX155T: GTP_DUAL_X0Y7XC5VSX50T: Not AvailableXC5VSX95T: GTP_DUAL_X0Y7

C8 MGTREFCLKN_124

C7 MGTAVCC_124D7 MGTAVCC_124

A6 MGTRXP1_124A7 MGTRXN1_124

C9 MGTAVTTRX_124A9 MGTRXP0_124A8 MGTRXN0_124

C10 MGTAVTTTX_124C5 MGTAVTTTX_124

B5 MGTTXP1_124B6 MGTTXN1_124

B10 MGTTXP0_124B9 MGTTXN0_124

K3 MGTAVCCPLL_116H4 MGTREFCLKP_116

XC5VLX50T: GTP_DUAL_X0Y4XC5VLX85T: GTP_DUAL_X0Y4XC5VLX110T: GTP_DUAL_X0Y5XC5VLX155T: GTP_DUAL_X0Y5XC5VSX50T: GTP_DUAL_X0Y4XC5VSX95T: GTP_DUAL_X0Y5

H3 MGTREFCLKN_116

J3 MGTAVCC_116J4 MGTAVCC_116

K1 MGTRXP1_116J1 MGTRXN1_116

G3 MGTAVTTRX_116G1 MGTRXP0_116H1 MGTRXN0_116

F3 MGTAVTTTX_116L3 MGTAVTTTX_116

L2 MGTTXP1_116K2 MGTTXN1_116

F2 MGTTXP0_116G2 MGTTXN0_116

T3 MGTAVCCPLL_112P4 MGTREFCLKP_112

XC5VLX50T: GTP_DUAL_X0Y3XC5VLX85T: GTP_DUAL_X0Y3XC5VLX110T: GTP_DUAL_X0Y4XC5VLX155T: GTP_DUAL_X0Y4XC5VSX50T: GTP_DUAL_X0Y3XC5VSX95T: GTP_DUAL_X0Y4

P3 MGTREFCLKN_112

R3 MGTAVCC_112R4 MGTAVCC_112

T1 MGTRXP1_112R1 MGTRXN1_112

N3 MGTAVTTRX_112N1 MGTRXP0_112P1 MGTRXN0_112

U3 MGTAVTTTX_112M3 MGTAVTTTX_112

U2 MGTTXP1_112T2 MGTTXN1_112

M2 MGTTXP0_112N2 MGTTXN0_112

UG196_c4_03_112907

Page 63: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 63UG196 (v1.8.1) 2008 年 12 月 1 日

第 4 章 : インプ リ メ ンテーシ ョ ン R

図 4-5 : XC5VLX50T-FF1136、 XC5VLX85T-FF1136、 XC5VLX110T-FF1136、 XC5VSX50T-FF1136、

および XC5VSX95T-FF1136 での GTP 配置 (2/2 ページ)

AH3 MGTAVCCPLL_118AF4 MGTREFCLKP_118

XC5VLX50T: GTP_DUAL_X0Y1XC5VLX85T: GTP_DUAL_X0Y1XC5VLX110T: GTP_DUAL_X0Y2XC5VLX155T: GTP_DUAL_X0Y2XC5VSX50T: GTP_DUAL_X0Y1XC5VSX95T: GTP_DUAL_X0Y2

AF3 MGTREFCLKN_118

AG3 MGTAVCC_118AG4 MGTAVCC_118

AH1 MGTRXP1_118AG1 MGTRXN1_118

AE3 MGTAVTTRX_118AE1 MGTRXP0_118AF1 MGTRXN0_118

AD3 MGTAVTTTX_118AJ3 MGTAVTTTX_118

AJ2 MGTTXP1_118AH2 MGTTXN1_118

AD2 MGTTXP0_118AE2 MGTTXN0_118

AB3 MGTAVCCPLL_114Y4 MGTREFCLKP_114

XC5VLX50T: GTP_DUAL_X0Y2XC5VLX85T: GTP_DUAL_X0Y2XC5VLX110T: GTP_DUAL_X0Y3XC5VLX155T: GTP_DUAL_X0Y3XC5VSX50T: GTP_DUAL_X0Y2XC5VSX95T: GTP_DUAL_X0Y3

Y3 MGTREFCLKN_114

AA3 MGTAVCC_114AA4 MGTAVCC_114

AB1 MGTRXP1_114AA1 MGTRXN1_114

W3 MGTAVTTRX_114W1 MGTRXP0_114Y1 MGTRXN0_114

AC3 MGTAVTTTX_114V3 MGTAVTTTX_114

AC2 MGTTXP1_114AB2 MGTTXN1_114

V2 MGTTXP0_114W2 MGTTXN0_114

AM4 MGTAVCCPLL_122AL5 MGTREFCLKP_122

XC5VLX50T: GTP_DUAL_X0Y0XC5VLX85T: GTP_DUAL_X0Y0XC5VLX110T: GTP_DUAL_X0Y1XC5VLX155T: GTP_DUAL_X0Y1XC5VSX50T: GTP_DUAL_X0Y0XC5VSX95T: GTP_DUAL_X0Y1

AL4 MGTREFCLKN_122

AJ4 MGTAVCC_122AK5 MGTAVCC_122

AP3 MGTRXP1_122AP2 MGTRXN1_122

AL3 MGTAVTTRX_122AL1 MGTRXP0_122AM1 MGTRXN0_122

AK3 MGTAVTTTX_122AM3 MGTAVTTTX_122

AN4 MGTTXP1_122AN3 MGTTXN1_122

AK2 MGTTXP0_122AL2 MGTTXN0_122

AM9 MGTAVCCPLL_126AL7 MGTREFCLKP_126

XC5VLX50T: Not AvailableXC5VLX85T: Not AvailableXC5VLX110T: GTP_DUAL_X0Y0XC5VLX155T: GTP_DUAL_X0Y0XC5VSX50T: Not AvailableXC5VSX95T: GTP_DUAL_X0Y0

AM7 MGTREFCLKN_126

AL8 MGTAVCC_126AM8 MGTAVCC_126

AP9 MGTRXP1_126AP8 MGTRXN1_126

AM6 MGTAVTTRX_126AP6 MGTRXP0_126AP7 MGTRXN0_126

AM10 MGTAVTTTX_126AM5 MGTAVTTTX_126

AN10 MGTTXP1_126AN9 MGTTXN1_126

AN5 MGTTXP0_126AN6 MGTTXN0_126

UG196_c4_04_112907

Page 64: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

64 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

パッ ケージにおける配置情報R

図 4-6 : XC5VLX110T-FF1738、 XC5VLX155T-FF1738、 XC5VLX220T-FF1738、

および XC5VLX330T-FF1738 での GTP 配置 (1/3 ページ)

H3

MGTAVCCPLL_128D10 MGTREFCLKP_128

XC5VLX110T: Not AvailableXC5VLX155T: Not AvailableXC5VLX220T: Not AvailableXC5VLX330T: GTP_DUAL_X0Y10

C10 MGTREFCLKN_128

G3

MGTAVCC_128

G4

MGTAVCC_128A8 MGTRXP1_128A9 MGTRXN1_128

E3

MGTAVTTRX_128A11 MGTRXP0_128A10 MGTRXN0_128

D3

MGTAVTTTX_128

J3

MGTAVTTTX_128B7 MGTTXP1_128B8 MGTTXN1_128

B12 MGTTXP0_128B11 MGTTXN0_128

C2

MGTAVCCPLL_132D16 MGTREFCLKP_132

XC5VLX110T: Not AvailableXC5VLX155T: Not AvailableXC5VLX220T: Not AvailableXC5VLX330T: GTP_DUAL_X0Y11

C16 MGTREFCLKN_132

D4

C15 MGTAVCC_132

D5

MGTAVCC_132A14 MGTRXP1_132A15 MGTRXN1_132

C5

MGTAVTTRX_132A17 MGTRXP0_132A16 MGTRXN0_132

C1

MGTAVTTTX_132

C6

C8

C9D9

C11

C12C7

C14

D15

C17

C13C18 MGTAVTTTX_132

B13 MGTTXP1_132B14 MGTTXN1_132

B18 MGTTXP0_132B17

F4F3

H1G1

E1F1

J2H2

D2E2

C4C3

A2A3

A5A4

B1B2

B6B5

MGTTXN0_132

MGTAVCCPLL_120MGTREFCLKP_120MGTREFCLKN_120

MGTAVCC_120MGTAVCC_120

MGTRXP1_120MGTRXN1_120

MGTAVTTRX_120MGTRXP0_120MGTRXN0_120

MGTAVTTTX_120MGTAVTTTX_120

MGTTXP1_120MGTTXN1_120

MGTTXP0_120MGTTXN0_120

MGTAVCCPLL_124MGTREFCLKP_124MGTREFCLKN_124

MGTAVCC_124MGTAVCC_124

MGTRXP1_124MGTRXN1_124

MGTAVTTRX_124MGTRXP0_124MGTRXN0_124

MGTAVTTTX_124MGTAVTTTX_124

MGTTXP1_124MGTTXN1_124

MGTTXP0_124MGTTXN0_124

XC5VLX110T: GTP_DUAL_X0Y7XC5VLX155T: GTP_DUAL_X0Y7XC5VLX220T: GTP_DUAL_X0Y7XC5VLX330T: GTP_DUAL_X0Y9

XC5VLX110T: GTP_DUAL_X0Y6XC5VLX155T: GTP_DUAL_X0Y6XC5VLX220T: GTP_DUAL_X0Y6XC5VLX330T: GTP_DUAL_X0Y8

UG196_c4_05_112707

Page 65: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 65UG196 (v1.8.1) 2008 年 12 月 1 日

第 4 章 : インプ リ メ ンテーシ ョ ン R

図 4-7 : XC5VLX110T-FF1738、 XC5VLX155T-FF1738、 XC5VLX220T-FF1738、

および XC5VLX330T-FF1738 での GTP 配置 (2/3 ページ)

XC5VLX110T: GTP_DUAL_X0Y4XC5VLX155T: GTP_DUAL_X0Y4XC5VLX220T: GTP_DUAL_X0Y4XC5VLX330T: GTP_DUAL_X0Y6

XC5VLX110T: GTP_DUAL_X0Y5XC5VLX155T: GTP_DUAL_X0Y5XC5VLX220T: GTP_DUAL_X0Y5XC5VLX330T: GTP_DUAL_X0Y7

P3 MGTAVCCPLL_116M4 MGTREFCLKP_116

XC5VLX110T: GTP_DUAL_X0Y3XC5VLX155T: GTP_DUAL_X0Y3XC5VLX220T: GTP_DUAL_X0Y3XC5VLX330T: GTP_DUAL_X0Y5

M3 MGTREFCLKN_116

N3 MGTAVCC_116N4 MGTAVCC_116

P1 MGTRXP1_116N1 MGTRXN1_116

L3 MGTAVTTRX_116L1 MGTRXP0_116M1 MGTRXN0_116

R3 MGTAVTTTX_116K3 MGTAVTTTX_116

R2 MGTTXP1_116P2 MGTTXN1_116

K2 MGTTXP0_116L2 MGTTXN0_116

Y3 MGTAVCCPLL_112V4 MGTREFCLKP_112

XC5VLX110T: GTP_DUAL_X0Y2XC5VLX155T: GTP_DUAL_X0Y2XC5VLX220T: GTP_DUAL_X0Y2XC5VLX330T: GTP_DUAL_X0Y4

V3 MGTREFCLKN_112

W3 MGTAVCC_112W4 MGTAVCC_112

Y1 MGTRXP1_112W1 MGTRXN1_112

U3 MGTAVTTRX_112U1 MGTRXP0_112V1 MGTRXN0_112

AA3 MGTAVTTTX_112T3 MGTAVTTTX_112

AA2 MGTTXP1_112Y2 MGTTXN1_112

T2 MGTTXP0_112U2 MGTTXN0_112

UG196_c4_06_112907

AM3 MGTAVCCPLL_118AK4 MGTREFCLKP_118AK3 MGTREFCLKN_118

AL3 MGTAVCC_118AL4 MGTAVCC_118

AM1 MGTRXP1_118AL1 MGTRXN1_118

AJ3 MGTAVTTRX_118AJ1 MGTRXP0_118AK1 MGTRXN0_118

AH3 MGTAVTTTX_118AN3 MGTAVTTTX_118

AN2 MGTTXP1_118AM2 MGTTXN1_118

AH2 MGTTXP0_118AJ2 MGTTXN0_118

AF3 MGTAVCCPLL_114AD4 MGTREFCLKP_114AD3 MGTREFCLKN_114

AE3 MGTAVCC_114AE4 MGTAVCC_114

AF1 MGTRXP1_114AE1 MGTRXN1_114

AC3 MGTAVTTRX_114AC1 MGTRXP0_114AD1 MGTRXN0_114

AB3 MGTAVTTTX_114AG3 MGTAVTTTX_114

AG2 MGTTXP1_114AF2 MGTTXN1_114

AB2 MGTTXP0_114AC2 MGTTXN0_114

Page 66: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

66 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

パッ ケージにおける配置情報R

図 4-8 : XC5VLX110T-FF1738、 XC5VLX155T-FF1738、 XC5VLX220T-FF1738、

および XC5VLX330T-FF1738 での GTP 配置 (3/3 ページ)

XC5VLX110T: GTP_DUAL_X0Y0XC5VLX155T: GTP_DUAL_X0Y0XC5VLX220T: GTP_DUAL_X0Y0XC5VLX330T: GTP_DUAL_X0Y2

XC5VLX110T: GTP_DUAL_X0Y1XC5VLX155T: GTP_DUAL_X0Y1XC5VLX220T: GTP_DUAL_X0Y1XC5VLX330T: GTP_DUAL_X0Y3

XC5VLX110T: Not AvailableXC5VLX155T: Not AvailableXC5VLX220T: Not AvailableXC5VLX330T: GTP_DUAL_X0Y1

XC5VLX110T: Not AvailableXC5VLX155T: Not AvailableXC5VLX220T: Not AvailableXC5VLX330T: GTP_DUAL_X0Y0

AY11 MGTAVCCPLL_130AW9 MGTREFCLKP_130AY9 MGTREFCLKN_130

AY10 MGTAVCC_130AW10 MGTAVCC_130

BB11 MGTRXP1_130BB10 MGTRXN1_130

AY8 MGTAVTTRX_130BB8 MGTRXP0_130BB9 MGTRXN0_130

AY12 MGTAVTTTX_130AY7 MGTAVTTTX_130

BA12 MGTTXP1_130BA11 MGTTXN1_130

BA7 MGTTXP0_130BA8 MGTTXN0_130

AY17 MGTAVCCPLL_134AW15 MGTREFCLKP_134AY15 MGTREFCLKN_134

AY16 MGTAVCC_134AW16 MGTAVCC_134

BB17 MGTRXP1_134BB16 MGTRXN1_134

AY14 MGTAVTTRX_134BB14 MGTRXP0_134BB15 MGTRXN0_134

AY13 MGTAVTTTX_134AY18 MGTAVTTTX_134

BA18 MGTTXP1_134BA17 MGTTXN1_134

BA13 MGTTXP0_134BA14 MGTTXN0_134

AV3 MGTAVCCPLL_122AT4 MGTREFCLKP_122AT3 MGTREFCLKN_122

AU3 MGTAVCC_122AU4 MGTAVCC_122

AV1 MGTRXP1_122AU1 MGTRXN1_122

AR3 MGTAVTTRX_122AR1 MGTRXP0_122AT1 MGTRXN0_122

AP3 MGTAVTTTX_122AW3 MGTAVTTTX_122

AW2 MGTTXP1_122AV2 MGTTXN1_122

AP2 MGTTXP0_122AR2 MGTTXN0_122

AY5 MGTAVCCPLL_126AW4 MGTREFCLKP_126AY4 MGTREFCLKN_126

AW5 MGTAVCC_126AY3 MGTAVCC_126

BB5 MGTRXP1_126BB4 MGTRXN1_126

AY2 MGTAVTTRX_126BB2 MGTRXP0_126BB3 MGTRXN0_126

AY1 MGTAVTTTX_126AY6 MGTAVTTTX_126

BA6 MGTTXP1_126BA5 MGTTXN1_126

BA1 MGTTXP0_126BA2 MGTTXN0_126

UG196_c4_07_112907

Page 67: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 67UG196 (v1.8.1) 2008 年 12 月 1 日

R

第 5 章

タ イルの機能

はじめに

GTP タ イルの消費電力およびエ リ アを 小限にする ため、 重要な GTP 機能の多 く は 2 つの ト ラ ン

シーバ間で共有されています。 これらの機能には、 高速シ リ アル ク ロ ッ クの生成、 リ セ ッ ト 、 電力

制御、 およびダ イナ ミ ッ ク リ コ ンフ ィ ギュ レーシ ョ ンが含まれます。

GTP ト ラ ンシーバを使用するすべてのデザイ ンにおいて、適切な ク ロ ッ キングおよび リ セ ッ ト 動作

は非常に重要です。 本章では、 GTP_DUAL タ イルを コ ンフ ィ ギュ レーシ ョ ンに必要な次の手順に

ついて説明し ます。

• 共有 PMA PLL のレー ト 設定

• リ フ ァ レ ン ス ク ロ ッ クの ソース設定

• リ ン ク ア イ ド ル リ セ ッ ト 回路のイ ンプ リ メ ンテーシ ョ ン

RocketIO GTP Wizard を使用し て GTP_DUAL タ イルを コ ンフ ィ ギュ レーシ ョ ンする場合、これら

の手順は自動的に実行されます。

Page 68: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

68 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

共有 PMA PLLR

共有 PMA PLL

概要

こ こ では、 GTP_DUAL タ イルの共有 PMA PLL について説明し ます (図 5-1)。 各 GTP_DUAL に

は、 高性能の リ フ ァ レ ン ス ク ロ ッ ク (CLKIN) から高速シ リ アル ク ロ ッ ク を生成するための共有

PMA PLL が 1 つ含まれています。 こ のブロ ッ ク から の高速ク ロ ッ ク によ り 、 タ イル内の両 GTP ト

ラ ンシーバの TX および RX PMA ブロ ッ ク が駆動されます。

共有 PMA PLL は、 GTP_DUAL タ イルの両 ト ラ ンシーバで使用される高速ク ロ ッ ク (PLL ク ロ ッ

ク ) を生成し ます。 共有 PMA PLL のレー ト (PLL ク ロ ッ ク ) 設定後、 各 ト ラ ンシーバの TX および

RX ラ イ ン レー ト を決定する ため、 TX および RX 出力分周器 (名前の 後が _OUT と なっている

分周器) が設定されます。

ポー ト および属性

表 5-1 で、 共有 PMA PLL ポー ト について説明し ます。

図 5-1 : 共有 PMA PLL

PLL_TXDIVSEL_OUT_0(4) = [1,2,4]

PLL_TXDIVSEL_OUT_1(4) = [1,2,4]

PLL_TXDIVSEL_COMM_OUT(4) = [1,2,4]により分周

PMA PLL PLL

CLKIN =REFCLOCK

REFCLOCKOUT

PLLRESETPLLPOWERDOWNPLL_DIVSEL_FB(5) = [1,2,3,4,5]PLL_DIVSEL_REF = [1,2]INTDATAWIDTH

GTP0 RX x2

GTP0 RX

GTP0 TX

GTP1 TX

GTP1 TX

GTP0 TX

GTP1 RX

GTP1 RX

/W(3)

x2(1)

x2(1)

/W(3)

x2(2)

/W(3)PLL_RXDIVSEL_OUT_1 = [1,2,4]

PLL_RXDIVSEL_OUT_0 = [1,2,4]

x2(2)

UG196_c5_01_010908

メ モ :

1. 各レシーバの SIPO (Serial In Parallel Out) ブロ ッ ク では、 高速ク ロ ッ ク の両エ ッ ジが使用されます。 し たがって、 有効な RX シ リ アル ク ロ ッ ク レー ト は PLL Clock/PLL_RXDIVSEL_OUT_n の 2 倍 と な り ます。

2. 各 ト ラ ン ス ミ ッ タの PISO (Parallel In Serial Out) ブロ ッ ク では、 高速ク ロ ッ ク の両エ ッ ジが使用されます。 しがたって、 有効な TX シ リ アル ク ロ ッ ク レー ト は PLL Clock/[PLL_TXDIVSEL_OUT_n, PLL_TXDIVSEL_COMM_OUT] の 2 倍 と な り ます。

3. パラ レル ク ロ ッ ク レー ト は、 内部のデータパス幅と一致する よ う 分周されます。 INTDATAWIDTH = 0 (8 ビ ッ ト 内部幅) の と きは W = 4、 INTDATAWIDTH = 1 (10 ビ ッ ト 内部幅) の と きは W = 5 です。

4. ループバッ ク モード 別の属性設定の詳細は、 第 9 章 の 「ループバ ッ ク」 を参照し て く だ さ い。

5. INTDATAWIDTH = 0 の と き PLL_DIVSEL_FB は 1、 2、 または 4 にのみ設定可能です。 PLL_DIVSEL_FB = 1 の場合は PCS_COM_CFG を 28’h1680A07 に指定し て く だ さ い。 それ以外の場合、 28’h1680A0E (デフ ォル ト ) に設定し ます。

Page 69: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 69UG196 (v1.8.1) 2008 年 12 月 1 日

第 5 章 : タ イルの機能 R

表 5-2 で、 共有 PMA PLL の属性について説明し ます。

表 5-1 : 共有 PMA PLL ポー ト

ポー ト 方向 ド メ イ ン 説明

CLKIN 入力 非同期共有 PMA PLL への リ フ ァ レ ンス ク ロ ッ ク入力。 これ以外に可能な駆動方法の

詳細は、 76 ページの 「ク ロ ッ キング」 を参照し て く ださ い。

INTDATAWIDTH 入力 非同期GTP_DUAL タ イ ルの内部デー タ パ ス 幅を指定 し ます。 Low に設定す る と 8 ビ ッ ト 幅、 High に設定する と 10 ビ ッ ト 幅にな り ます。

PLLLKDET 出力 非同期

High の と き、 VCO レー ト が目的のレー ト において許容可能な範囲内であ る こ

と を示し ます。 こ の条件が満た さ れる まで、 タ イ ル内のいずれの GTP ト ラ ン

シーバの動作も安定し ません。

PLLLKDETEN 入力 非同期 PLL のロ ッ ク 検出をイ ネーブルにし ま す。常に High に接続する 必要があり ま す。

REFCLKOUT 出力 非同期

各 GTP_DUAL タ イルの REFCLKOUT ポー ト は、 共有 PMA PLL に入力され

ている リ フ ァ レ ン ス ク ロ ッ ク (CLKIN) への直接ア ク セス を提供し ます。 FPGA ロジ ッ ク で使用するための配線も可能です。

表 5-2 : 共有 PMA PLL の属性

属性 説明

PCS_COM_CFG[27:0](1) PLL_DIVSEL_FB = 1 の と き、 PCS_COM_CFG は 28’h1680A07 に設定し ます。 それ

以外の場合は 28’h1680A0E (デフ ォル ト ) に設定し ます。

PLL_DIVSEL_FB

フ ィ ードバッ ク 分周器を制御し ます。有効な設定値は 1、2、3、4、5 です。INTDATAWIDTH で指定された内部データパスの幅によ り 、 4 あ るいは 5 で乗算されます。

INTDATAWIDTH が Low の と き、 フ ィ ード バッ ク分周器 N は PLL_DIVSEL_FB x 4 に設定され、 INTDATAWIDTH が High の と き、 N は PLL_DIVSEL_FB x 5 に設定さ

れます。

PLL_DIVSEL_REF リ フ ァ レ ンス ク ロ ッ ク分周器を制御し ます。 有効な設定値は 1 および 2 です。

PLL_RXDIVSEL_OUT_0

PLL_RXDIVSEL_OUT_1

高速 RX ク ロ ッ ク を生成する ために PLL ク ロ ッ ク を分周し ます。 ク ロ ッ クの両エ ッ ジ

が使用されるので、分周された ク ロ ッ クは目的の RX ラ イ ン レー ト の 1/2 の速度で動作

する必要があ り ます。 設定可能な値は 1、 2、 4 です。 詳細は、 150 ページの 「Serial In Parallel Out」 を参照し て く ださい。

PLL_TXDIVSEL_COMM_OUT

高速 TX ク ロ ッ ク を生成する ために PLL ク ロ ッ ク を分周し ます。 ク ロ ッ クの両エ ッ ジ

が使用されるので、分周された ク ロ ッ クは目的の TX ラ イ ン レー ト の 1/2 の速度で動作

する必要があ り ます。 有効な設定値は 1、 2、 4 です。 こ の分周器は両方の GTP ト ラ ン

シーバにク ロ ッ ク を供給し、これらの ト ラ ンシーバに同一の分周値が必要な場合に使用

すます。 PLL_TXDIVSEL_COMM_OUT を使用する場合、 PLL_TXDIVSEL _OUT は 1 に設定する必要があ り ます。 詳細は、 119 ページの 「Parallel In Serial Out」

を参照し て く ださい。

Page 70: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

70 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

共有 PMA PLLR

説明

GTP_DUAL タ イルを使用する場合、まず 初に共有 PMA PLL 出力 (PLL ク ロ ッ ク ) のレー ト を設

定し ます。 こ のレー ト は、 各 GTP ト ラ ンシーバで適切なシ リ アル ラ イ ン レー ト および対応するパ

ラ レル ク ロ ッ ク レー ト を生成するのに使用可能な値にし ます。 両 GTP の TX および RX ブロ ッ ク

には、 PLL ク ロ ッ ク を 1、 2、 または 4 で分周でき る独立し た分周器が備わっています。 この分周器

によ り 、各 GTP ト ラ ンシーバの TX および RX ブロ ッ クは整数倍の関係を持つ、 さ まざま レー ト で

動作でき ます。

PLL の ク ロ ッ クは、独立し た分周器に入力される前に、必要な ラ イ ン レー ト の 1/2 のレー ト に設定

する必要があ り ます。 た と えば、 GTP0 で必要な RX ラ イ ン レー ト が 2.5Gb/s の場合、 GTP RX ブ

ロ ッ クにあ る分周器は 1 に設定し、 PLL ク ロ ッ ク を 1.25GHz にする必要があ り ます。

共有 PMA PLL には、 通常動作範囲があ り ます。 限界条件を含む、 共有 PMA PLL の動作範囲は

『Virtex-5 データシー ト : DC 特性およびス イ ッチ特性』 に記載されています。 PLL ク ロ ッ クは、 こ

の範囲内で動作する よ う 設定し て く だ さい。 式 5-1 に、 CLKIN ( リ フ ァ レ ンス ク ロ ッ ク )、 PLL_ DIVSEL_FB、PLL_DIVSEL_REF、および INTDATAWIDTH に基づき、PLL ク ロ ッ ク を算出する

方法を示し ます。 PLL_DIVSEL_FB および PLL_DIVSEL_REF は PLL 内部の分周器を制御し ま

す。 INTDATAWIDTH は、 GTP_DUAL タ イル全体の内部パラ レル データ幅を制御し ます。

式 5-1 に、 PLL ク ロ ッ ク レー ト の算出方法を示し ます。

式 5-1

式 5-1 には、 次の条件が適用されます。

• PLL_DIVSEL_REF = [1、 2]

• PLL_DIVSEL_FB = [1、 2、 3、 4、 5]。 ただし、 INTDATAWIDTH が Low の と きは、 3 およ

び 5 は設定不可

PLL_DIVSEL_FB = 1 の と き、 PCS_COM_CFG は 28’h1680A07 に設定。 それ以外の場合は

28’h1680A0E (デフ ォル ト ) に設定

• OVERSAMPLE_MODE が TRUE の と き、 INTDATAWIDTH の設定に関わらず DIV = 5

• OVERSAMPLE_MODE が FALSE の と き、 INTDATAWIDTH が Low の場合は DIV = 4、

High の場合は DIV = 5

PLL_TXDIVSEL_OUT_0

PLL_TXDIVSEL_OUT_1

高速 TX ク ロ ッ ク を生成する ために PLL ク ロ ッ ク を分周し ます。 ク ロ ッ クの両エ ッ ジ

が使用されるので、分周された ク ロ ッ クは目的の TX ラ イ ン レー ト の 1/2 の速度で動作

する必要があ り ます。 有効な設定値は 1、 2、 4 です。 各 GTP ト ラ ンシーバに、 それぞ

れの PLL_TXDIVSEL_OUT があり ま す。 ト ラ ンシーバで別の分周器が必要な場合、

PLL_TXDIVSEL_COMM_OUT の代わ り に、 これらの属性を使用し て PLL_ TXDIVSEL_COMM_OUT を 1 に設定する必要があ り ます。 詳細は、 119 ページの

「Parallel In Serial Out」 を参照し て く だ さい。 TX バッ フ ァ をバイパスする と きは、

PLL_TXDIVSEL_OUT_0 および PLL_TXDIVSEL_OUT_1 を 1 にする必要があ り ま

す。 詳細は、 115 ページの 「TX 位相ア ラ イ メ ン ト 回路を使用し た TX バッ フ ァ のバイ

パス」 を参照し て く ださ い。

メ モ :

1. こ の属性は、 ISE のバージ ョ ン 9.2i 以降では GTP_DUAL イ ン ス タ ン スに含まれます。 デフ ォル ト 以外の値で使用する場合、 以前のバージ ョ ンの ISE ツールでは UCF (ユーザー制約フ ァ イル) で設定する必要があ り ます。

表 5-2 : 共有 PMA PLL の属性

属性 説明

fPLL Clock fCLKINPLL_DIVSEL_FB DIV×

PLL_DIVSEL_REF-------------------------------------------------------------------×=

Page 71: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 71UG196 (v1.8.1) 2008 年 12 月 1 日

第 5 章 : タ イルの機能 R

• PLL ク ロ ッ ク周波数範囲は、 『Virtex-5 データ シー ト : DC 特性およびス イ ッチ特性』 で指定

• 分周値には可能な限 り 小の値を選択

プロ グ ラ ム可能な分周器では、 多様な規格がサポー ト されます。

図 5-2 に、 PLL ク ロ ッ ク を生成する共有 PMA PLL の観念的な図を示し ます。

表 5-3 は、 い く つかの標準プロ ト コルの設定例です。 表 5-3 に示す PLL ク ロ ッ ク周波数値は、

『Virtex-5 データ シー ト : DC 特性およびス イ ッ チ特性』 で指定された共有 PMA PLL の動作範囲内

にあ り ます。

図 5-2 : 共有 PMA PLL 図

DIV

UG196_c5_02_120507

PLL_DIVSEL_FB[1,2,3,4,5](1)

(PFD)

PLL_DIVSEL_REF

F(s) VCOPLL

REFCLKOUT

CLKIN

メ モ :

1. INTDATAWIDTH が Low の と き、 PLL_DIVSEL_FB に設定可能な値は 1、 2、 または 4 のみです。

表 5-3 : 通信規格

規格

ラ イ ン レー ト [Gb/s]

TX/RX USRCLK

周波数[MHz]

TX/RX USRCLK2 の周波数 [MHz] リ フ ァ レ ン ス

ク ロ ッ ク

周波数REFCLK

[MHz]

PLL ク ロ ッ ク

周波数 [GHz]

リ フ ァ レ ン ス ク ロ ッ ク

分周器の設定 PLL_DIVSEL

_REF

フ ィ ー ド

バッ ク ループ

分周器の設定 PLL_DIVSEL

_FB

分周器の設定PLL_RXDIVSEL

_OUT_(0/1) PLL_TXDIVSEL

_OUT_(0/1) PLL_TXDIVSE_COMM_OUT(3)

1 バイ ト

ロ ジ ッ ク イ ン ター

フ ェース(1)

2 バイ ト

ロ ジ ッ ク イ ン ター

フ ェ イ ス(2)

INTDATAWIDTH が High (10 ビ ッ ト の内部データパス) → (DIV = 5)

FC2 2.125 212.5 212.5 106.25 212.5 1.0625 1 1 1

FC1 1.0625 106.25 106.25 53.125 106.25 1.0625 1 2 2

XAUI 3.125 312.5 312.5 156.25 156.25 1.5625 1 2 1

10G BASE-CX4 3.125 312.5 312.5 156.25 156.25 1.5625 1 2 1

GigE 1.25 125 125 62.5 125 1.25 1 2 2

Aurora

3.75(4)(5) 375 375 187.5 187.5 1.875 1 2 1

2.5 250 250 125 125 1.25 1 2 1

1.25 125 125 62.5 125 1.25 1 2 2

シ リ アル RapidIO

3.125 312.5 312.5 156.25 156.25 1.5625 1 2 1

2.5 250 250 125 125 1.25 1 2 1

1.25 125 125 62.5 125 1.25 1 2 2

Page 72: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

72 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

共有 PMA PLLR

共有 PMA PLL へ CLKIN を供給する方法の詳細は、 76 ページの 「ク ロ ッ キング」 を参照し て く だ

さ い。

SATA Generation 2

3 300 300 150 150 1.5 1 2 1

SATA Generation 1(6) 1.5 150 150 75 150 1.5 1 2 2

PCI Express 2.5 250 250 125 100 1.25 2 5 1

イ ンフ ィ ニバンド 2.5 250 250 125 125 1.25 1 2 1

HD-SDI(7) 1.485 148.5 148.5 74.25 148.5 1.485 1 2 2

3G-SDI 2.970 148.5 297.0 148.5 148.5 1.485 1 2 1

CPRI(8)

2.4576 245.76 245.76 122.88 122.88 1.2288 1 2 1

1.2288 122.88 122.88 61.44 122.88 1.2288 1 2 2

0.6144 61.44 61.44 30.72 122.88 1.2288 1 2 4

OBSAI(8)1.536 153.6 153.6 76.8 153.6 1.536 1 2 2

0.768 76.8 76.8 38.4 153.6 1.536 1 2 4

SFI-5 3.125 312.5 312.5 156.25 156.25 1.5625 1 2 1

TFI-5 3.1104 311.04 311.04 155.52 155.52 1.5552 1 2 1

INTDATAWIDTH が Low (8 ビ ッ ト の内部データパス) → (DIV = 4)

OC12 0.62208 77.76 77.76 38.88 155.52 1.24416 1 2 4

OC48 2.488 311.04 311.04 155.52 155.52 1.24416 1 2 1

SFI-5(4) 2.488 311.04 311.04 155.52 155.52 1.24416 1 2 1

SPI-5(4) 2.488 311.04 311.04 155.52 155.52 1.24416 1 2 1

TFI-5(4) 2.488 311.04 311.04 155.52 155.52 1.24416 1 2 1

メ モ : 1. 1 バイ ト (8 または 10 ビ ッ ト ) のユーザー イ ン ターフ ェ イ ス。 RXDATAWIDTH および TXDATAWIDTH を Low に駆動し、

RX/TXUSRCLK2 レー ト を RX/TXUSRCLK レー ト と 同一に設定し ます。

2. 2 バイ ト (16 または 20ビ ッ ト ) のユーザー イ ン ターフ ェ イ ス。 RXDATAWIDTH および TXDATAWIDTH を High に駆動し、RX/TXUSRCLK2 レー ト を RX/TXUSRCLK レー ト の半分の値に設定し ます。

3. 分周器設定の詳細は、 119 ページの 「Parallel In Serial Out」 および150 ページの 「Serial In Parallel Out」 を参照し て く だ さ い。

4. 大データ レー ト です。

5. 3.5Gb/s 以上のデータ レー ト には、 2 バイ ト の内部ロ ジ ッ ク イ ン ターフ ェ イ スが必要です。

6. GTP レ シーバを スペク ト ラ ム拡散信号 と 接続する と きは、 PLL_RXDIVSEL_OUT 属性を 1 に設定し て、 2Gb/s を超え る ラ イ ンレー ト にスペク ト ラ ム拡散ク ロ ッ キングを使用する間に受信可能な ラ イ ン レー ト を制限する必要があ り ます。

7. その他の周波数は 0.1% 低 く なっています。

8. 同期システムです。

表 5-3 : 通信規格 (続き)

規格

ラ イ ン レー ト [Gb/s]

TX/RX USRCLK

周波数

[MHz]

TX/RX USRCLK2 の周波数 [MHz] リ フ ァ レ ン ス

ク ロ ッ ク

周波数

REFCLK[MHz]

PLL ク ロ ッ ク

周波数 [GHz]

リ フ ァ レ ン ス ク ロ ッ ク

分周器の設定 PLL_DIVSEL

_REF

フ ィ ー ド

バッ ク ループ

分周器の設定 PLL_DIVSEL

_FB

分周器の設定

PLL_RXDIVSEL_OUT_(0/1)

PLL_TXDIVSEL_OUT_(0/1)

PLL_TXDIVSE_COMM_OUT(3)

1 バイ ト

ロ ジ ッ ク イ ン ター

フ ェース(1)

2 バイ ト

ロ ジ ッ ク イ ン ター

フ ェ イ ス(2)

Page 73: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 73UG196 (v1.8.1) 2008 年 12 月 1 日

第 5 章 : タ イルの機能 R

XAUI を使用する場合の共有 PMA PLL のコ ン フ ィ ギュ レーシ ョ ン

XAUI 用に共有 PMA PLL を コ ンフ ィ ギュ レーシ ョ ンするには、 3 つの方法があ り ます。

1. RocketIO GTP Transceiver Wizard を使用し ます。

ウ ィ ザード には、 GTP_DUAL プ リ ミ テ ィ ブを XAUI デザイ ンで使用でき る よ う 、 自動的にコ

ンフ ィ ギュ レーシ ョ ンする XAUI 用のプロ ト コル フ ァ イルが含まれます。

2. 表 5-3 の設定を使用し ます。

表 5-3 には、 使用頻度の高いプロ ト コルの一般的なコ ンフ ィ ギュ レーシ ョ ン設定が含まれてい

ます。 この表 5-3 には、 XAUI の設定および 8B/10B エン コード を使用するその他のプロ ト コ

ルの設定も記載されています。

3. 次に示す手順で式 5-1 を使用し ます。

a. ラ イ ン レー ト を決定し ます。

XAUI の場合、 TX および RX のラ イ ン レー ト は共に 3.125Gb/s です。

b. 内部データパス幅を決定し ます。

XAUI は 8B/10B エン コード規格であ るため、 10 ビ ッ ト の内部データパス幅が必要です。

エン コード エン コードおよび内部データパス幅の要件の詳細は、 106 ページの 「コ ンフ ィ

ギャブル 8B/10B エン コーダ」 および 167 ページの「コ ンフ ィ ギャブル 8B/10B デコーダ」

を参照し て く ださ い。

c. リ フ ァ レ ン ス ク ロ ッ ク レー ト を決定し ます。

こ の例では、XAUI で一般的に使用される 156.25MHz の リ フ ァ レ ン ス ク ロ ッ ク レー ト を

使用し ます。

d. PLL ク ロ ッ ク レー ト を算出し ます。

SIPO (Serial In to Parallel Out) ブロ ッ ク ではデータ のデシリ アラ イ ズにク ロ ッ ク の両エッ ジを

使用する ため、 3.125/2 = 1.5625GHz で動作する ク ロ ッ ク が入力さ れる 必要があり ます。 RX のレート 、1.5625GHz は PLL の動作範囲内である ため、外部分周値 (PLL_RXDIVSEL_OUT) は 1 です。 し たがって、 PLL の ク ロ ッ ク レー ト は 1.5625 x 1 = 1.5625GHz と な り ます。

e. DIV 値を算出し ます。

内部データパス幅は 10 ビ ッ ト (INTDATAWIDTH は High) であ るため、 DIV = 5 です。

f. PLL の分周器の比率を算出し ます。

上で求めた fCLKIN、DIV、および fPLL_CLOCK の値を使用し、式 5-2 に示すよ う に、式 5-1 を移項し て分周比率を求めます。 結果は 2 と な り ます。

式 5-2

g. PLL の分周器の値を選択し ます。

小と なる分周器の値を選択し て目的の PLL の分周比率を求めます。 こ こ では、

PLL_DIVSEL_FB = 2 および PLL_DIVSEL_REF = 1 を使用し た結果、 2 と な り ます。

PLL_DIVSEL_FBPLL_DIVSEL_REF---------------------------------------------------------

fPLL_ClockfCLKIN DIV×--------------------------------------- 1.565 GHz

156.25 MHz 5×------------------------------------------- 2===

Page 74: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

74 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

共有 PMA PLLR

OC-48 を使用する場合の共有 PMA PLL のコ ン フ ィ ギュ レーシ ョ ン

こ の例では、 式 5-1 を使用し て OC-48 用に共有 PMA PLL を設定する方法を示し ます。 RocketIO GTP Transceiver Wizard および表 5-3 を使用する と、設定がよ り 簡潔にな り ます。 こ こ では、式 5-1 を使用する場合についてのみ説明し ます。

次に示す手順で式 5-1 を使用し ます。

1. ラ イ ン レー ト を決定し ます。

OC-48 の場合、 TX および RX のラ イ ン レー ト は共に 2.488Gb/s です。

2. 内部データパス幅を決定し ます。

OC-48 はエン コード を使用せず、 8 ビ ッ ト の倍数のデータパス を使用する ため、 8 ビ ッ ト の内

部データパス幅が必要です。

3. リ フ ァ レ ン ス ク ロ ッ ク レー ト を決定し ます。

こ の例では、 155.5MHz で動作する リ フ ァ レン ス ク ロ ッ ク を使用し ます。

4. PLL ク ロ ッ ク レー ト を算出し ます。

SIPO ブロ ッ ク ではデータのデシ リ ア ラ イ ズに ク ロ ッ ク の両エ ッ ジを使用する ため、 2.488/2 = 1.244GHz で動作する ク ロ ッ クが入力される必要があ り ます。 RX のレー ト 、1.244GHz は PLL の動作範囲内であ る ため、 外部分周値 (PLL_RXDIVSEL_OUT) は 1 です。 し たがって、 PLL のク ロ ッ ク レー ト は 1.244 x 1 = 1.244GHz と な り ます。

5. DIV 値を算出し ます。

内部データパス幅は 8 ビ ッ ト (INTDATAWIDTH は Low) であ る ため、 DIV = 4 です。

6. PLL の分周器の比率を算出し ます。

上で求めた fCLKIN、 DIV、 および fPLL_CLOCK の値を使用し、 式 5-3 に示すよ う に、 式 5-1 を

移項し て分周比率を求めます。 結果は 2 と な り ます。

式 5-3

7. PLL の分周器の値を選択し ます。

小と なる分周器の値を選択し て目的の PLL の分周比率を求めます。 こ こ では、

PLL_DIVSEL_FB = 2 および PLL_DIVSEL_REF = 1 を使用し た結果、 2 と な り ます。

ギガビ ッ ト イーサネ ッ ト を使用する場合の共有 PMA PLL のコ ン フ ィ ギュ レー

シ ョ ン

こ の例では、式 5-1 を使用し てギガビ ッ ト イーサネ ッ ト 用に共有 PMA PLL 分周器を設定する方法

を示し ます。RocketIO GTP Transceiver Wizard および表 5-3 を使用する と、よ り 簡潔に設定でき ま

す。 こ こ では、 式 5-1 を使用する場合についてのみ説明し ます。

次に示す手順で式 5-1を使用し ます。

1. ラ イ ン レー ト を決定し ます。

ギガビ ッ ト イーサネ ッ ト の場合、 TX および RX のラ イ ン レー ト は共に 1.25Gb/s です。

PLL_DIVSEL_FBPLL_DIVSEL_REF---------------------------------------------------------

fPLL_ClockfCLKIN DIV×--------------------------------------- 1.244 GHz

155.5 MHz 4×---------------------------------------- 2===

Page 75: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 75UG196 (v1.8.1) 2008 年 12 月 1 日

第 5 章 : タ イルの機能 R

2. 内部データパス幅を決定し ます。

ギガビ ッ ト イーサネ ッ ト は 8B/10B エン コー ド を使用する ため、 10 ビ ッ ト の内部データパス

幅が必要です。

3. リ フ ァ レ ン ス ク ロ ッ ク レー ト を決定し ます。

こ の例では、 125MHz で動作する リ フ ァ レ ンス ク ロ ッ ク を使用し ます。

4. PLL のク ロ ッ ク レー ト を算出し ます。

RX 側について考え る と、SIPO ブロ ッ ク ではデータのデシ リ ア ラ イ ズにク ロ ッ ク の両エ ッ ジを

使用する ため、 1.25/2 = 0.625GHz で動作する ク ロ ッ ク が入力さ れる必要があ り ます。 RX の

レー ト 、 0.625GHz は PLL の範囲 (1.0GHz ~ 2.2GHz) 未満であ る ため、 PLL を 2 倍の速度

(1.25GHz) で動作させるには、 外部分周値 (PLL_RXDIVSEL_OUT) を 2 とする必要があ り ま

す。 し たがって、 PLL の ク ロ ッ ク レー ト は 0.625 x 2 = 1.25GHz と な り ます。

5. DIV 値を算出し ます。

内部データパス幅は 10 ビ ッ ト (INTDATAWIDTH は High) であ るため、 DIV = 5 です。

6. PLL の分周器の比率を算出し ます。

上で求めた fCLKIN、 DIV、 および fPLL_CLOCK の値を使用し、 式 5-4 に示すよ う に、 式 5-1 を

移項し て分周比率を求めます。 結果は 2 と な り ます。

式 5-4

7. PLL の分周器の値を選択し ます。

小と なる分周器の値を選択し て目的の PLL の分周比率を求めます。 こ こ では、

PLL_DIVSEL_FB = 2 および PLL_DIVSEL_REF = 1 を使用し た結果、 2 と な り ます。

PCI Express を使用する場合の共有 PMA PLL のコ ン フ ィ ギュ レーシ ョ ン

こ の例では、 式 5-1 を使用し て PCI Express 用に共有 PLL 分周器を設定する方法を示し ます。

RocketIO GTP Transceiver Wizard および表 5-3 を使用する と、設定がよ り 簡潔にな り ます。 こ こ で

は、 式 5-1 を使用する場合についてのみ説明し ます。

次に示す手順で、 式 5-1 を使用し ます。

1. 目的のラ イ ン レー ト を決定し ます。

PCI Express の場合、 TX および RX のラ イ ン レー ト は共に 2.5Gb/s です。

2. 内部データパスの幅を決定し ます。

PCI Express は 8B/10B エン コード を使用する ため、10 ビ ッ ト の内部データパス幅が必要です。

3. 目的の リ フ ァ レ ン ス ク ロ ッ ク レー ト を決定し ます。

こ の例では、 100MHz で動作する リ フ ァ レ ンス ク ロ ッ ク を使用し ます。

4. PLL のク ロ ッ ク レー ト を算出し ます。

SIPO ブ ロ ッ ク ではデータ のデシ リ ア ラ イ ズに ク ロ ッ ク の両エ ッ ジ を使用する ため、 2.5/2 = 1.25GHz で動作する ク ロ ッ ク が入力される必要があ り ます。 RX のレー ト 、 1.25 GHz は PLL の動作範囲内であ る ため、 外部分周値 (PLL_RXDIVSEL_OUT) は 1 です。 し たがって、 PLL のク ロ ッ ク レー ト は 1.25 x 1 = 1.25GHz と な り ます。

5. 目的の DIV 値を算出し ます。

内部データパス幅は 10 ビ ッ ト (INTDATAWIDTH は High) であ るため、 DIV = 5 です。

PLL_DIVSEL_FBPLL_DIVSEL_REF---------------------------------------------------------

fPLL_ClockfCLKIN DIV×--------------------------------------- 1.25 GHz

125 MHz 5×----------------------------------- 2===

Page 76: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

76 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ク ロ ッキングR

6. PLL の分周器の比率を算出し ます。

上で求めた fCLKIN、 DIV、 および fPLL_CLOCK の値を使用し、 式 5-5 に示すよ う に、 式 5-1 を

移項し て分周比率を求めます。 結果は 2.5 と な り ます。

式 5-5

7. PLL の分周器の値を選択し ます。

小と なる分周器の値を選択し て目的の PLL の分周比率を求めます。 こ こ では、

PLL_DIVSEL_FB = 5 および PLL_DIVSEL_REF = 2 を使用し た結果、 2.5 と な り ます。

ク ロ ッ キング

概要

GTP ト ラ ンシーバを適切に高速動作させるには、高品質で低ジ ッ タの リ フ ァ レン ス ク ロ ッ ク が必要

です。 GTP_DUAL タ イル内の共有 PMA PLL アーキテ クチャでは、 それぞれの リ フ ァ レ ンス ク

ロ ッ ク が両方のチャネルを ソース と し ます。 リ フ ァ レ ン ス ク ロ ッ クは、各 GTP ト ラ ンシーバの TX および RX シ リ アル ク ロ ッ ク と パラ レル ク ロ ッ ク を生成する ために 1、2、または 4 分周される PLL ク ロ ッ ク の生成に使用されます。 詳細は、 68 ページの 「共有 PMA PLL」 を参照し て く だ さい。

GTP_DUAL リ フ ァ レ ンス ク ロ ッ クは CLKIN ポー ト を通って供給されます。 CLKIN ポー ト を駆

動するには 3 通 り の方法があ り ます (図 5-3 を参照)

• 外部オシレータ を使用し て GTP 専用ク ロ ッ ク配線を駆動し ます。

• GTP 専用ク ロ ッ ク配線を介し、 隣接の GTP_DUAL タ イルからのク ロ ッ ク を使用し ます。

• FPGA 内部の ク ロ ッ ク (GREFCLK) を使用し ます。

専用ク ロ ッ ク配線を使用する と、 実現可能な 良のク ロ ッ ク が GTP_DUAL タ イルに供給されま

す。 各 GTP_DUAL タ イルには IBUFDS プ リ ミ テ ィ ブで表される専用ク ロ ッ ク ピ ンのペアがあ り 、

専用ク ロ ッ ク配線の駆動に使用でき ます。 IBUFDS の詳細は、 第 10 章 の 「GTP およびボード間の

イ ン ターフ ェ イ ス」 を参照し て く ださ い。

こ のセ ク シ ョ ンでは、 1 つまたは複数の GTP_DUAL タ イルで専用ク ロ ッ ク を使用する場合のク

ロ ッ ク選択方法について説明し ます。 これらのピンをボード上で駆動する際のガイ ド ラ イ ンは、 第

10 章 の 「GTP およびボード間のイ ン ターフ ェ イ ス」 を参照し て く ださ い。

特定の GTP_DUAL タ イルに対し て GREFCLK を使用する と き、専用ク ロ ッ ク配線は使用されませ

ん。 代わ り に、 FPGA のグ ローバル ク ロ ッ ク リ ソースが共有 PMA PLL に接続されます。

GREFCLK を使用する と、FPGA ク ロ ッ ク ネ ッ ト で生成されるジ ッ タが増加するため、通常、 こ の

ク ロ ッ キング方法は推奨されていません。

PLL_DIVSEL_FBPLL_DIVSEL_REF---------------------------------------------------------

fPLL_ClockfCLKIN DIV×--------------------------------------- 1.25 GHz

100 MHz 5×----------------------------------- 2.5===

Page 77: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 77UG196 (v1.8.1) 2008 年 12 月 1 日

第 5 章 : タ イルの機能 R

図 5-3 : GTP ト ランシーバのク ロ ッ キング

GTP_DUAL

GTP_DUAL

GTP_DUAL

GTP_DUAL

GTP_DUAL

GTP_DUAL

GTP_DUAL

GTP_DUAL

PLL

PLL

PLL

PLL

PLL

PLL

PLL

PLLCLKIN

CLKIN

CLKIN

CLKIN

CLKIN

CLKIN

CLKIN

CLKINMGTREFCLKP

MGTREFCLKN

MGTREFCLKP

MGTREFCLKN

MGTREFCLKP

MGTREFCLKN

MGTREFCLKP

MGTREFCLKN

MGTREFCLKP

MGTREFCLKN

MGTREFCLKP

MGTREFCLKN

MGTREFCLKP

MGTREFCLKN

MGTREFCLKP

MGTREFCLKN

GREFCLK

GREFCLK

GREFCLK

GREFCLK

GREFCLK

GREFCLK

GREFCLK

GREFCLK

GTP

GTP

GTP

GTP

GTP

GTP

GTP

GTP

UG196_c5_03_110206

IBUFDS

IBUFDS

IBUFDS

IBUFDS

IBUFDS

IBUFDS

IBUFDS

IBUFDS

BUFG/BUFR

BUFG/BUFR

BUFG/BUFR

BUFG/BUFR

BUFG/BUFR

BUFG/BUFR

BUFG/BUFR

BUFG/BUFR

メ モ :

1. IBUFDS の詳細は、 第 10 章 「GTP およびボー ド 間のイ ン ターフ ェ イ ス」 を参照し て く だ さ い。

2. ア ド バン ス ク ロ ッ キングの詳細は、 付録 F 「ア ド バン ス ク ロ ッ キング」 を参照し て く だ さ い。 リ フ ァ レ ン ス ク ロ ッ ク の ソース と リ フ ァレ ン ス ク ロ ッ ク を使用し ている GTP_DUAL タ イル間にあ るすべてのタ イルは、 すべてデザイ ンにイ ン ス タ ンシエー ト し て、REFCLKPWRDNB を High にアサー ト する必要があ り ます。

Page 78: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

78 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ク ロ ッキングR

ポー ト および属性

表 5-4 で、 共有された ク ロ ッ ク ポー ト について説明し ます。

表 5-5 で、 共有された ク ロ ッ ク の属性について説明し ます。

説明

外部ソースからのク ロ ッ ク

各 GTP_DUAL タ イルには、 外部ク ロ ッ ク ソースに接続可能な専用ピンのペアがあ り ます。 これら

のピンを使用するには、 IBUFDS プ リ ミ テ ィ ブを イ ン ス タ ンシエー ト し ます。 ユーザー制約フ ァ イ

ル (UCF) では、 IBUFDS 入力ピンは GTP_DUAL タ イルの専用ク ロ ッ ク ピンの位置に制約されま

す。 デザイ ンでは、IBUFDS の出力が CLKIN ポー ト に接続されます。 すべての GTP_DUAL タ イル

におけ る専用ピンの位置は、 第 4 章 「イ ンプ リ メ ンテーシ ョ ン」 に記載されています。 また、 第 10 章 の 「GTP およびボード間のイ ン ターフ ェ イ ス」 には、専用 リ フ ァ レン ス ク ロ ッ ク に適する外部オ

シレータ を示し、 ボード レベルの要件を記載し ています。 図 5-4 は、 ボード上の外部オシレータ を

ソース とする差動 GTP ク ロ ッ ク ピン ペアの図です。 IBUFDS の詳細は、 第 10 章 の 「REFCLK ガ

イ ド ラ イ ン」 を参照し て く ださ い。

表 5-4 : 共有ク ロ ッ ク ポー ト

ポー ト 方向ク ロ ッ ク

ド メ イン説明

CLKIN 入力 な し 共有 PMA PLL への リ フ ァ レ ンス ク ロ ッ ク入力

REFCLKOUT 出力 な し

各 GTP_DUAL タ イルの REFCLKOUT ポー ト は共有

PMA PLL に入力されている リ フ ァ レ ンス ク ロ ッ ク

(CLKIN) へのア ク セス を提供し ます。 FPGA ロジ ッ ク

で使用するための配線も可能です。

表 5-5 : 共有ク ロ ッ クの属性

属性 説明

CLK25_DIVIDER

GTP_DUAL タ イル管理用の内部デジ タル ロジ ッ クは約 25MHz で動作し

ます。 CLK25_DIVIDER は、タ イルの内部ク ロ ッ ク を取得する よ う に設定

し ます。

1 : CLKIN < 25 MHz 2 : 25MHz < CLKIN < 50MHz 3 : 50MHz < CLKIN < 75MHz 4 : 75MHz < CLKIN < 100MHz 5 : 100MHz < CLKIN < 125MHz 6 : 125MHz < CLKIN < 150MHz 10 : 150MHz < CLKIN < 250MHz 12 : CLKIN > 250MHz

CLKINDC_B 必ず TRUE に指定し ます。 専用の リ フ ァ レ ン ス ク ロ ッ ク入力を駆動する

オシレータは AC カ ッ プ リ ングする必要があ り ます。

Page 79: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 79UG196 (v1.8.1) 2008 年 12 月 1 日

第 5 章 : タ イルの機能 R

隣接する GTP_DUAL タ イルからのク ロ ッ ク

あ る GTP_DUAL タ イルからの外部ク ロ ッ ク を使用し て、 それに隣接する タ イルの CLKIN ポー ト

が駆動でき ます。 図 5-5 に示す例では、 1 つの GTP_DUAL タ イルからの ク ロ ッ ク を使用し て 6 つ

の隣接タ イルにク ロ ッ ク入力し ています。 GTP_DUAL タ イルのク ロ ッ クは、 専用ク ロ ッ ク配線 リ

ソース を使用し て隣接タ イル と共有されています。 IBUFDS の詳細は、第 10 章 の 「REFCLK ガイ

ド ラ イ ン」 を参照し て く ださ い。

メ モ : リ フ ァ レ ン ス ク ロ ッ ク を共有する場合、 こ の よ う な コ ン フ ィ ギ ュ レーシ ョ ンで発生する ジ ッ タ を高速デ

ザイ ンのジ ッ タ マージン要件内に抑え るには、 次の規則に従 う 必要があ り ます。

1. ソース と なっている GTP_DUAL タ イルの上にあ る GTP_DUAL タ イル数は 4 未満に し ます。

2. ソース と なっている GTP_DUAL タ イルの下にあ る GTP_DUAL タ イル数は 4 未満に し ます。

3. 外部の ク ロ ッ ク ピ ン ペア (MGTREFCLKN/MGTREFCLKP) を ク ロ ッ ク ソース と する GTP_DUAL タ イ

ルの総数は 8 未満に し ます。

4. リ フ ァ レ ンス ク ロ ッ ク のソ ース と リ フ ァ レ ンス ク ロ ッ ク を使用する GTP_DUAL タ イ ル間にある すべての

タ イ ル (使用中の IBUFDS がある タ イ ルを含む) をデザイ ンでイ ンス タ ンシエート し 、 REFCLKPWRDNB を High にアサート し ま す。

図 5-4 : 外部ク ロ ッ ク ソースを使用する GTP_DUAL タ イル

GTP_DUAL MGTREFCLKP

IBUFDS

MGTREFCLKNCLKIN

UG196_c5_04_112907

図 5-5 : リ フ ァ レンス ク ロ ッ ク を共有する、 複数の GTP_DUAL タ イル

MGTREFCLKP

IBUFDS

MGTREFCLKNCLKIN

CLKIN

CLKIN

CLKIN

GTP_DUAL

CLKIN

CLKIN

CLKIN

UG196_c5_05_110306

GTP_DUAL

GTP_DUAL

GTP_DUAL

GTP_DUAL

GTP_DUAL

GTP_DUAL

Page 80: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

80 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

リ セ ッ トR

1 組の ク ロ ッ ク ピン ペアでは、 大 14 個の GTP ト ラ ンシーバまで ク ロ ッ ク を供給でき ます。 15 個

以上の ト ラ ンシーバを使用するデザイ ンでは、 複数の外部ク ロ ッ ク ピンを使用し、 ジ ッ タ制御の規

則を確実に満たすよ う にし て く ださ い。 複数の ク ロ ッ ク ピ ンを使用する場合、 これらのピンは外部

バッ フ ァ を使用し て同じオシレータから駆動でき ます。 チャネル ボンデ ィ ングによ って GTP ト ラ

ンシーバを組み合わせてチャネルを構成し ている場合は、 同じオシレータ を使用する必要があ り ま

す (186 ページの 「設定変更可能なチャネル ボンデ ィ ング (レーン デス キ ュー )」 を参照)。

GREFCLK を使用する ク ロ ッ ク

グ ローバル ク ロ ッ ク バッ フ ァ (BUFG) または リ ージ ョ ナル ク ロ ッ ク バ ッ フ ァ (BUFR) の出力を

CLKIN ポー ト に接続する こ と によ り 、 FPGA の内部ク ロ ッ ク ネ ッ ト から GTP_DUAL タ イルの リ

フ ァ レ ン ス ク ロ ッ ク を供給でき ます。 GREFCLK ク ロ ッ キング と呼ばれる こ の方法では、高速動作

時に FPGA ク ロ ッ ク リ ソースによ って過度のジ ッ タが発生する ため、パフ ォーマン スは、3 通 り の

方法の中で も低 く な り ます。 可能な限 り 、 GREFCLK ク ロ ッ キングの使用は避けて く ださい。 そ

の他の速度でのジ ッ タ マージンは『Virtex-5 データ シー ト : DC 特性およびス イ ッ チ特性』を参照し

て く ださ い。

図 5-6 に、GTP_DUAL タ イル と BUFR または BUFG と の接続方法を示し ます。 BUFR を使用する

際は、 GTP_DUAL タ イル と同一領域に配置する必要があ り ます。

リ セ ッ ト

概要

GTP_DUAL タ イルは、 GTP ト ラ ンシーバの使用前に リ セ ッ ト する必要があ り ます。 タ イルの リ

セ ッ ト には 3 通 り の方法があ り ます。

1. FPGA に電源を投入し てコ ンフ ィ ギュ レーシ ョ ン し ます。 電源投入によ る リ セ ッ ト について

は、 こ のセ ク シ ョ ンで説明し ます。

2. GTPRESET ポー ト を High に駆動し、GTP_DUAL タ イル全体の非同期 リ セ ッ ト を ト グルし ま

す。 GTPRESET については、 このセ ク シ ョ ンで説明し ます。

3. ブロ ッ ク で 1 つま たは複数のリ セッ ト 信号をアサート し 、タ イ ルの特定のサブコ ンポーネント を

リ セッ ト し ま す。 こ のリ セッ ト 方法は、各サブコ ンポーネント のセク ショ ンで詳細に説明し ます。

こ のセ ク シ ョ ンでは、 リ ン ク ア イ ド ル リ セ ッ ト 回路のイ ンプ リ メ ン ト 手順について も説明し ます。

RX CDR 回路を適切に動作させるには、こ の回路を GTP_DUAL タ イルのすべてのイ ン ス タ ン ス と

共にイ ンプ リ メ ン ト する必要があ り ます。

図 5-6 : FPGA から ク ロ ッ ク入力された GTP_DUAL タ イル

GTP_DUAL BUFG BUFR(1)

UG196_c5_06_102607

CLKIN

メ モ :

1. ク ロ ッ ク 大周波数および BUFR のジ ッ タ制限は、 『Virtex-5 データ シー ト : DC 特性およびス イ ッ チ特性』 および『Virtex-5 FPGA コ ン フ ィ ギ ュ レーシ ョ ン ガ イ ド 』 を参照し てく だ さ い。

Page 81: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 81UG196 (v1.8.1) 2008 年 12 月 1 日

第 5 章 : タ イルの機能 R

ポー ト および属性

表 5-6 で、 共有された タ イルの リ セ ッ ト ポー ト について説明し ます。

こ のセ ク シ ョ ンに該当する属性はあ り ません。

表 5-6 : 共有タ イルのリ セ ッ ト ポー ト

ポー ト 方向 ド メ イ ン 説明

GTPRESET(1、 2) 入力 非同期

High に駆動される と、 GTP_DUAL の リ セ ッ ト シーケン ス全体が開

始し ます。 このシーケンスは約 160μs で完了し、 GTP_DUAL タ イル

のサブコ ンポーネン ト すべてをシステム的に リ セ ッ ト し ます。

PLLPWERDOWN(1、 2) 入力 非同期共有 PMA PLL の電源を切断し ます。 High から Low に駆動する と、

GTPRESET を ト リ ガし ます。

PRBSCNTRESET0

PRBSCNTRESET1入力 RXUSRCLK2 PRBS エラー カ ウ ン タ を リ セ ッ ト し ます。

R E S E T D O N E 0RESETDONE1 出力 非同期

High になる と、 GTP ト ラ ンシーバが リ セ ッ ト を完了し て使用可能な

状態であ る こ と を示し ます。 適切に動作させるには、 各 GTP ト ラ ン

シーバの CLKIN およびすべての ク ロ ッ ク入力 (TXUSRCLK、

TXUSRCLK2、RXUSRCLK、RXUSRCLK2) を駆動する必要があ り

ます。

RXBUFRESET0(1)

RXBUFRESET1(2) 入力 非同期 ア ク テ ィ ブ High の信号で、RX バッ フ ァ ロ ジ ッ ク を リ セ ッ ト し ます。

RXCDRRESET0(1)

RXCDRRESET1(2) 入力 RXUSRCLK2RX CDR およびこ のチャネルに対する PCS の RX 部分それぞれの リ

セ ッ ト 信号。 CDR で現時点のロ ッ ク を解除し て共有 PLL の周波数に

戻すには、 High に駆動し ます。

RXELECIDLERESET0

RXELECIDLERESET1入力 非同期

リ ンク がパワ ーダウ ン ス テート マシンのと き に、こ れら のアク ティ ブ

High のリ セッ ト 入力によ り 、 GTP ト ラ ンシーバの受信ロ ジッ ク がリ

セッ ト さ れま す。 接続方法は図 5-9 を参照し てく ださ い。 リ ンク アイ

ド ル リ セッ ト がサポート さ れていないと き は Low に固定さ れま す。

RXENELECIDLERESETB 入力 非同期

アク ティ ブ Low の信号で、 アサート さ れる と 、

RXELECIDLERESET(0/1) 入力がイ ネーブルにさ れま す。 リ ン ク ア

イ ド ル リ セ ッ ト がサポー ト されている場合の接続方法は図 5-9 を参

照し て く だ さい。RXELECIDLERESET(0/1) が未使用の と きは High に固定されます。

RXRESET0(1)

RXRESET1(2)In 非同期 RX PCS ロジ ッ クのア ク テ ィ ブ High リ セ ッ ト

TXRESET0(1)

TXRESET1(2)In 非同期

位相アラ イ メ ント FIFO、8B/10B エンコ ーダ、およ び FPGA TX イ ン

タ ーフェ イ ス を含む GTP ト ラ ンス ミ ッ タ の PCS をリ セッ ト し ま す。

メ モ :

1. これらの リ セ ッ ト がア ク テ ィ ブの時、 RESETDONE0 は Low に駆動されます。 すべての リ セ ッ ト は非同期で、 立ち上が り エ ッ ジで ト リガ し、 また特定の ク ロ ッ ク ド メ イ ンに内部同期し ています。

2. これらの リ セ ッ ト がア ク テ ィ ブの時、 RESETDONE1 は Low に駆動されます。 すべての リ セ ッ ト は非同期で、 立ち上が り エ ッ ジで ト リガ し、 また特定の ク ロ ッ ク ド メ イ ンに内部同期し ています。

Page 82: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

82 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

リ セ ッ トR

説明

コ ン フ ィ ギュ レーシ ョ ンの完了に応答し た GTP のリ セ ッ ト

図 5-7 に、 電源投入し た GTP_DUAL タ イ ルのコ ンフィ ギュ レ ーショ ン完了後に発生する

GTP_DUAL の リ セ ッ ト シーケンス を示し ます。 通常の動作中に PLLPOWERDOWN が High から

Low になる と、 常に同一シーケンスがア ク テ ィ ブにな り ます。

PLLPOWERDOWN の詳細は、 89 ページの 「消費電力管理」 を参照し て く ださ い。

コ ンフ ィ ギュ レーシ ョ ン後の リ セ ッ ト シーケンスは、 GTP_DUAL の次の部分に影響を与えます。

• 共有 PMA PLL

• GTP0 送信セ ク シ ョ ン (PMA および PCS)

• GTP0 受信セ ク シ ョ ン (PMA および PCS)

• GTP1 送信セ ク シ ョ ン (PMA および PCS)

• GTP1 受信セ ク シ ョ ン (PMA および PCS)

GTPRESET がアサー ト された場合の GTP のリ セ ッ ト

図 5-8 は図 5-7 と同様に、 GTPRESET でのパルスに応答し て発生する リ セ ッ ト シーケン ス全体を

示し ています。 GTPRESET は非同期 リ セ ッ ト 信号 と し て動作し ます。

図 5-7 : コ ン フ ィ ギュ レーシ ョ ン後の GTP_DUAL リ セ ッ ト シーケンス

cfg_reset_b

grestore_b

gwe_b

TXRESET

~160 μsRXRESET

RXBUFRESET(1)

UG196_c5_07_100606

メ モ :

1. GTP_DUAL タ イル内の リ セ ッ ト シーケンサのタ イ ミ ングは、 CLK25 の周波数に依存し ます。 こ の例では、 CLK25 の周波数は 25MHz であ る と 仮定し ています。

Page 83: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 83UG196 (v1.8.1) 2008 年 12 月 1 日

第 5 章 : タ イルの機能 R

GTPRESET シーケン スは、 GTP_DUAL の次の部分に影響を与えます。

• 共有 PMA PLL

• GTP0 送信セ ク シ ョ ン (PMA および PCS)

• GTP0 受信セ ク シ ョ ン (PMA および PCS)

• GTP1 送信セ ク シ ョ ン (PMA および PCS)

• GTP1 受信セ ク シ ョ ン (PMA および PCS)

GTP コ ンポーネン ト レベルのリ セ ッ ト

コ ンポーネント のリ セッ ト は、主に、特別な場合に使用さ れま す。 こ のよ う なリ セッ ト は、GTP_DUAL の特定サブセク ショ ンのリ セッ ト が必要な場合にのみ行います。 81 ページの表 5-6 にコ ンポーネン

ト レベルの リ セ ッ ト 信号の概要を示し、 表 5-7 でそれら について説明し ます。

RXUSRCLK2 に同期し、かつ立ち上が り エッ ジでのみ有効であ る PRBSCNTRESET を除 く 、すべ

てのコ ンポーネン ト リ セ ッ ト 信号は非同期です。

リ ン ク アイ ドル リ セ ッ ト のサポー ト

GTP レシーバの動作中に電気的アイ ド ル状態が発生し 、こ れによ って RXELECIDLE が High に駆動

さ れる 場合があり ま す。 次のイ ベント は、RX の電気的アイ ド ル状態を発生さ せる 可能性があり ま す。

• オープンの RXP/RXN 差動入力ペア

• 通信 リ ン ク のも う 一方の側にあ る ト ラ ン ス ミ ッ タのパワーダウ ン

• OOB/ビーコ ン信号のシーケンス

• RXCDR の 2 次ループを使用し ているデザイ ンすべて (PCI Express デザイ ンなど)

電気的ア イ ド ル状態の間、 レシーバの ク ロ ッ ク データ リ カバ リ (CDR) 回路のロ ッ ク が失われる可

能性があ り ます。 電気的ア イ ド ル状態と なった後に CDR を再開するには、 RXELECIDLERESET および RXENELCIDLERESETB をアサー ト し て く ださ い。 144 ページの 「RX の ク ロ ッ ク データ

リ カバ リ 」 で、 RXELECIDLERESET、 RXENELECIDLERESETB、 および CDR 回路について詳

細に説明し ています。

図 5-9 に、 RX CDB の 2 次ループを使用し ているすべてのデザイ ンに必須の リ ン ク ア イ ド ル リ

セ ッ ト 回路を示し ます。 回路では RESETDONE が使用されるため、 TXUSRCLK、TXUSRCLK2、

RXUSRCLK および RXUSRCLK2 はすべて、ア ク テ ィ ブな GTP ト ラ ンシーバでク ロ ッ ク入力され

る必要があ り ます。

図 5-8 : GTPRESET のパルスで ト グルされる リ セ ッ ト シーケンス

~160 μs

UG196_c5_08_100606

RXBUFRESET

RXRESET

TXRESET

GTPRESET

Page 84: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

84 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

リ セ ッ トR

メ モ : RXRECCLK が USRCLK を生成する 、ま たは派生さ せる ために使用さ れている 場合に電気的

アイ ド ル状態が発生する と 、 その USRCLK はフラ ッ ト ラ イ ンと なり ま す。 こ れは、 CDR の生成が

リ セッ ト のと き に RXRECCLK がフ ラ ッ ト ラ イ ンと なる ためです。 こ こ では、 RXELECIDLE(0/1) が、RXRECCLK(0/1) と別の独立し た CDR ク ロ ッ ク ソース間を選択する BUFGMUX の選択信号

と し て使用でき ます。

図 5-9 : リ ン ク アイ ドル リ セ ッ ト のインプ リ メ ンテーシ ョ ン

RESETDONE0RXELECIDLE0

RESETDONE1RXELECIDLE1

RXELECIDLERESET0

RXELECIDLERESET1

GTP_DUAL

UG196_c5_09_082806

RXENELECIDLERESETB

Page 85: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 85UG196 (v1.8.1) 2008 年 12 月 1 日

第 5 章 : タ イルの機能 R

GTP_DUAL タ イルのリ セ ッ ト

各 GTP_DUAL タ イルのサブコ ンポーネン ト の リ セ ッ ト には複数の方法があ り ます。 表 5-7 に、

GTP_DUAL タ イルの リ セ ッ ト 方法および リ セ ッ ト によ って影響を受け るサブコ ンポーネン ト を示

し ます。

表 5-7 : リ セ ッ ト ピン と これらのピンによ って影響を受ける コ ンポーネン ト

コ ンポーネン ト

コン

フィ

ギュ

レー

ショ

GT

PR

ES

ET

PLLP

OW

ER

DO

WN

(立ち

下が

りエ

ッジ

)

TX

RE

SE

T

RX

CD

RR

ES

ET

RX

RE

SE

T

RX

BU

FR

ES

ET

RX

ELE

CID

LER

ES

ET

PR

BS

CN

TR

ES

ET

GTP から ボード の

イ ン ターフ ェ イ ス

終端抵抗のキ ャ リ ブレーシ ョ ン 3

共有 リ ソース 共有 PMA PLL 3 3 3

PLL ロ ッ ク検出 3 3 3

リ セ ッ ト 制御 3 3 3

電源管理 3 3 3

ク ロ ッ キング 3 3 3

DRP 3

TX PCS FPGA TX イ ン ターフ ェ イ ス 3 3 3 3

8B/10B エン コーダ 3 3 3 3

TX バッ フ ァ 3 3 3 3

PRBS Generator 3 3 3 3

極性制御 3 3 3 3

TX PMA PISO 3 3 3

TX プ リ エンフ ァ シス 3 3 3

TX OOB および PCI EXPRESS 3 3 3

TX ド ラ イバ 3 3 3

Page 86: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

86 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

リ セ ッ トR

コ ンフ ィ ギュ レーシ ョ ン後の リ セ ッ ト および GTPRESET ポー ト を使用し、 GTP_DUAL タ イルを

動作可能な状態にする方法が も一般的ですが、 状況によ っては、 ほかの リ セ ッ ト ポー ト の使用が

必要です。 表 5-8 に、 これに該当する状況および推奨する リ セ ッ ト 方法を示し ます。

RX PCS FPGA RX イ ン ターフ ェ イ ス 3 3 3 3 3

RX バッ フ ァ 3 3 3 3 3 3

RX ステータ ス制御 3 3 3 3 3

8B/10B デコーダ 3 3 3 3 3

カンマ検出と ア ラ イ メ ン ト 3 3 3 3 3

RX LOS ステー ト マシン 3 3 3 3 3

RX 極性 3 3 3 3 3

PRBS チェ ッ カ 3 3 3 3 3 3

5 倍オーバー サンプラ 3 3 3 3 3

RX PMA SIPO 3 3 3 3 3

RX CDR 3 3 3 3 3

RX 終端と イ コ ラ イゼーシ ョ ン 3 3 3

RX OOB 3 3 3 3

ループバッ ク ループバッ ク パス 3 3 3

表 5-7 : リ セ ッ ト ピン と これらのピンによ って影響を受ける コ ンポーネン ト (続き)

コ ンポーネン ト

コン

フィ

ギュ

レー

ショ

GT

PR

ES

ET

PLLP

OW

ER

DO

WN

(立ち

下が

りエ

ッジ

)

TX

RE

SE

T

RX

CD

RR

ES

ET

RX

RE

SE

T

RX

BU

FR

ES

ET

RX

ELE

CID

LER

ES

ET

PR

BS

CN

TR

ES

ET

表 5-8 : 一般的な状況で推奨される リ セ ッ ト 方法

状況 リ セ ッ ト する コ ンポーネン ト 推奨する リ セ ッ ト 方法(1)

電源投入お よ び コ ン フ ィ ギ ュ レ ー

シ ョ ン後

任意の GTP_DUAL タ イル コ ン フ ィ ギュ レーシ ョ ン後の リ セ ッ ト

は自動

リ フ ァ レ ンス ク ロ ッ クの電源投入後 共有 PMA PLL GTPRESET

リ フ ァ レ ンス ク ロ ッ クの変更後 共有 PMA PLL GTPRESET

DRP で リ フ ァ レ ンス ク ロ ッ クの

分周器の設定変更後

共有 PMA PLL GTPRESET

パラ レル ク ロ ッ ク ソースの リ セ ッ ト TX PCS、 RX PCS、 位相ア ラ イ メ ン ト TXRESET、 RXRESET

リ モー ト 側の電源投入後 RX CDR RXELECIDLERESET

SATA OOB / PCI Express での

電気的ア イ ド ル後

RX CDR RXELECIDLERESET

RXN/RXP の接続後 RX CDR RXELECIDLERESET、 RXRESET

Page 87: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 87UG196 (v1.8.1) 2008 年 12 月 1 日

第 5 章 : タ イルの機能 R

電源投入およびコ ン フ ィ ギュ レーシ ョ ン

すべての GTP_DUAL タ イルは、 コ ンフ ィ ギュ レーシ ョ ン後、 自動的に リ セ ッ ト されます。 すべて

の ト ラ ンシーバの終端イ ンピーダン ス を正確にキ ャ リ ブレー ト するには、 コ ンフ ィ ギュ レーシ ョ ン

前に、 キ ャ リ ブレーシ ョ ン抵抗およびキ ャ リ ブレーシ ョ ン抵抗 リ フ ァ レ ン スの電源を投入する必要

があ り ます。

リ フ ァ レンス ク ロ ッ クの電源投入後

リ フ ァ レ ン ス ク ロ ッ ク ソースおよび GTP_DUAL タ イルへの電源は、コ ンフ ィ ギュ レーシ ョ ン前に

使用可能と なっている必要があ り ます。 リ フ ァ レ ン ス ク ロ ッ クは、 コ ンフ ィ ギ ュ レーシ ョ ン前、 特

に PLL ベースの ク ロ ッ ク ソース (電圧が制御される ク リ ス タル オシレータなど) を使用する と き

には、 安定し ている必要があ り ます。 コ ンフ ィ ギュ レーシ ョ ン後に、 リ フ ァ レン ス ク ロ ッ ク または

GTP_DUAL タ イルに対し て電源投入し た場合、GTPRESET を適用し、共有 PMA PLL のロ ッ ク を

可能にし ます。

リ フ ァ レンス ク ロ ッ クの変更後

GTP_DUAL タ イルへの リ フ ァ レ ンス ク ロ ッ ク入力の変更時は常に、 新たな周波数に対し て確実に

ロ ッ クする よ う 、共有 PMA PLL を リ セ ッ ト する必要があ り ます。 この際、GTPRESET ポー ト を使

用し て く ださ い。

パラ レル ク ロ ッ ク ソースのリ セ ッ ト

適切な動作を実現するには、TXUSRCLK、RXUSRCLK、TXUSRCLK2、および RXUSRCLK2 を

駆動する ク ロ ッ ク が安定し ている必要があ り ます。 これらのク ロ ッ クは、 位相および周波数要件を

満たすため、FPGA の DCM または PLL から駆動される こ と が多 く あ り ます。DCM または PLL の

ロ ッ ク が解除され、 誤った値が出力され始めた場合、 TXRESET および RXRESET を使用し、 ク

ロ ッ ク ソースが再びロ ッ クする まで ト ラ ンシーバ PCS を リ セ ッ ト に保持する必要があ り ます。

TX または RX バッ フ ァ をバイパス し、 かつ位相ア ラ イ メ ン ト を使用し ている場合、 ク ロ ッ ク ソー

スが再ロ ッ ク し た後に、 位相ア ラ イ メ ン ト を実行し て く ださ い。

TX バッ フ ァ エラー後 TX バッ フ ァ TXRESET

RX バ ッ フ ァ エラー後 RX バッ フ ァ RXBUFRESET

チャネル ボンデ ィ ング前 RX CDR、CDR のロ ッ ク 後にRXBUFFER RXELECIDLERESET、

RXBUFRESET

PRBS エラー PRBS エラー カ ウ ン タ PRBSCNTRESET

オーバーサンプラ エラー オーバー サンプラ RXRESET

メ モ :

1. 推奨する リ セ ッ ト 方法では、 GTP_DUAL タ イルのほかのコ ンポーネン ト への影響が も小さ く な り ます。

表 5-8 : 一般的な状況で推奨される リ セ ッ ト 方法 (続き)

状況 リ セ ッ ト する コ ンポーネン ト 推奨する リ セ ッ ト 方法(1)

Page 88: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

88 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

リ セ ッ トR

リ モー ト 側の電源投入後

入力データの リ モー ト 側ソースの電源が、そのデータ を受信し て動作し ている GTP ト ラ ンシーバよ

り 後に電源投入された場合、 入力データに対し て確実にロ ッ クする ため、 RX CDR を リ セ ッ ト する

必要があ り ます。 こ の際、 RXELECIDLERESET ポー ト を使用し て く だ さい。

電気的アイ ドル リ セ ッ ト

GTP ト ラ ンシーバへの RX 入力の差動電圧が OOB または電気的ア イ ド ル レベルまで降下する と、

周波数の急激な変動によ り 、 RX CDR のロ ッ ク が解除される可能性があ り ます。 CDR を確実に再

ロ ッ クするには、RXELECIDLERESET を使用し、信号が戻って く る まで ト ラ ンシーバを リ セ ッ ト

に保持する必要があ り ます。

84 ページの図 5-9 に、リ ン ク ア イ ド ル リ セ ッ ト 回路を示し ます。電気的ア イ ド ルまたは OOB/ビー

コ ン信号を使用するデザイ ンでは、 こ の回路が必須であ り 、 その他すべてのデザイ ンでも使用を推

奨し ます。回路では、 RXELECIDLE が検出される と常に RXELECIDLERESET がアサー ト されま

す。また、RXELECIDLE は RXOOB 回路が リ セ ッ ト される と アサー ト されます。RESETDONE は、

別の リ セ ッ ト 処理中に、 RXELECIDLERESET がアサー ト されないよ う にし ます。

RXP/RXN の接続後

GTP ト ラ ンシーバへの RX データ が接続およ び接続解除可能なコ ネク タ から 入力さ れている 場合、

データ ソ ース が接続さ れたと き に入力データ に対し て適切にロ ッ ク する よ う 、 RX CDR をリ セッ ト

する 必要があり ま す。こ のリ セッ ト には、RXELECIDLERESET を使用し ます。RXELECIDLERESET の後には、 RXRESET を使用し てバッ ファ をフラ ッ シュ し 、 アンダーフロ ーま たはオーバーフロ ーの

発生を防いで、 カンマ アラ イ メ ント 回路を初期化し 直す必要があり ます。

TX バッ フ ァ エ ラー後

TX バッ フ ァのオーバーフ ローまたはアンダーフ ローが発生し た場合、 適切に動作させる ため、

TXRESET ポー ト を使用し て こ のバッ フ ァ を リ セ ッ ト する必要があ り ます。

RX バッ フ ァ エ ラー後

RX エラ ステ ィ ッ ク バッ フ ァのオーバーフ ローまたはアンダーフ ローが発生し た場合、 適切に動作

させるため、 RXBUFRESET ポー ト を使用し て こ のバッ フ ァ を リ セ ッ ト する必要があ り ます。

チャネル ボンデ ィ ング前

適切にチャネル ボンデ ィ ングを実行するには、 ボン ド された ト ラ ンシーバすべての RX エラ ス

テ ィ ッ ク バッ フ ァに同一の リ カバ リ ク ロ ッ ク周波数を使用し て書き込み、 RXUSRCLK 周波数を

使用し て こ のバッ フ ァ から読み出す必要があ り ます。

ボン ド されたすべての ト ラ ンシーバに対し て同じ RXUSRCLK 周波数を供給するには、BUFG など

の低スキ ューのク ロ ッ ク バッ フ ァ を使用し、 同一ク ロ ッ ク ソースからすべての RXUSRCLK ポー

ト を駆動し て く ださ い。 また、 ク ロ ッ ク ソースが安定する まで、 ボンデ ィ ングは実行し ないで く だ

さ い。

ボン ド されたすべての ト ラ ンシーバに対し て同じ リ カバ リ ク ロ ッ ク を供給するには、次のこ と が必

要です。

• すべての TX データ ソースが、 同じ リ フ ァ レ ンス ク ロ ッ クに対し てロ ッ クする

• すべてのボン ド された ト ラ ンシーバで、 CDR を入力データに対し てロ ッ ク させる

Page 89: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 89UG196 (v1.8.1) 2008 年 12 月 1 日

第 5 章 : タ イルの機能 R

チャネル ボンデ ィ ングで必要な リ セ ッ ト は、 次の とお り です。

• RXELECIDLERESET をアサー ト し、 ボン ド されたすべての ト ラ ンシーバの CDR を リ セ ッ ト

する

• これらの ト ラ ンシーバすべてで CDR がロ ッ ク し、 ビ ッ ト がア ラ イ メ ン ト される まで待機する

• これらの ト ラ ンシーバすべてに RXBUFRESET を適用する

• チャネル ボンデ ィ ングを実行する

CDR ロ ッ ク検出の推奨方法の詳細は、 第 7 章 の 「RX のク ロ ッ ク データ リ カバ リ 」 を参照し て く

ださ い。

PRBS エ ラー後

し き い値を超え、 PRBS エラ ーが発生し た後に RXPRBSERR をク リ アする には、 PRBSERRRESET をアサー ト する必要があ り ます。

オーバーサンプ ラ エ ラー後

RXOVERSAMPLEERR が High にな り 、オーバーサンプ リ ング ブロ ッ ク でのオーバーフ ローまた

はアンダーフ ローが示された場合、 RXRESET をアサー ト する と こ の信号を ク リ アでき ます。

消費電力管理

概要

GTP_DUAL タ イ ルは、 さ ま ざま なパワ ーダウ ン モード を サポート し ま す。 こ れら のモード では、

一般的な省電力管理機能と PCI Express およ び SATA 規格で定めら れた省電力管理機能を 使用で

き ま す。

ポー ト および属性

表 5-9 に、 消費電力管理用ポー ト を示し ます。

表 5-9 : 消費電力管理用ポー ト

ポー ト 方向 ド メ イ ン 説明

CLKIN 入力 なし

共有 PMA PLL への リ フ ァ レ ンス ク ロ ッ ク入力。 CLKIN レー ト お

よび CLK25_DIVIDER の設定によ り 、 PCI Express のパワーダウ

ン ステー ト への移行タ イ ミ ングが決定し ます。

PLLPOWERDOWN(1) 入力 非同期

共有 PMA PLL の電源をオフにし ます。

0 : PMA PLL へ電源をオン

1 : PMA PLL への電源をオフ

REFCLKPWRDNB(2) 入力 非同期

GTP リ フ ァ レン ス ク ロ ッ ク回路の電源をオフにし ます。

0 : リ フ ァ レ ンス ク ロ ッ ク回路への電源をオフ

1 : リ フ ァ レ ンス ク ロ ッ ク回路への電源をオン

Page 90: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

90 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

消費電力管理R

RXPOWERDOWN0[1:0]

RXPOWERDOWN1[1:0]入力 非同期

RX レ ーンへの電源をオフにし ま す。 PCI Express エンコ ード に準拠

し ま す。 TX と RX は別々に電源をオフにでき ま すが、 PCI Express に準拠する ため TXPOWERDOWN と RXPOWERDOWN は併用

する必要があ り ます。

00 : P0 (通常動作)

01 : P0s ( リ カバ リ タ イ ムが短い、 電源をオフ)

10 : P1 ( リ カバ リ タ イ ムが長い、 RecDet はオンのま ま)

11 : P2 (消費電力が も 低い状態)。 GTP ト ラ ン シーバの

RXRECCLK は確定できず、 ス タテ ィ ッ ク 1 または ス タ テ ィ ッ

ク 0 のいずれかにな り ます。

TXDETECTRX0

TXDETECTRX1入力 TXUSRCLK2

RXPOWERDOWN およ び TXPOWERDOWN のス テータ ス に基づ

き 、 受信検出シーケンス をアク ティ ブにする か、 ま たはPCI Express 準拠のループバッ ク モード (93 ページの表 5-13) を 選択し ま す。

PHYSTATUS がアサート さ れて、 RXSTATUS 上でテス ト 結果が確

認可能である と 示さ れる と 、 受信検出シーケンス は終了し ま す。

TXELECIDLE0

TXELECIDLE1入力 TXUSRCLK2 PCI Express の電気的ア イ ドル/ビーコ ンを実行する ため、TXN およ

び TXP を同一電圧に駆動し ます。

TXPOWERDOWN0[1:0]

TXPOWERDOWN1[1:0]入力 非同期

TX レーンへの電源をオフにし ま す。 PCI Express エンコ ード に準拠

し ま す。 TX と RX は別々に電源をオフにでき ますが、PCI Express に

準拠する ため、 TXPOWERDOWN と RXPOWERDOWN は併用す

る 必要があり ます。

00 : P0 (通常動作)

01 : P0s ( リ カバ リ タ イ ムが短い、 電源をオフ)

10 : P1 ( リ カバ リ タ イ ムが長い、 RecDet はオンのま ま)

11 : P2 (消費電力が も低い状態)

メ モ :

1. PMA PLL は共有さ れている ため、 PLLPOWERDOWN ある いは REFCLKPWRDNB を介し て電源をオフ にする と き は両チャ ネルに影響を与えま す。

2. REFCLKPWRDNB は GTP_DUAL タ イルが リ フ ァ レ ン ス ク ロ ッ ク の ソースの と き High にする必要があ り ます。

表 5-9 : 消費電力管理用ポー ト (続き)

ポー ト 方向 ド メ イ ン 説明

Page 91: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 91UG196 (v1.8.1) 2008 年 12 月 1 日

第 5 章 : タ イルの機能 R

表 5-10 で、 消費電力管理用の属性について説明し ます。

説明

GTP_DUAL タ イルでは、さ まざまな消費電力管理が提供されます。 各方向のチャネルは、それぞれ

TXPOWERDOWN および RXPOWERDOWN を使用し て個別に電源を切断でき ます。 さ らに、 共

有 PMA PLL および リ フ ァ レ ンス ク ロ ッ クのパワーダウ ン も可能で、これらは両チャネルおよび両

方向に反映されます。 ポー ト PLLPOWERDOWN および REFCLKPWRDNB は、 共有 PMA PLL に直接影響を与え、 つま り は GTP_DUAL タ イルの両チャネルが影響を受け る こ と にな り ます。

GTP の一般的なパワーダウン機能

GTP_DUAL タ イルは、 多様なアプ リ ケーシ ョ ンで使用可能なパワーダウ ン機能を提供し ます。

表 5-11 は、 そのよ う な機能の一覧表です。

表 5-10 : 消費電源管理用の属性

属性 説明

CLK25_DIVIDER

GTP_DUAL タ イ ル管理用の内部デ ジ タ ル ロ ジ ッ ク は、 約

25MHz で動作し ます。 CLK25_DIVIDER は、 タ イルの内部ク

ロ ッ ク を取得する よ う に設定されます。CLK25_DIVIDER およ

び CLKIN の組み合わせで 25MHz の内部ク ロ ッ ク レー ト が調

整される こ と によ り 、 PCI Epress のパワーダウ ン ステー ト への

移行タ イ ミ ングが決定し ます。

PCI_EXPRESS_MODE_0

PCI_EXPRESS_MODE_1

TRUE に設定す る と、 PCI Express 固有の処理が可能にな り 、

T X E L E C I D L E = 1 、 T X C H A R D I S P M O D E = 1 、

TXCHARDISPVAL = 0 をチャ ネルのパワーダ ウ ン要求 と し て

認識でき ます。

TXCHARDISPMODE = 1 および TXCHARDISPVAL = 0 は、

PIPE 仕様の イ ン ターフ ェ イ ス信号 TXCompliance = 1 をエン

コ ー ド し ま す。 PIPE の TXCHARDISPMODE お よ び

TXCHARDISPVAL がエン コード され、FTS レーン デス キ ュー

の特別なサポー ト を イネーブルにし ます。

TRANS_TIME_FROM_P2_0

TRANS_TIME_FROM_P2_1

内部の 25MHz ク ロ ッ ク サイ クルでの P2 ス テー ト から の移行

時間。 厳密な時間は CLKIN レー ト および CLK25_DIVIDER 設

定に依存し ます。 P2 ステー ト は PCI Express の電力ステー ト 定

義と関連付け られています。

TRANS_TIME_NON_P2_0

TRANS_TIME_NON_P2_1

内部の 25MHz ク ロ ッ ク サイ クルでの P2 以外のステー ト へ/か

らの移行時間。 厳密な時間は CLKIN レー ト および CLK25_ DIVIDER 設定に依存し ます。 この設定は PCI Express の電力ス

テー ト 定義と関連付け られています。

TRANS_TIME_TO_P2_0

TRANS_TIME_TO_P2_1

内部の 25MHz ク ロ ッ ク サイ クルでの P2 ス テー ト への移行時

間。 厳密な時間は CLKIN レー ト および CLK25_DIVIDER 設定

に依存し ます。 こ の設定は PCI Express の電力ス テー ト 定義 と

関連付け られています。

Page 92: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

92 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

消費電力管理R

REFCLK のパワーダウン

REFCLK のパワーダウ ン モード をア ク テ ィ ブにするには、ア ク テ ィ ブ Low の REFCLKPWRDNB 信号をアサー ト し ます。 REFCLKPWRDNB がアサー ト される と、REFCLK でク ロ ッ ク入力されて

いる回路すべて (共有 PMA PLL と その派生ク ロ ッ クすべてを含む) の ト グルが抑制されます。 さ ら

に、 そのタ イルに関連し た専用ク ロ ッ ク配線回路がデ ィ スエーブルにされます。 また、 GTP_DUAL タ イルで参照ク ロ ッ ク を共有し ている場合には、 ク ロ ッ ク配線チェーンのダウ ン ス ト リ ームにあ る

タ イルへの REFCLK が停止されます。 77 ページの図 5-3 には、 専用ク ロ ッ ク配線ブロ ッ ク が

GTP_DUAL タ イル間でどのよ う に REFCLK を転送するかを示し ています。

REFCLKPWRDNB 信号がアサー ト されている タ イルおよび リ フ ァ レ ン ス ク ロ ッ ク が影響を受け

ているすべてのダウ ン ス ト リ ーム タ イルで PLLLKDET 信号をアサー ト する と、 こ の電力ステー

ト から回復し ます。

PLL のパワーダウン

PLL のパワーダウ ン モード をア ク テ ィ ブにするには、 ア ク テ ィ ブ High の PLLPOWERDOWN 信

号をアサー ト し ます。 PLLPOWERDOWN がアサー ト される と、共有 PMA PLL およびそ こ から派

生し たすべてのク ロ ッ ク が停止し ます。

REFCLKPWRDNB 信号がアサー ト されている タ イルで PLLLKDET 信号をアサー ト する と こ

の電力ステー ト から回復し ます。

TX および RX のパワーダウン

PCI Express を 使用し ないデザイ ンで、 TX およ び RX パワ ーダウ ン信号を 使用する 場合、

TXPOWERDOWN およ び RXPOWERDOWN は個別に使用でき ま す。 ただし 、こ れら のイ ンタ ー

フ ェ イ ス が PCI Express 以外のアプリ ケーショ ンで使用さ れる 場合、表 5-12 に示すよ う に 2 つの

電力ス テート のみがサポート さ れま す。 こ のパワ ーダウ ン方法では、次の要件を 満たす必要があり

ま す。

• TXPOWERDOWN[1] と TXPOWERDOWN[0] が接続されている

• RXPOWERDOWN[1] と RXPOWERDOWN[0] が接続されている

• TXDETECTRX が Low に固定されている

• TXELECIDLE が TXPOWERDOWN[1] および TXPOWERDOWN[0] に固定されている

表 5-11 : 基本的な消費電力管理機能の一覧

機能 制御元 影響を受ける箇所

REFCLK の電源

をオフ

REFCLKPWRDNB 1 つの タ イ ルにあ る 両 ト ラ ン シーバの TX と

RX、 およびその REFCLK を共有し ている、 す

べてのダウ ン ス ト リ ーム GTP_DUAL タ イル

PLL の電源をオフ PLLPOWERDOWN GTP_DUAL タ イ ルの 2 つの ト ラ ン シーバの

TX および RX

TX の電源をオフ TXPOWERDOWN[1:0] 1 つの ト ラ ンシーバの TX

RX の電源をオフ RXPOWERDOWN[1:0] 1 つの ト ラ ンシーバの RX

メ モ :

1. 消費電力が も 低いス テート (P2) になる よ う RXPOWERDOWN[1:0] を 11 に設定する と 、 こ の GTP ト ラ ンシーバの RXRECCLK は不確定になり ま す。 こ の RXXRECCLK はス タ ティ ッ ク 1 かス タ ティ ッ ク 0 です。

Page 93: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 93UG196 (v1.8.1) 2008 年 12 月 1 日

第 5 章 : タ イルの機能 R

PCI Express のパワーダウン機能

GTP_DUAL タ イルは、PCI Express および PIPE 仕様で定義された機能と互換性を持つ、パワーダ

ウ ン ステー ト に必要な機能すべてを イ ンプ リ メ ン ト し ます。 PCI Express と互換性のあ る電力管理

機能を イ ンプ リ メ ン ト するには、 次の条件を満たす必要があ り ます。

• 各 GTP ト ラ ンシーバの TXPOWERDOWN と RXPOWERDOWN は、 常に同一ステー ト と な

る よ う 接続する

• REFCLKPWRDNB およ び PLLPOWERDOWN 信号は、 非アク ティ ブなス テート に保持する

PCI Express 電力モード では、 PHYSTATUS 信号を ク ロ ッ クの 1 サイ クル間アサー ト する こ と で、

GTP ト ラ ンシーバの ACK 信号が変化し ます。

表 5-12 : PCI Express 以外の動作での TX および RX の電力ステー ト

TXPOWERDOWN[1:0] または

RXPOWERDOWN[1:0]説明

00 P0 モード。 ト ラ ンシーバ TX またはRX ア ク テ ィ ブでデータ を送信

または受信し ています。

11 P2 モード。 ト ラ ンシーバ TX または RX はア イ ド ルです。

表 5-13 : PCI Express の動作の TX および RX の電力ステー ト

TXPOWERDOWN[1:0] および

RXPOWERDOWN[1:0]TXDETECTRX TXELECIDLE 説明

00 (P0 ステー ト )

0 0 PHY がデータ を送信中です。 MAC はク ロ ッ ク サイ クルご と

に、 送信するデータ バイ ト を供給し ます。

0 1 PHY はデータ を送信し ておらず、 電気的ア イ ド ル状態です。

1 0 PHY がループバッ ク モード に移行し ます。

1 1 不正

01 (P0s state) Don’t Care0

P0 ステー ト の と きは常に、 MAC によ り PHY を電気的ア イ

ドル状態にする必要があ り ます。 P0 または P1 ステー ト の と

き に TXELECIDLE がデ ィ アサー ト さ れる と、 PHY の動作

は定義されません。

1 PHY はデータ を送信し ておらず、 電気的ア イ ド ル状態です。

10 (P1 state)

Don’t Care 0

不正。 P1 ステー ト の と きは常に、MAC によ り PHY を電気的

ア イ ドル状態にする必要があ り ます。 P0 または P1 ステー ト

の と き に TXELECIDLE がデ ィ アサー ト される と、 PHY の

動作は定義されません。

0 1 PHY はア イ ド ルです。

1 1 PHY でレシーバ検出が実行されます。

11 (P2 state) Don’t Care0 PHY がビーコ ン信号を送信し ます。

1 PHY はア イ ド ルです。

Page 94: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

94 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

消費電力管理R

パワーダウンへの移行時間

表 5-10 で説明し たよ う に、 TXPOWERDOWN および RXPOWERDOWN が変更された場合、 パ

ワーダウ ン ステー ト の変化間の遅延は TRANS_TIME_FROM_P2、 TRANS_TIME_NON_P2、 お

よび TRANS_TIME_TO_P2 属性によ って制御されます。

TRANS_TIME 遅延はそれぞれ、25MHz のク ロ ッ ク サイ クルに対する ものです。 25MHz の内部ク

ロ ッ ク レー ト は CLK25_DIVIDER 属性および リ フ ァ レ ンス ク ロ ッ ク レー ト を使用し て定義され

ます。 式 5-6 は、 実際のレー ト の決定に使用されます。

式 5-6

図 5-10 に示す例は、未使用タ イルまたはタ イル内の未使用 ト ラ ンシーバに対する推奨するパワーダ

ウ ン方法です。

Transition in nsCLK25_DIVIDER

CLKIN----------------------------------------------⎝ ⎠

⎛ ⎞ TRANS_TIME attribute×=

図 5-10 : 未使用タ イルのパワーダウン

GTP_DUAL

PLLPOWERDOWN

REFCLKPWRDNB

RXPOWERDOWN0[1]RXPOWERDOWN0[0]

RXPOWERDOWN1[1]RXPOWERDOWN1[0]

TXPOWERDOWN0[1]TXPOWERDOWN0[0]

TXPOWERDOWN1[1]TXPOWERDOWN1[0]

11

11

11

11

1

0

GTP_DUAL

PLLPOWERDOWN

REFCLKPWRDNB

RXPOWERDOWN0[1]RXPOWERDOWN0[0]

RXPOWERDOWN1[1]RXPOWERDOWN1[0]

TXPOWERDOWN0[1]TXPOWERDOWN0[0]

TXPOWERDOWN1[1]TXPOWERDOWN1[0]

11

11

UG196_c5_10_082906

Page 95: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 95UG196 (v1.8.1) 2008 年 12 月 1 日

第 5 章 : タ イルの機能 R

図 5-11 に、4 レーン PIPE に準拠し た コ ンフ ィ ギ ュ レーシ ョ ンでのパワーダウ ン信号の接続方

法を示し ます。

図 5-11 : 4 レーン PIPE に準拠し たコ ン フ ィ ギュ レーシ ョ ン

GTP_DUAL

PLLPOWERDOWN

REFCLKPWRDNB

RXPOWERDOWN0[1]RXPOWERDOWN0[0]

RXPOWERDOWN1[1]RXPOWERDOWN1[0]

TXPOWERDOWN0[1]TXPOWERDOWN0[0]

TXPOWERDOWN1[1]TXPOWERDOWN1[0]

0

1

GTP_DUAL

PLLPOWERDOWN

REFCLKPWRDNB

RXPOWERDOWN0[1]RXPOWERDOWN0[0]

RXPOWERDOWN1[1]RXPOWERDOWN1[0]

TXPOWERDOWN0[1]TXPOWERDOWN0[0]

TXPOWERDOWN1[1]TXPOWERDOWN1[0]

0

1

POWERDOWN[1]POWERDOWN[0]

UG196_c5_11_082906

Page 96: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

96 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ダイナ ミ ッ ク リ コ ン フ ィ ギュ レーシ ョ ン ポー トR

ダイナ ミ ッ ク リ コ ン フ ィ ギュ レーシ ョ ン ポー ト

概要

ダ イナ ミ ッ ク リ コ ンフ ィ ギュ レーシ ョ ン ポー ト (DRP) によ り 、 動作中に GTP_DUAL タ イルのパ

ラ メ ータ を変更でき ます。 DRP はプロセ ッ サ と の併用が容易な同期イ ン ターフ ェ イ スで、 1 つのア

ド レ ス バス (DADDR) と GTP_DUAL タ イルへのコ ンフ ィ ギュ レーシ ョ ン データの読み出し

(DO) および書き込み (DI) に別々のデータ バス を備えています。 イ ネーブル信号 (DEN)、読み出し

/書き込み信号 (DWE)、 および Ready/Valid 信号 (DRDY) は制御信号で、 読み出し と書き込み処理

を実装し て処理の完了とデータが使用可能であ る こ と を示し ます。

ポー ト および属性

表 5-14 で、 DRP ポー ト について説明し ます。

こ のセ ク シ ョ ンに該当する属性はあ り ません。

説明

『Virtex-5 FPGA コ ンフ ィ ギュ レーシ ョ ン ガイ ド』 には、 DRP イ ン ターフ ェ イ スの詳細情報が記載

されています。 GTP_DUAL DRP 属性のマ ッ プは、 付録 D の 「GTP_DUAL タ イルのア ド レ ス マ ッ

プ」 を参照し て く ださ い。 属性名およびア ド レ スのアルフ ァベッ ト 順に分類されています。

DRP 動作中に リ フ ァ レ ンス ク ロ ッ ク を停止する と、 動作が適切に終了し ない可能性があ り ます。

表 5-14 : DRP ポー ト

ポー ト 方向 ク ロ ッ ク ド メ イ ン

説明

DADDR[6:0] 入力 DCLK DRP ア ド レ ス バス

DCLK 入力 N/A DRP イ ン ターフ ェ イ ス ク ロ ッ ク

DEN 入力 DCLK読み出し または書き込みを イ ネーブルにするには、 1

に設定し ます。 処理の実行が不要な場合は、 DCLK サ

イ クルで 0 に設定し ます。

DI[15:0] 入力 DCLK FPGA ロ ジ ッ ク か ら GTP_DUAL タ イ ルへコ ン フ ィ

ギュ レーシ ョ ン データ を書き込むためのデータ バス

DO[15:0] 出力 DCLK FPGA ロ ジ ッ ク か ら GTP_DUAL タ イ ルへコ ン フ ィ

ギュ レーシ ョ ン データ を読み出すためのデータ バス

DRDY 出力 DCLK DRP 書き込み処理が完了し、 読み出し処理のデータ

が有効であ る こ と を示し ます。

DWE 入力 DCLK 読み出し処理の場合は 0 に設定し、 書き込み処理の場

合は 1 に設定し ます。

Page 97: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 97UG196 (v1.8.1) 2008 年 12 月 1 日

R

第 6 章

GTP ト ラ ンス ミ ッ タ (TX)

本章では、GTP ト ラ ン ス ミ ッ タにあ る各フ ァ ン ク シ ョ ン ブロ ッ ク のコ ンフ ィ ギュ レーシ ョ ンおよび

使用方法について説明し ます。

ト ラ ンス ミ ッ タの概要

GTP_DUAL タ イル内の各 GTP ト ラ ンシーバには、PCS および PMA で構成される独立し た ト ラ ン

ス ミ ッ タが含まれます。 図 6-1 に、ト ラ ン ス ミ ッ タのフ ァ ン ク シ ョ ン ブロ ッ ク を示し ます。FPGA か

らのパラ レル データは、 FPGA TX イ ン ターフ ェ イ ス を介し て入力されて PCS および PMA を通

り 、 高速シ リ アル データ と し て TX ド ラ イバから出力されます。 こ のブロ ッ ク図のレ イ テンシにつ

いては、 付録 E 「低レ イ テンシのデザイ ン」 を参照し て く だ さい。

GTP ト ラ ンス ミ ッ タは、 次のよ う なエレ メ ン ト で構成されています。

1. 98 ページの 「FPGA TX イ ン ターフ ェ イ ス」

2. 106 ページの 「コ ンフ ィ ギャブル 8B/10B エン コーダ」

3. 110 ページの 「TX バッ フ ァ、 位相ア ラ イ メ ン ト 、 およびバッ フ ァ バイパス」

4. 117 ページの 「TX における極性制御」

5. 118 ページの 「TX PRBS ジェネレータ」

6. 119 ページの 「Parallel In Serial Out」

7. 121 ページの 「コ ンフ ィ ギュ レーシ ョ ン可能な TX ド ラ イバ」

8. 125 ページの 「PCI Express のレシーバ検出機能」

9. 128 ページの 「TX の OOB/ビーコ ン信号送信」

図 6-1 : GTP TX ブロ ッ ク図

TX-PMA TX-PCS

TX

TX PIPE

PMA PLL

TXPISO

FIFO

8B/10B

FPGATX

PRBS

UG196_c6_01_112107

PMAPLL

TXOOB

PCI

12

3

5

47

9

68

7

Page 98: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

98 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

FPGA TX イン ターフ ェ イスR

FPGA TX イ ン ターフ ェ イス

概要

FPGA TX イ ン ターフ ェ イ スは、 FPGA から の GTP ト ラ ンシーバの TX データパスへの入 り 口で

す。 アプ リ ケーシ ョ ンは、TXUSRCLK2 の立ち上が り エ ッ ジで TXDATA ポー ト にデータ を書き込

むこ と で GTP ト ラ ンシーバにデータ を送信し ます。

ポー ト 幅は 1 または 2 バイ ト に設定でき ます。 実際のポー ト 幅は、 GTP_DUAL タ イルの

INTDATAWIDTH 設定 (内部データパス幅を制御) および 8B/10B エン コーダがイネーブルか、デ ィ

スエーブルかに依存し ます。 8 ビ ッ ト 、 10 ビ ッ ト 、 16 ビ ッ ト 、 あ るいは 20 ビ ッ ト のポー ト 幅が可

能です。

イ ンタ ーフェ イ ス でのパラ レル ク ロ ッ ク (TXUSRCLK2) のレ ート は、TX  ラ イ ン レ ート 、TXDATA ポー ト 幅、8B/10B エン コード がイネーブかデ ィ スエーブルかによ り ます。 2 番目のパラ レル ク ロ ッ

ク (TXUSRCLK) は、 ト ラ ン ス ミ ッ タの内部 PCS ロ ジ ッ クに使用する必要があ り ます。 こ こ では、

パラ レル ク ロ ッ クがどのよ う に駆動されるかを示し、それらが正し く 動作するための制約について

説明し ます。

ポー ト および属性

表 6-1 で FPGA TX イ ン ターフ ェ イ スのポー ト について説明し ます。

表 6-1 : FPGA TX イン ターフ ェ イスのポー ト

ポー ト 方向ク ロ ッ ク ド メ イ ン

説明

INTDATAWIDTH 入力 非同期

GTP_DUAL タ イル全体の内部データパス幅を指定し ます。 こ のポー ト は

共有されてお り 、 68 ページの 「共有 PMA PLL」 でも説明されています。

• 0 : 内部データパスは 8 ビ ッ ト 幅

• 1 : 内部データパスは 10 ビ ッ ト 幅

REFCLKOUT 出力 なし

各 GTP_DUAL タ イルからの REFCLKOUT ポー ト は共有 PMA PLL に入

力されている リ フ ァ レ ンス ク ロ ッ ク (CLKIN) への直接ア ク セス を提供し

ます。 FPGA ロ ジ ッ ク で使用するための配線も可能です。

TXDATA0[15:0]

TXDATA1[15:0]入力 TXUSRCLK2

データ送信用のバス。 ポー ト 幅は TXDATAWIDTH によ って決定し ます。

• TXDATAWIDTH = 0 : TXDATA[7:0] = 8 ビ ッ ト 幅

• TXDATAWIDTH = 1 : TXDATA[15:0] = 16 ビ ッ ト 幅

10 ビ ッ ト または 20 ビ ッ ト のバスが必要な場合は、 8B/10B エン コーダの

TXCHARDISPVAL お よ び TXCHARDISPMODE ポー ト と TXDATA ポー ト を結合し ます。 詳細は 101 ページの図 6-3 を参照し て く だ さい。

TXDATAWIDTH0

TXDATAWIDTH1入力 TXUSRCLK2

TXDATA ポー ト 幅を選択し ます。

• 0 : 8 ビ ッ ト または 10 ビ ッ ト 幅

• 1 : 16 ビ ッ ト または 20 ビ ッ ト 幅

Page 99: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 99UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

こ のセ ク シ ョ ンに該当する属性はあ り ません。

TXENC8B10BUSE 入力 TXUSRCLK2

8B/10B エン コーダを イ ネーブルにするには High に設定し ます。

INTDATAWIDTH も High にする必要があ り ます。

0 : 8B/10B エンコ ーダがバイ パス さ れます。 こ のオプショ ンによ り レ イ

テンシが削減さ れま す。

1 : 8B/10B エン コーダがイ ネーブルにされます。 INTDATAWIDTH は High にする必要があ り ます。

TXOUTCLK0

TXOUTCLK1出力 なし

GTP ト ラ ンシーバによ って生成されるパラ レル ク ロ ッ ク を提供し ます。

1 つまたは複数の GTP ト ラ ンシーバの TXUSRCLK を駆動する ために使

用でき ます。 ク ロ ッ ク レー ト は INTDATAWIDTH に依存し ます。

• INTDATAWIDTH = Low FTXOUTCLK = ラ イ ン レー ト /8

• INTDATAWIDTH = High FTXOUTCLK = ラ イ ン レー ト /10

メ モ :

• INTDATAWIDTH が High の と き、 デューテ ィ サイ クルは 50/50 では

な く 60/40 です。

• オーバーサンプ リ ングがイ ネーブルの と きは、 FTXOUTCLK 計算での

ラ イ ン レー ト は PMA ラ イ ン レー ト ではな く オーバーサンプ リ ング さ

れた ラ イ ン レー ト と同値にな り ます。

TXRESET0

TXRESET1入力 非同期

位相ア ラ イ メ ン ト FIFO、 8B/10B エン コーダ、 FPGA TX イ ン ターフ ェ イ

ス を備えている GTP ト ラ ン ス ミ ッ タの PCS を リ セ ッ ト し ます。

TXUSRCLK0

TXUSRCLK1入力 なし

内部 TX PCS データパスの ク ロ ッ クに使用し ます。 この ク ロ ッ クは常に供

給される必要があ り ます。 ク ロ ッ ク レー ト は INTDATAWIDTH に依存し

ます。

• INTDATAWIDTH = Low FTXUSRCLK = ラ イ ン レー ト /8

• INTDATAWIDTH = High FTXUSRCLK = ラ イ ン レー ト /10

TXUSRCLK20

TXUSRCLK21入力 なし

FPGA ロ ジ ッ ク と TX イ ン ターフ ェ イ スの同期に使用し ます。 立ち上が り

エ ッ ジで TXUSRCLK と揃え られる必要があ り ます。 ク ロ ッ ク レー ト は

FTXUSRCLK および TXDATAWIDTH に依存し ます。

• TXDATAWIDTH = 0 FTXUSRCLK2 = FTXUSRCLK

• TXDATAWIDTH = 1 FTXUSRCLK2 = FTXUSRCLK/2

表 6-1 : FPGA TX イン ターフ ェ イスのポー ト (続き)

ポー ト 方向ク ロ ッ ク ド メ イ ン

説明

Page 100: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

100 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

FPGA TX イン ターフ ェ イスR

説明

FPGA TX イ ン ターフ ェ イ スによ り 、 パラ レル データ を GTP ト ラ ンシーバに書き込み、 シ リ アル

データ と し て送信でき る よ う にな り ます。 こ のイ ン ターフ ェ イ ス を使用するには、 次を実行する必

要があ り ます。

• データ イ ン ターフ ェ イ スの幅を設定し ます。

• TXUSRCLK2 および TXUSRCLK を適切なレー ト で動作し ている ク ロ ッ ク に接続し ます。

イ ン ターフ ェ イス幅の設定

表 6-2 に、TX データパスのイ ン ターフ ェ イ ス幅がどのよ う に決定されるかを示し ます。 8B/10B エ

ン コード については、 106 ページの 「コ ンフ ィ ギャブル 8B/10B エン コーダ」 で詳細に説明し ます。

図 6-2 に、内部データパスが 8 ビ ッ ト 幅 (INTDATAWIDTH = Low) かつ 8B/10B エン コード がデ ィ

スエーブルの と き、 TXDATA がどのよ う にシ リ アル送信されるかを示し ます。

図 6-3 に、 内部データ パス が 10 ビッ ト 幅 (INTDATAWIDTH = High) かつ 8B/10Bエンコ ード がディ

ス エーブルのと き 、TXDATA がどのよ う にシリ アル送信さ れる かを示し ま す。 TXDATA が 10 ビッ ト

または 20 ビッ ト 幅の場合、 8B/10B エンコ ーダの TXCHARDISPMODE およ び TXCHARDISPVAL ポート は追加分のビッ ト の送信に使用さ れま す。

表 6-2 : TX データパス幅の設定

INTDATAWIDTH TXDATAWIDTH TXENC8B10BUSE FPGA TX インタ ーフ ェ イス幅

0 0 な し 8 ビ ッ ト

0 1 な し 16 ビ ッ ト

1 0 0 10 ビ ッ ト

1 0 1 8 ビ ッ ト

1 1 0 20 ビ ッ ト

1 1 1 16 ビ ッ ト

図 6-2 : 8B/10B エン コーダはバイパス し、 内部データパスが 8 ビ ッ ト の場合

UG196_c6_02_010908

15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 7 6 5 4 3 2 1 0TXDATA

TXDATAWIDTH = 0TXDATAWIDTH = 1

TXDATA

Page 101: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 101UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

8B/10B エン コード の使用時、 データ イ ン ターフ ェ イ スは 8 ビ ッ ト の倍数で (図 6-2 )、 データはシ

リ アル送信される前にエン コード されます。 8B/10B エン コード を使用する際のビ ッ ト 順の詳細は、

106 ページの 「コ ンフ ィ ギャブル 8B/10B エン コーダ」 を参照し て く ださ い。

TXUSRCLK および TXUSRCLK2 の接続

FPGA TX イ ン ターフ ェ イ スには、 TXUSRCLK および TXUSRCLK2 の 2 つのパラ レル ク ロ ッ ク

があ り ます。 TXUSRCLK は、GTP ト ラ ン ス ミ ッ タの PCS ロ ジ ッ ク用の内部ク ロ ッ ク です。 必要と

なる TXUSRCLK レー ト は、 GTP_DUAL タ イルの内部データパス幅 (INTDATAWIDTH) および

GTP ト ラ ンス ミ ッ タの TX ラ イ ン レー ト によ り ます (TX ラ イ ン レー ト の決定方法は、 119 ページ

の 「Parallel In Serial Out」 で説明)。 式 6-1 に、 TXUSRCLK レー ト の算出式を示し ます。

式 6-1

TXUSRCLK2 は、 GTP ト ラ ンシーバの TX 側に入力される信号すべてを同期する主要ク ロ ッ ク で

す。 GTP ト ラ ンシーバの TX 側に入力されるほ と んどの信号は、TXUSRCLK2 の立ち上が り エ ッ ジ

で取 り 込まれます。 TXUSRCLK2 のレー ト は、TXDATAWIDTH = 0 の と きは TXUSRCLK と同一

で、 TXDATAWIDTH = 1 の と きは TXUSRCLK の 1/2 と な り ます。 式 6-2 に、 TXDATAWIDTH に基づ く TXUSRCLK2 レー ト の算出式を示し ます。

式 6-2

TXUSRCLK、 TXUSRCLK2、 および CLKIN の関係には、 従 う べき規則があ り ます。 まず、

TXUSRCLK および TXUSRCLK2 は、 ク ロ ッ ク スキ ューを可能な限 り 小限に抑えた状態で、 立

ち上が り エ ッ ジで揃え る必要があ り ます。 これによ り 、ロー ス キ ュー ク ロ ッ ク リ ソース (BUFG お

よび BUFR) を使用し て TXUSRCLK および TXUSRCLK2 を駆動でき ます。 TXUSRCLK と

TXUSRCLK2 の周波数が同一の場合、 同じ ク ロ ッ ク リ ソース を使用し て両方のク ロ ッ ク を駆動し

ます。2 つのク ロ ッ ク の周波数が異なる場合は、TXUSRCLK を分周し て TXUSRCLK2 と同じにし

ます。 2 つの ク ロ ッ クの立ち上が り エッ ジが必ず揃 う よ う に設計する必要があ り ます。 「例」 セ ク

シ ョ ンには、 こ の要件を満たすコ ンフ ィ ギュ レーシ ョ ンがい く つか記載されています。

TXUSRCLK、TXUSRCLK2、および CLKIN の周波数が異なる場合でも、同じオシレータ を ク ロ ッ

ク ソース と し て使用する必要があ り ます。 つま り 、TXUSRCLK および TXUSRCLK2 の周波数は、

CLKIN の周波数を逓倍または分周し た ものにする必要があ り ます。 GTP ト ラ ンシーバは、

REFCLKOUT ピン (GTP_DUAL タ イルの両 ト ラ ンシーバで共有されている) または TXOUTCLK ピンを使用する 2 通 り の方法で CLKIN へのア ク セス を可能にし ます。 「例」 セ ク シ ョ ンには、各ピ

ンを使用する ク ロ ッ ク コ ンフ ィ ギュ レーシ ョ ン例が含まれます。

図 6-3 : 8B/10B エン コーダはバイパス し、 内部データパスが 10 ビ ッ ト の場合

TXDATA15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 7 6 5 4 3 2 1 0

UG196_c6_03_010908

TXDATA

TXDATAWIDTH = 1 TXDATAWIDTH = 0

TXCHARDISPMODE[1]

TXCHARDIPSVAL[1]

TXCHARDISPMODE[0]

TXCHARDIPSVAL[0]

TXCHARDISPMODE[0]

TXCHARDIPSVAL[0]

TXUSRCLK RateLine Rate

Internal Datapath Width----------------------------------------------------------=

TXUSRCLK2 Rate TXUSRCLK (TXDATAWIDTH = 0)=

TXUSRCLK2 RateTXUSRCLK

2------------------------------- TXDATAWIDTH = 1( )=

Page 102: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

102 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

FPGA TX イン ターフ ェ イスR

REFCLKOUT は CLKIN と同一です。 これはフ リ ー ラ ンニング ク ロ ッ ク で、 共有 PMA PLL の

ロ ッ ク以前から動作し ます。 REFCLKOUT は CLKIN レー ト を使用するため、TXUSRCLK および

TXUSRCLK2 を必須レー ト にするには、 逓倍および分周が必要な場合があ り ます。

TXOUTCLK は、既に TXUSRCLK レー ト に分周されている CLKIN の複製であ り 、必要な分周器

が少数で済む場合があ り ます。 ただし、 TXOUTCLK はフ リ ー ラ ンニング ク ロ ッ ク ではあ り ませ

ん。 共有 PMA PLL のロ ッ ク後にのみ有効で、TX の位相ア ラ イ メ ン ト がオンになっている と きは使

用でき ません (110 ページの「TX バッ フ ァ、位相ア ラ イ メ ン ト 、およびバッ フ ァ バイパス」 を参照)。

図 6-4 から図 6-8 では、 FPGA ク ロ ッ ク リ ソース を使用し て TX イ ン ターフ ェ イ スのパラ レル ク

ロ ッ ク を駆動するい く つかの方法を示し ます。

TXOUTCLK による GTP TX の駆動 (1 バイ ト モー ド )

図 6-4 では、 TXOUTCLK を使用し て 1 バイ ト モード (TXDATAWIDTH = 0) で TXUSRCLK および TXUSRCLK2 を駆動し ています。

図 6-4 : TXOUTCLK による TXUSRCLK および TXUSRCLK2 の駆動

GTP

BUFG BUFR(1)

TXOUTCLK

TXDATA

TXUSRCLK

TXUSRCLK2

UG196_c6_04_100406

メ モ :

1. ク ロ ッ ク の 大周波数 と BUFR のジ ッ タ制限は、 『Virtex-5 データ シート : DC 特性およびス イ ッ チ特性』 および 『Virtex-5 FPGA コ ンフ ィギ ュ レーシ ョ ン ガ イ ド』 を参照し て く だ さ い。

Page 103: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 103UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

TXOUTCLK による GTP TX の駆動 (2 バイ ト モー ド )

図 6-5 および図 6-6 の例では、2 バイ ト データパス (TXDATAWIDTH = 1) を使用し ています。 こ の

よ う な場合、 TXOUTCLK が TXUSRCLK を駆動し、 さ らに DCM または PLL を使用し て 2 分周

されて TXUSRCLK2 を駆動し ます。

TXOUTCLK による複数の ト ラ ンシーバの駆動 (デー タパスが 2 バイ ト の場合)

図 6-7 には、TXOUTCLK が複数の GTP ユーザー ク ロ ッ ク を駆動する例を示し ます。 こ のよ う な場

合、すべての GTP ト ラ ンシーバの ク ロ ッ クが適切、かつ同一の リ フ ァ レン ス ク ロ ッ ク を共有する必

要があ り ます。 図 6-7 では、 上部に位置する GTP が 2 バイ ト のイ ン ターフ ェ イ ス を使用する ため、

ク ロ ッ ク を分周し て TXUSRCLK2 を生成する必要があ り ます。

図 6-5 : データパスが 2 バイ ト の場合、 DCM を使用し たク ロ ッ クの作成

図 6-6 : データパスが 2 バイ ト の場合、 PLL を使用し たク ロ ッ クの作成

GTP

DCM

CLKFB

CLKIN

RST

BUFG

CLK0

PLLLKDET

TXOUTCLK

TXUSRCLK2

TXUSRCLK

TXDATA (16 20 )

CLKDV

LOCKED

FPGA

UG196_c6_05_051507

GTP

PLL_BASE

CLKIN

RST

CLKOUT0PLLLKDET

TXOUTCLK

TXUSRCLK2

TXUSRCLK

TXDATA (16 20 )

CLKOUT1

LOCKED

FPGA

UG196_c6_06_032907

BUFG

Page 104: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

104 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

FPGA TX イン ターフ ェ イスR

REFCLKOUT による複数 ト ラ ンシーバの駆動 (データパスが 2 バイ ト の場合)

図 6-8 に、REFCLKOUT を使用し て USRCLK 信号を作成する方法を示し ます。 REFCLKOUT は、

GTP_DUAL タ イルが リ セ ッ ト された と きでも、継続的に動作し ます。ただし、適切な USRCLK 周

波数を作成するには、 追加のク ロ ッ ク リ ソースが必要な場合があ り ます。 図 6-8 に、 PLL を使用し

て REFCLKOUT から TXUSRCLK および TXUSRCLK2 周波数を作成する例を示し ます。 PLL の

代わ り に DCM を使用でき ますが、 REFCLKOUT   レー ト がターゲ ッ ト とする TXUSRCLK レー

ト の整数倍でない場合は PLL の方が便利です。

図 6-7 : データパスが 2 バイ ト の場合、 TXOUTCLK による複数の ト ラ ンシーバの駆動

GTP

PLLLKDET

TXOUTCLK LOCKED

TXUSRCLK2

TXUSRCLK

TXDATA (16 20 )

GTP TXUSRCLK2

TXUSRCLK

TXUSRCLK

TXUSRCLK2

TXDATA (16 20 )

2

UG196_c6_07_112907

FPGA

Page 105: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 105UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

図 6-8 : データパスが 2 バイ ト の場合、 REFCLKOUT による複数の ト ラ ンシーバの駆動

GTP

GTP_DUAL

GTP

UG196_c6_08_040907

PLL_BASE

CLKIN

RSTCLKOUT0

REFCLKOUT

PLLLKDET

TXUSRCLK2

TXUSRCLK

TXUSRCLK2

TXUSRCLK

TXDATA (16 20 )

CLKOUT1

LOCKED

TXDATA (16 20 )

FPGA BUFG

Page 106: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

106 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

コ ン フ ィ ギャ ブル 8B/10B エン コーダR

コ ン フ ィ ギャ ブル 8B/10B エン コーダ

概要

多数のプロ ト コルが出力されるデータに 8B/10B エン コード を使用し ます。 8B/10B は業界標準の

エン コード方法で、 パフ ォーマン ス を向上させる ため、 バイ ト ご と に 2 ビ ッ ト のオーバーヘッ ド も

処理し ます。 表 6-3 に、 8B/10B の メ リ ッ ト とデ メ リ ッ ト を簡潔に示し ます。 付録 C には、 8B/10B では 8 ビ ッ ト 値がどのよ う に 10 ビ ッ ト データおよび制御シーケン スにマ ッ プされるかが記載され

ています。

GTP ト ラ ンシーバは 8B/10B エン コーダを備えてお り 、FPGA の リ ソース を使用する こ と な く 、TX データ をエン コード し ます。 また、エン コード が不要な場合は、ブロ ッ ク をデ ィ スエーブルにし てレ

イ テンシを 小にでき ます。

表 6-3 : 8B/10B の ト レー ド オフ

8B/10B のメ リ ッ ト 8B/10B のデ メ リ ッ ト

• DC のバラ ン スが とれる : AC カ ッ プルされ

たチャネルでのラ イ ン チャージングによ っ

てビ ッ ト エラーが増加する こ と はない

• バイ ト ご と に 2 ビ ッ ト のオーバーヘッ ド : 送信された全バイ ト が 10 ビ ッ ト のキ ャ ラ ク

タにマ ッ プされる。 結果と し て、 チャネル バン ド幅の 20% をオーバーヘッ ド が使用す

る こ と になる。

• ラ ン レ ングス制限 : 遷移し ない場合の 大

ビ ッ ト 数は 5 であ り 、 レシーバのロ ッ ク と

その維持が容易

• チャネルの両側で 8B/10B を使用し なけれ

ばな ら ない : 8B/10B データは使用前にデ

コード が必要。

• エラー検出 : すべてのシングル ビ ッ ト エラー と複数ビ ッ ト エラーの多 く は、 デ ィ ス

パ リ テ ィ および out of table エラー チェ ッ ク

機能を使用し て検出可能

• 制御キャ ラ ク タ : 8B/10B では、 バイ ト を制

御キャ ラ ク タ と し てマーク 。 こ の機能は、 多

数の標準的なプロ ト コ ルで使用頻度が高い

Page 107: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 107UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

ポー ト および属性

表 6-4 で TX エン コーダのポー ト について説明し ます。

表 6-4 : TX エン コーダ ポー ト

ポー ト 方向ク ロ ッ ク ド メ イ ン

説明

TXBYPASS8B10B0[1:0]

TXBYPASS8B10B1[1:0]入力 TXUSRCLK2

バ イ ト ご と に TX 8B/10B エ ン コ ーダ の処理 を 制御 し ま す。

TXENC8B10B お よ び INTDATAWIDTH が共に High の と き

(8B/10B がイ ネーブル) にのみ有効です。

TXBYPASS8B10B[1] が TXDATA[15:8] に対応

TXBYPASS8B10B[0] が TXDATA[7:0] に対応

00 : 両バイ ト を 8B/10B エン コード

01 : TXDATA[15:8] のみを 8B/10B エン コード

10 : TXDATA[7:0] のみを 8B/10B エン コード

11 : 両バイ ト と も 8B/10B エン コード されない

TXCHARDISPMODE0[1:0]

TXCHARDISPMODE1[1:0]入力 TXUSRCLK2

8B/10B エン コー ド がイ ネーブルの と き、 出力データのデ ィ スパ リ

テ ィ を制御でき ます。

8B/10B エンコ ード がディ ス エーブルのと き は、 TX イ ンタ ーフェ イ

ス のデータ バス (バス 幅は 10 の倍数) 拡張に使用さ れま す (詳細は、

98 ページの「 FPGA TX イ ンタ ーフェ イ ス 」 を参照し てく ださ い) 。

TXCHARDISPMODE[1] は TXDATA[15:8] に対応

TXCHARDISPMODE[0] は TXDATA[7:0] に対応

110 ページの表 6-5 には、 8B/10B エン コード がイ ネーブルの と き

にTXCHARDISPMODE が出力データのデ ィ スパ リ テ ィ の制御に

どのよ う に使用されるかが示されています。

TXCHARDISPVAL0[1:0]

TXCHARDISPVAL1[1:0]入力 TXUSRCLK2

8B/10B エン コー ド が イ ネーブルの と き出力データ のデ ィ ス パ リ

テ ィ を制御でき ます。

8B/10B エン コー ド がデ ィ スエーブルの時は、 10 ビ ッ ト および 20 ビ ッ ト TX イ ン ターフ ェ イ スのデータ バス拡張に使用されます (詳

細は、 98 ページの 「FPGA TX イ ン ターフ ェ イ ス」 を参照し て く だ

さい)。

TXCHARDISPVAL[1] は TXDATA[15:8] に対応

TXCHARDISPVAL[0] は TXDATA[7:0] に対応

110 ページの表 6-5 には、 8B/10B エン コード がイ ネーブルの と き

にTXCHARDISPVAL が出力データ のデ ィ スパ リ テ ィ の制御にど

のよ う に使用されるかが示されています。

Page 108: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

108 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

コ ン フ ィ ギャ ブル 8B/10B エン コーダR

こ のセ ク シ ョ ンに該当する属性はあ り ません。

説明

8B/10B エン コーダのイネーブル

GTP ト ラ ンシーバの 8B/10B エン コーダをデ ィ スエーブルにするには、TXENC8B10BUSE を Low に駆動する必要があ り ます。 一方、 イネーブルにするには TXENC8B10BUSE を High に駆動し ま

す。 エン コーダをオフにし た と きの TXDATA ポー ト の動作は、 98 ページの 「FPGA TX イ ン ター

フ ェ イ ス」 で説明し ています。

TXCHARISK0[1:0]

TXCHARISK1{1:0]入力 TXUSRCLK2

TXDATA を 8B/10B K キ ャ ラ ク タ と し て送信するには、High に設

定し ます。 TXCHARISK は、付録 C の 「8B/10B で有効なキ ャ ラ ク

タ」 に あ る 8B/10B 表の K キ ャ ラ ク タ 表に記載 さ れ て い る

TXDATA 値に対し てのみアサー ト し ます。

TXCHARISK[1] は TXDATA[15:8] に対応

TXCHARISK[0] は TXDATA[7:0] に対応

8B/10B エンコ ード をバイ パス する バイ ト に対し ては未定義です。

TXENC8B10BUSE0

TXENC8B10BUSE1入力 TXUSRCLK2

8B/10B エン コーダを イ ネーブルにするには、 High に設定し ます。

INTDATAWIDTH も High にする必要があ り ます。

0 : 8B/10B エン コーダをバイパス し ます。 こ のオプシ ョ ンでレ

イ テンシが削減されます。

1 : 8B/10B エン コーダを イ ネーブルにし ます。 INTDATAWIDTH も 1 に設定し ます。

TXKERR0[1:0]

TXKERR1[1:0]出力 TXUSRCLK2

K キ ャ ラ ク タに無効なコード が指定された こ と を示し ます。

1 バイ ト イ ン ターフ ェ イ ス :

TXKERR[0] は TXDATA[7:0] 対応

2 バイ ト イ ン ターフ ェ イ ス :

TXKERR[0] は TXDATA[15:8] に対応

TXKERR[1] は TXDATA[7:0] に対応

TXRUNDISP0[1:0]

TXRUNDISP1[1:0]出力 TXUSRCLK2

8B/10B エン コーダの現在の ラ ンニン グ デ ィ スパ リ テ ィ を示し ま

す。 こ のデ ィ スパ リ テ ィ は、 数サ イ ク ル前に ク ロ ッ ク 入力 さ れた

TXDATA に対応し ます。

1 バイ ト イ ン ターフ ェ イ ス :

TXRUNDISP[0] は前の TXDATA[7:0] データに対応

2 バイ ト イ ン ターフ ェ イ ス :

TXRUNDISP[0] は前の TXDATA[15:8] データに対応

TXRUNDISP[1] は前の TXDATA[7:0] データに対応

表 6-4 : TX エン コーダ ポー ト (続き)

ポー ト 方向ク ロ ッ ク ド メ イ ン

説明

Page 109: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 109UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

8B/10B のビ ッ ト およびバイ ト 順序

8B/10B エン コーダではビ ッ ト a0 が 初に送信される必要があ るので、GTP ト ラ ンシーバは常に

も右にあ る ビ ッ ト から送信し ます。 こ のため、 8B/10B エン コード後のビ ッ ト 順序は、 付録 C 「8B/10B で有効なキ ャ ラ ク タ」 に記載されている順序と逆にな り ます。 GTP ト ラ ンシーバの

8B/10B エン コーダは、 8B/10B と一致する よ う 自動的にビ ッ ト 順序を反転し ます (図 6-9)。

これ と同じ理由から、2 バイ ト イ ン ターフ ェ イ ス を使用する場合、 初に送信されるバイ ト (バイ ト

0) は TXDATA[7:0] に、2 番目のバイ ト は TXDATA[15:8]] に配置される必要があ り ます。 こ のバイ

ト 配置によ って、 8B/10B エン コード で求められる よ う に、 バイ ト 0 ビ ッ ト がバイ ト 1 ビ ッ ト の前

に確実に送信されます。

K キャ ラ ク タ

8B/10B 表には、フ ァ ン ク シ ョ ンの制御に頻繁に使用される特殊文字 (K キ ャ ラ ク タ) が含まれます。

TXDATA を通常データ と し てではな く 、 K キ ャ ラ ク タ と し て送信するには、 TXCHARISK ポー ト

を High に駆動する必要があ り ます。 TXDATA が有効な K キ ャ ラ ク タではない場合、エン コーダは

TXKERR を High に駆動し ます。

ラ ンニング デ ィ スパリ テ ィ

8B/10B では、 送信された 0 と 1 のバラ ン ス を取る ためにラ ンニング デ ィ スパ リ テ ィ が使用されま

す。 あ る キ ャ ラ ク タが送信される と、 エン コーダでは常にラ ンニング デ ィ スパ リ テ ィ が再度計算さ

れます。 現時点での TX ラ ンニング デ ィ スパ リ テ ィ は、TXCHARDISP ポー ト から読み出すこ と が

でき ます。 こ のラ ンニング デ ィ スパ リ テ ィ は、TXDATA が FPGA TX イ ン ターフ ェ イ スにク ロ ッ ク

入力されてから数ク ロ ッ ク サイ クル後に算出されます。 し たがって、 一部のプロ ト コルで必要と さ

れる よ う な、 次の送信値の決定には使用でき ません。

ラ ンニング デ ィ スパ リ テ ィ は通常、 次に、 正負どち ら の 10 ビ ッ ト コード を送信するかを決定する

ために使用されます。 エン コーダでは、 デ ィ スパ リ テ ィ を使用し て制御情報を送信するプロ ト コル

に適用するため、次のデ ィ スパ リ テ ィ 値を直接制御する こ と もでき ます。 た と えば、反転されたデ ィ

スパ リ テ ィ と共に送信された Idle キ ャ ラ ク タ を使用し、ク ロ ッ ク コ レ ク シ ョ ンを開始する場合も あ

図 6-9 : 8B/10B エン コー ド

a0b0c0d0e0i0f0g0h0j0a0b0c0d0e0i0f0g0h0j0a1b1c1d1e1i1f1g1h1j1

UG196_c6_09_112807

TXDATA

TXDATAWIDTH = 1

8B/10B

15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

H1G1 F1 E1 D1 C1 B1 A1 H0 G0 F0 E0 D0 C0 B0 A0 H0 G0 F0 E0 D0 C0 B0 A0

7 6 5 4 3 2 1 0

8B/10B

TXDATAWIDTH = 0

TXDATA

Page 110: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

110 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

TX バッ フ ァ、 位相アラ イ メ ン ト 、 およびバッ フ ァ バイパスR

り ます。 表 6-5 に、TXCHARDISPMODE および TXCHARDISPVAL ポー ト を使用し て出力される

デ ィ スパ リ テ ィ 値を どのよ う に制御するかを示し ます。

8B/10B のバイパス

エン コーダでは、TXBYPASS8B10B 信号を使用し て出力データ を総合的に制御し ます。 8B/10B エ

ン コーダをバイパス し、 10 ビ ッ ト のコード を直接書き込むには、 TXBYPASS8B10B を High に駆

動する 必要があり ます。 TXBYPASS8B10B が High の間、バイ ト 対応の TX イ ンタ ーフェ イ ス は、100 ページの図 6-2 と 同様です。 TXBYPASS8B10B を使用し てエンコ ーダをバイ パス する 際、レ イ テンシ

は減少さ れま せんが、TX イ ンタ ーフェ イ ス の各バイ ト をク ロ ッ ク サイ ク ルごと にバイ パス でき ます。

TX バッ フ ァ、 位相アラ イ メ ン ト 、 およびバッ フ ァ バイパス

概要

GTP TX データパスには、PCS で使用される 2 つの内部パラ レル ク ロ ッ ク ド メ イ ン、PMA パラ レ

ル ク ロ ッ ク (XCLK) ド メ イ ンおよび TXUSRCLK ド メ イ ンがあ り ます。 データ送信する場合、

XCLK レー ト と TXUSRCLK レー ト を一致させ、2 つの ド メ イ ン間の位相差をな く す必要があ り ま

す。 図 6-10 に、 XCLK および USRCLK ド メ イ ンを示し ます。

表 6-5 : TXCHARDISPMODE および TXCHARDISPVAL と出力されるデ ィ スパリ テ ィ

TXCHARDISPMODE TXCHARDISPVAL 出力されるデ ィ スパリ テ ィ 値

0 0 通常、 8B/10B エン コーダによ って算出し ます。

0 1TXDATA のエン コード時に、 通常のラ ンニング

デ ィ スパ リ テ ィ を反転し ます。

1 0TXDATA のエン コード時に、 強制的に負のラ ン

ニング デ ィ スパ リ テ ィ を送信し ます。

1 1TXDATA のエン コード時に、 強制的に正のラ ン

ニング デ ィ スパ リ テ ィ を送信し ます。

Page 111: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 111UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

GTP ト ラ ンス ミ ッ タ には TX バッ ファ およ び TX 位相アラ イ メ ント 回路が含ま れ、PMACLK およ び

TXUSRCLK ド メ イ ン間の位相差を調整し ま す。 すべての TX データ パス は、こ れら の回路のいずれ

かを使用する 必要があり ま す。表 6-6 に、バッ ファ リ ングと 位相アラ イ メ ント のト レ ード オフを示し

ま す。

TX 位相ア ラ イ メ ン ト 回路を使用する こ と で、GTP ト ラ ンシーバ間のス キ ューを 小限にでき ます。

図 6-11 に複数の GTP ト ラ ンシーバの PMACLK ド メ イ ンを共通ク ロ ッ ク に揃え る こ と によ り 、 ど

のよ う にレーン ス キ ューが削減されるかを示し ます。

また、 図 6-11 には、 共通ク ロ ッ クへの位相ア ラ イ メ ン ト 前後のレーンを示し ています。 位相ア ラ イ

メ ン ト 前は、すべての PMACLK に任意の位相差があ り ます。しかし、調整後の位相差は共通ク ロ ッ

図 6-10 : ク ロ ッ ク ド メ イ ンおよび調整ロジ ッ ク

TX

TX-PMA TX-PCS

TX

PMAPLL

PMA PLL

同チャネル のRX パラレル データ

TXPISO

FIFO

PRBS

8B/

10B

TX PIPE

FPGA

PMA (XCLK)

PCS (TXUSRCLK)

FPGA

(TXUSRCLK2)

UG196_c6_10_080806

表 6-6 : バッ フ ァ リ ングおよび位相ア ラ イ メ ン ト の ト レー ド オフ

TX バッ フ ァ TX 位相アラ イ メ ン ト

使いやすさ

可能であれば、TX バッ フ ァ を使用。 動

作が強力かつ容易。

余分な ロ ジ ッ ク と ク ロ ッ ク ソ ース

に対する追加制約が必要。

TXOUTCLK は使用不可。

レ イ テンシ低いレ イ テンシが非常に重要な場合、

TX バッ フ ァはバイパスする。

データパス で使用する レ ジ ス タ が、

よ り 少数。

ス キ ュー削減

TX バッ フ ァ を使用する こ と で、

スキ ューが削減される こ と はない。

位相ア ラ イ メ ン ト 回路の使用 し て

GTP ト ラ ン シーバ間の ス キ ュ ーを

削減可能。 関連 し たすべての GTP ト ラ ン シーバ間の ラ イ ン レー ト を

同一にする。

オーバー

サンプ リ ング

オーバーサンプ リ ングには、 TX バ ッ

フ ァ の使用が必須。

Page 112: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

112 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

TX バッ フ ァ、 位相アラ イ メ ン ト 、 およびバッ フ ァ バイパスR

ク のスキ ューのみで、 データパスのレ イ テンシが一致し ている限 り 、 すべてのデータが同時に送信

されます。

オーバーサンプ リ ングがイ ネーブルの と き (OVERSAMPLE_MODE = TRUE)、 TX バッ フ ァは

ビ ッ ト 補間に使用され、 常にア ク テ ィ ブ と なっている必要があ り ます。 ビル ト イ ンの 5 倍オーバー

サンプ リ ング機能の詳細は、 152 ページの 「オーバーサンプ リ ング」 を参照し て く ださ い。

ポー ト および属性

表 6-7 で TX バッ フ ァ リ ングおよび位相ア ラ イ メ ン ト ポー ト を構成し ている信号について説明し

ます。

図 6-11 : 位相ア ラ イ メ ン ト 前後の図

GTP TX

GTP TXGTP TX

GTP TX

UG196_c6_11_080806

表 6-7 : TX バッ フ ァ リ ングおよび位相アラ イ メ ン ト ポー ト

ポー ト 方向ク ロ ッ ク ド メ イン

説明

PLLLKDET 出力 非同期

High の と き、VCO レー ト が目的のレー ト におけ る許容可能な範囲内

であ る こ と を示し ます。 こ の条件が満た される まで、 タ イル内のいず

れの GTP ト ラ ンシーバの動作も安定し ません。

REFCLKOUT 出力 な し

各 GTP_DUAL タ イルからの REFCLKOUT ポー ト は共有 PMA PLL に入力されている リ フ ァ レ ンス ク ロ ッ ク (CLKIN) への直接ア ク セス

を提供し ます。 FPGA ロジ ッ ク で使用する ための配線も可能です。

TXBUFSTATUS0[1:0]

TXBUFSTATUS1[1:0]出力 TXUSRCLK2

TX バッ フ ァ のステータ ス

TXBUFSTATUS[1] : TX バッ フ ァはオーバーまたはアンダーフ ロー

1 : FIFO がオーバーフ ローまたはアンダーフ ロー

0 : オーバーフ ロー /アンダー フ ローのエラーな し

TXBUFSTATUS[0] : TX バッ フ ァはフル

1 : FIFO は少な く と も 1/2 フル

0 : FIFO は 1/2 フル未満

TXBUFSTATUS[1] は High になる と、 TXRESET がアサー ト される

まで High が保持されます。

Page 113: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 113UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

TXENPMAPHASEALIGN 入力 非同期

ア ク テ ィ ブになる と、GTP_DUAL タ イルの両 ト ラ ンシーバで XCLK を TXUSRCLK に揃え る こ と ができ、TX バッ フ ァ のバイパスが可能

と な り ます。 これによ り 、 複数の GTP ト ラ ンシーバの XCLK を同期

させる こ と ができ る ため、 TX スキ ューが削減されます

TXOUTCLK0

TXOUTCLK1出力 な し

GTP ト ラ ンシーバによ って生成されたパラ レル ク ロ ッ ク を提供し ま

す。 1 つまたは複数の GTP ト ラ ンシーバの TXUSRCLK を駆動する

ために使用でき ます。 ク ロ ッ ク レー ト は INTDATAWIDTH に依存し

ます。

• INTDATAWIDTH が Low の と き :

FTXOUTCLK = ラ イ ン レー ト /8

• INTDATAWIDTH が High の と き :

FTXOUTCLK = ラ イ ン レー ト /10

メ モ :

• INTDATAWIDTH が High のと き は、 デュ ーティ サイ ク ルは 50/50 ではなく 60/40 です。 TX 位相アラ イ メ ント 回路が使用さ れ

る と き は、 TXOUTCLK で TXUSRCLK を駆動でき ま せん。

• オーバーサンプ リ ングがイネーブルの と きは、 FTXOUTCLK 計算

でのラ イ ン レー ト は PMA ラ イ ン レー ト ではな く オーバーサンプ

リ ング された ラ イ ン レー ト と同値にな り ます。

TXPMASETPHASE 入力 非同期ア ク テ ィ ブの と き、 GTP_DUAL タ イルの両 GTP ト ラ ンシーバによ

り XCLK と TXUSRCLK が揃え られます。

TXUSRCLK0

TXUSRCLK1入力 な し

内部 TX PCS データ パス のク ロ ッ ク に使用し ま す。 こ のク ロ ッ ク は常

に供給さ れる 必要があり 、 レ ート は INTDATAWIDTH に依存し ま す。

• INTDATAWIDTH が Low の と き :

FTXUSRCLK = ラ イ ン レー ト /8

• INTDATAWIDTH が High の と き : FTXUSRCLK = ラ イ ン レー ト /10

表 6-7 : TX バッ フ ァ リ ングおよび位相アラ イ メ ン ト ポー ト (続き)

ポー ト 方向ク ロ ッ ク ド メ イン

説明

Page 114: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

114 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

TX バッ フ ァ、 位相アラ イ メ ン ト 、 およびバッ フ ァ バイパスR

表 6-8 で TX バッ フ ァ リ ングおよび位相ア ラ イ メ ン ト の属性について説明し ます。

表 6-8 : TX バッ フ ァ リ ングおよび位相アラ イ メ ン ト の属性

属性 説明

OVERSAMPLE_MODE

両 GTP_DUAL ト ラ ンシーバで共有された属性で、ビル ト イ ンの 5 倍オーバーサンプ リ ン

グ回路をア ク テ ィ ブにし ます。 GTP ト ラ ンシーバが 100Mb/s ~ 500Mb/s のラ イ ン レー

ト で動作する場合は、 オーバーサンプ リ ングを イネーブルにする必要があ り ます。

TRUE : デジ タル オーバーサンプ リ ングはイネーブル

FALSE : デジタル オーバーサンプ リ ングはデ ィ スエーブル

5 倍デジタル オーバーサンプ リ ングの詳細は、 152 ページの 「オーバーサンプ リ ング」 を

参照。

PLL_TXDIVSEL_COMM_OUT

PLL ク ロ ッ ク を分周し、高速なTX ク ロ ッ ク を生成し ます。 ク ロ ッ クの両エ ッ ジを使用す

る ため、 分周された ク ロ ッ クは要求し た TX ラ イ ン レー ト の 1/2 で動作し ます。 設定可

能な分周値は 1、 2、 および 4 です。 両方の GTP ト ラ ンシーバで同一の分周値が必要な場

合に、 こ れ ら の ト ラ ン シーバに ク ロ ッ ク を供給す る には、 こ の分周器を使用 し ます。

PLL_TXDIVSEL_COMM_OUT を使用する と き、PLL_TXDIVSEL_OUT は両方と も 1 に設定し ます。 119 ページの 「Parallel In Serial Out」 を参照し て く ださい。

PLL_TXDIVSEL_OUT_0

PLL_TXDIVSEL_OUT_1

PLL ク ロ ッ ク を分周し、高速なTX ク ロ ッ ク を生成し ます。 ク ロ ッ クの両エ ッ ジを使用す

る ため、 分周された ク ロ ッ クは要求し た TX ラ イ ン レー ト の 1/2 で動作し ます。 設定可

能な分周値は 1、 2、 および 4 です。 各 GTP ト ラ ンシーバには個別の PLL_TXDIVSEL _OUT があ り ます。 ト ラ ンシーバに別々の分周器が必要な場合は、 PLL_TXDIVSEL_ COMM_OUT の代わ り に、 これらの属性を使用し、 PLL_TXDIVSEL_COMM_OUT を

1 に設定し ます。 詳細は、 119 ページの 「Parallel In Serial Out」 を参照し て く ださ い。 TX バッ フ ァ をバイパスする場合は、 PLL_TXDIVSEL_OUT_0 および PLL_TXDIVSEL_ OUT_1 を1 に設定する必要があ り ます。詳細は115 ページの 「TX 位相ア ラ イ メ ン ト 回路

を使用し た TX バッ フ ァのバイパス」 を参照し て く だ さい。

PMA_COM_CFG PMA に共通のコ ンフ ィ ギュ レーシ ョ ン属性。 デフ ォル ト 値のま まにし ます。 RocketIO GTP Transceiver Wizard で自動的に値が決定されます。

TX_BUFFER_USE_0

TX_BUFFER_USE_1

TX バッ フ ァ の使用の有無を決定し ます。

TRUE : TX バッ フ ァ を使用し ます。

FALSE : TX バ ッ フ ァ をバイパス し ます。 FALSE に設定する と きは、 位相ア ラ イ メ ン

ト 回路を使用する必要があ り ます。

TX_XCLK_SEL0

TX_XCLK_SEL1

TX バッ フ ァ の後にあ る PCS の ク ロ ッ ク ド メ イ ンを駆動する ク ロ ッ ク を選択し ます。

属性は次のよ う に設定し ます。

TXOUT : TX_BUFFER_USE = TRUE の と きに使用

TXUSR : TX_BUFFER_USE = FALSE の と きに使用

TXRX_INVERT0

TXRX_INVERT1

異なるモード での動作に対応する よ う 、 GTP ト ラ ンシーバ内の ク ロ ッ ク パス を 適化す

る イ ンバータ を制御し ます。 属性は次のよ う に設定し ます。

00000 : TX_BUFFER_USE = TRUE の と きに使用

00100 : TX_BUFFER_USE = FALSE の と きに使用

Page 115: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 115UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

説明

TX バッ フ ァの使用

TX バッ フ ァ を使用し て ド メ イ ン間の位相差を一致させるには、TX_BUFFER_USE を TRUE に設

定し ます。 TXBUFSTATUS がオーバーフ ローまたはアンダーフ ローを示し た場合は、必ずバッ フ ァ

を リ セ ッ ト する必要があ り ます。 バッ フ ァは GTPRESET (80 ページの 「 リ セ ッ ト 」 ) または

TXRESET (98 ページの 「FPGA TX イ ン ターフ ェ イ ス」 ) を使用し て リ セ ッ ト でき ます。 また、

GTPRESET をアサー ト する こ と で、 GTP_DUAL タ イル全体の リ セ ッ ト シーケン スが ト リ ガ され

ます。

TX 位相ア ラ イ メ ン ト 回路を使用し た TX バッ フ ァのバイパス

TX_BUFFER_USE を FALSE に設定する 場合は、 その代わり に TX 位相アラ イ メ ント 回路を使用する

必要があり ます。 こ の回路を使用し て XCLK と TXUSRCLK の位相を一致さ せる には、 次の手順に従

います。

1. TXRX_INVERT0 および TXRX_INVERT1 を 00100 に設定し ます。

2. TX_XCLK_SEL0 および TX_XCLK_SEL1 を TXUSR に設定し ます。

3. すべてのク ロ ッ ク が安定し てから TXENPMAPHASEALIGN を High に駆動し ます。

位相アラ イ メ ント を反復実行する 場合を除き 、 TXENPMAPHASEALIGN は High に保持し ま

す。 TXENPMAPHASEALIGN を Low に駆動する と、 位相のア ラ イ メ ン ト が失われます。

4. TXUSRCLK2 の 512 サイ クル分待機し てから TXPMASETPHASE を High に駆動し ます。

5. 表 6-9 で指定された TXUSRCLK2 ク ロ ッ ク サイ クル分待機し てから TXPMASETPHASE を

Low に駆動し ます。 これで、 PMACLK および TXUSRCLK の位相が揃え られます。

次に示す状態が発生し た と きは、 こ の位相ア ラ イ メ ン ト の手順を再実行する必要があ り ます。

• GTPRESET のアサー ト

• PLLPOWERDWNB のデ ィ アサー ト

• ク ロ ッ ク ソースの変更

図 6-12 に、TX 位相アラ イ メ ント の過程を示し ます。 TXENPMAPHASEALIGN と TXPMASETPHASE は共有さ れたタ イ ル ピンである ため (68 ページの「 共有 PMA PLL」 を参照)、 こ の過程は常に両方の

GTP ト ラ ンシーバに適用されます。 TX 位相ア ラ イ メ ン ト 回路の使用時、 TXOUTCLK は

TXUSRCLK の ソース と し て使用でき ません。 詳細は、98 ページの「FPGA TX イ ン ターフ ェ イ ス」

を参照し て く ださ い。

表 6-9 : 必要な TXUSRCLK2 ク ロ ッ クのサイ クル数

PLL_DIVSEL_COMM_OUT TXUSRCLK2 が待機するサイ クル数

1 4096

2 8192

3 16384

メ モ :

1. TX バ ッ フ ァ をバイパスする と きは、 PLL_TXDIVSEL_OUT_0 および PLL_TXDIVSEL_OUT_1 を 1 で分周する よ う に設定する必要があ り ます。 2 または 4 での分周は、 両チャネルで共通な PLL_TXDIVSEL_ COMM_OUT を使用し て指定する必要があ り ます。 PLL_TXDIVSEL_OUT_0 および PLL_TXDIVSEL_ OUT_1 が 1 に設定されている場合は、 GTP_DUAL タ イルの GTP0 ト ラ ン ス ミ ッ タおよび GTP1 ト ラ ン スミ ッ タは同一の ラ イ ン レー ト で動作し ます。

Page 116: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

116 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

TX バッ フ ァ、 位相アラ イ メ ン ト 、 およびバッ フ ァ バイパスR

位相アラ イ メ ント の開始前に、 共有 PMA ク ロ ッ ク およ び TXUSRCLK が共に安定し ている こ と が

重要です。

• REFCLKOUT が TXUSRCLK を直接駆動する場合、 PLLLKDET がアサー ト し てから位相ア

ラ イ メ ン ト を開始し ます。

• TXUSRCLK が DCM または PLL から入力される場合、位相ア ラ イ メ ン ト 前に、DCM または

PLL からの PLLLKDET および LOCKED 信号を待機する必要があ り ます。

TX 位相ア ラ イ メ ン ト 回路を使用し た TX スキューの 小化

TX 位相アラ イ メ ント 回路は、GTP ト ラ ンシーバ間の TX ス キュ ーを 小限にする ためにも 使用でき

ま す。 位相アラ イ メ ント をイ ネーブルにする 際には、 すべての GTP ト ラ ンシーバの TXUSRCLK の

ソ ース が同一であり 、こ のク ロ ッ ク が低ス キュ ーのク ロ ッ キング リ ソ ース (BUFG ま たは BUFR) を

使用し て配線さ れている 必要があり ま す。 図 6-13 に、 TXUSRCLK 信号を低ス キュ ーで動作さ せる

場合の駆動方法を示し ま す。 バッ ファ バイ パス 時の位相アラ イ メ ント に適用さ れる 制限が、 低ス

キュ ーの位相アラ イ メ ント にも 適用さ れま す。

.

図 6-12 : TX PMACLK の位相ア ラ イ メ ン ト 図

RESETDONE0RESETDONE1

TXENPHASEALIGN

TXPMASETPHASE

512 TXUSRCLK2

150 μs

TXUSRCLK2 UG196_c6_12_112907

図 6-13 : 低スキューの TX 位相アラ イ メ ン ト コ ン フ ィ ギュ レーシ ョ ン

GTP

GTP

BUFG BUFR

TXUSRCLK

REFCLKOUT

TXUSRCLK

UG196_c6_13_112907

( )

Page 117: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 117UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

TX における極性制御

概要

GTP ト ラ ンシーバには、PCS から の出力データ をシリ アル化し て送信する 前に、その極性を反転さ せ

る TX 極性制御ファ ンク ショ ンが含ま れま す。 出力データ の極性を反転さ せる には、 TXPOLARITY ポート を High に駆動し ます。

ポー ト および属性

表 6-10 で TX の極性制御ポー ト について説明し ます。

こ のセ ク シ ョ ンに該当する属性はあ り ません。

説明

GTP ト ラ ンシーバは TX データの送信前に、 その極性を反転でき ます。 この機能を使用し て、 ボー

ド上のス ワ ッ プされた TXP/TXN 差動 ト レースでハード ウ ェ ア修正を回避でき ます。

表 6-10 : TX 極性制御ポー ト

ポー ト 方向ク ロ ッ ク ド メ イ ン

説明

TXPOLARITY0

TXPOLARITY1入力 TXUSRCLK2

終的なト ラ ンス ミ ッ タ の出力を反転する かを指定

し ま す。

0 : 反転し ない。 TXP は正、 TXN は負。

1 : 反転される。 TXP が負、 TXN が正。

Page 118: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

118 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

TX PRBS ジ ェ ネレータR

TX PRBS ジ ェ ネレータ

概要

擬似乱数ビ ッ ト シーケンス (PRBS) は、一般に、高速 リ ン クにおける シグナル イ ンテグ リ テ ィ の検

証に使用されます。 これらのシーケン スには規則性がないよ う に見えますが、 リ ン ク品質の計測に

使用可能な特定のプロパテ ィ があ り ます。

GTP PRBS ブロ ッ クは、 業界標準規格の PRBS パターンを作成でき ます。 表 6-11 に、 利用可能な

PRBS パターン と その一般的な用途を示し ます。

ポー ト および属性

表 6-12 で TX PRBS ジェネレータのポー ト について説明し ます。

こ のセ ク シ ョ ンに該当する属性はあ り ません。

表 6-11 : 擬似乱数ビ ッ ト シーケンス

名前 多項式シーケンス長

(ビ ッ ト )連続し た 0 一般的な用途

PRBS-7 1 + X6 + X7 (反転) 27 - 1 7 8B/10B を使用するチャネルのテス ト に使用

PRBS-23 1 + X18 + X23 (反転) 223 - 1 23ITU-T Recommendation O.150, Section 5.6 SONET 仕様で推奨されている テス ト パターンの 1 つ

PRBS-31 1 + X28 + X31 (反転) 231 - 1 31ITU-T Recommendation O.150, Section 5.8 10 ギガビ ッ ト イーサネ ッ ト に推奨 さ れてい る PRBS テス ト パターン。 IEEE 802.3ae-2002 を参照

表 6-12 : TX PRBS ジ ェネレータ ポー ト

ポー ト 方向ク ロ ッ ク ド メ イ ン

説明

INTDATAWIDTH 入力 非同期

GTP_DUAL タ イル全体の内部データパス幅を指定し ます。PRBS ジェネ

レータは INTDATAWIDTH が High (内部データパスは 10 ビ ッ ト ) の と

きのみ動作し ます。

TXENPRBSTST0[1:0]

TXENPRBSTST1[1:0]入力 TXUSRCLK2

ト ラ ン ス ミ ッ タのテス ト パターン生成を制御し ます。 PRBS は、 テ ス ト

パターン生成回路を イネーブルにする こ と によ り 作成されます。

PRBS ジェネレータが有効な場合は、INTDATAWIDTH を High (10 ビ ッ

ト の内部データパス幅モード ) に設定する必要があ り ます。

00 : テス ト パターン生成はオフ (標準動作モード )

01 : 27-1 の PRBS 生成を イ ネーブル

10 : 223-1 の PRBS 生成を イ ネーブル

11 : 231-1 の PRBS 生成を イ ネーブル

PRBS パターンには決定性があ る ため、 レシーバでは PRBS の生成シー

ケンス と比較し て受信データ を確認でき ます。

Page 119: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 119UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

説明

ビル ト イ ン PRBS ジェネレータは各 GTP ト ラ ンシーバに含まれます。 こ の機能はチャネル テス ト

の際に、 ループバッ クおよびビル ト イ ン PRBS チェ ッ カなどのその他のテス ト 機能と併用可能で

す。また、10 ビ ッ ト の内部データ幅モード のみがサポー ト される ため、PRBS ジェネレータがイ ネー

ブルの と きは、 INTDATAWIDTH を High に駆動する必要があ り ます。

PRBS ジェネレータ を使用する場合、INTDATAWIDTH を High に駆動し、内部データパス幅を 10 ビ ッ ト にし て く ださ い。 PRBS テス ト モード は TXENPRBSTST ポー ト を使用し て選択し ます。

ポー ト の設定は、 表 6-12 に示されています。

Parallel In Serial Out

概要

Parallel In Serial Out (PISO) ブロ ッ クは GTP TX データパスの中心的役割を果たすものです。 こ の

ブロ ッ クは、共有 PMA PLL からの高速ク ロ ッ ク を使用し、PCS からのパラ レル データ をシ リ アル

化し ます。

PISO ブロ ッ クは、パラ レル ク ロ ッ ク サイ クルご と に 8 ビ ッ ト または 10 ビ ッ ト (タ イルの内部デー

タ幅、 INTDATAWIDTH に依存) をシ リ アル データに変換し ます。 ク ロ ッ ク レー ト は共有 PMA PLL レー ト によ って決定し、 ローカルにあ る TX 分周器で分周されます。

ポー ト および属性

表 6-13 で TX PISO のポー ト について説明し ます。

表 6-13 : TX PISO ポー ト

ポー ト 方向ク ロ ッ ク ド メ イン

説明

INTDATAWIDTH 入力 非同期

GTP_DUAL タ イル全体の内部データパス幅を

指定し ます。 こ のポー ト は共有されてお り 、 68 ページの 「共有 PMA PLL」 でも説明し ます。

0 : 内部データパスは 8 ビ ッ ト 幅

1 : 内部データパスは 10 ビ ッ ト 幅

Page 120: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

120 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

Parallel In Serial OutR

表 6-14 で TX PISO の属性について説明し ます。

説明

式 6-3 に、 オーバーサンプ リ ングな し (OVERSAMPLE_MODE = FALSE に設定) で動作し ている

場合の TX ラ イ ン レー ト の算出方法を示し ます。 PLL_TXDIVSEL_COMM_OUT が 1 よ り 大きい

と きは PLL_TXDIVSEL_OUT は必ず 1 に設定し、 逆の場合も同様です。 GTP_DUAL タ イル内の

両 GTP ト ラ ンシーバが同一の TX ラ イ ン レー ト を使用する場合、 PLL_TXDIVSEL_COMM_OUT を使用する必要があ り ます。

式 6-3

オーバーサンプ リ ングがア ク テ ィ ブ場合は、 式 6-4 を使用し て ラ イ ン レー ト を算出し て く ださ い。

式 6-4

オーバーサンプ リ ングの詳細は、 152 ページの 「オーバーサンプ リ ング」 を参照し て く ださ い。

表 6-14 : TX PISO 属性

属性 説明

OVERSAMPLE_MODE

こ の共有属性は、 両 GTP ト ラ ンシーバのビルト イ ンの 5 倍

オーバーサンプリ ング回路をアク ティ ブにし ま す。 GTP ト ラ

ンシーバが 100Mb/s ~ 500Mb/s のラ イ ン レ ート で動作する

と き は、 オーバーサンプリ ングをイ ネーブルにする 必要があ

あり ま す。

TRUE : タ イル上の 2 つの GTP ト ラ ンシーバのビル ト イ

ン 5 倍オーバーサンプ リ ングを イネーブルにし ます。

FALSE : デジタ ル オーバーサン プリ ン グを ディ ス エー

ブルにし ま す。

5 倍デジタル オーバーサンプ リ ングの詳細は、152 ページの

「オーバーサンプ リ ング」 を参照。

PLL_TXDIVSEL_OUT_0

PLL_TXDIVSEL_OUT_1

各 GTP ト ラ ンシーバの TX ラ イ ン レー ト の分周値を指定し

ます。 PLL_TXDIVSEL_COMM_OUT が 1 よ り 大きい場合

は PLL_TXDIVSEL_OUT を 1 に設定し ます。 TX ラ イ ン

レー ト は PLL_TXDIVSEL_COMM_OUT で指定し ます。 分周値は 1、 2、 または 4 に設定でき ます。

PLL_TXDIVSEL_COMM_OUT

タ イル内の 2 つの GTP ト ラ ンシーバの共通ラ イ ン レー ト 分

周値を指定し ます。 タ イル内の両 GTP ト ラ ンシーバのラ イ

ン レー ト が同一の場合、 共通の分周器を使用す る こ と に

よ ってレーン間のスキ ューを削減し ます。 PLL_TXDIVSEL _COMM_OUT が 1 よ り 大きい場合は PLL_TXDIVSEL_ OUT は常に 1 し ます。 分周値は 1、 2、 または 4 に設定でき

ます。

TX Line RatePLL Clock Rate 2×

PLL_TXDIVSEL_OUT PLL_TXDIVSEL_COMM_OUT×--------------------------------------------------------------------------------------------------------------------------------------------------=

TX Line RatePLL Clock Rate 2×

PLL_TXDIVSEL_OUT PLL_TXDIVSEL_COMM_OUT× 5×------------------------------------------------------------------------------------------------------------------------------------------------------------=

Page 121: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 121UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

コ ン フ ィ ギュ レーシ ョ ン可能な TX ド ラ イバ

概要

GTP TX ド ラ イバは、 高速電流モード の差動出力バ ッ フ ァ です。 こ の ド ラ イバは、 シグナル イ ンテ

グ リ テ ィ を 大にする こ と を目的と し て次の機能を備えています。

• 差動電圧制御

• プ リ エンフ ァ シス

• 設定可能な終端イ ンピーダン ス

これらの各機能がシグナル イ ンテグ リ テ ィ に与え る影響の大き さは、ボードおよびレシーバに依存

し ます。 これら を使用し、どのよ う にシグナル イ ンテグ リ テ ィ を 適にするかは、第 10 章 の 「GTP およびボード間のイ ン ターフ ェ イ ス」 で説明し ています。 図 6-14 に、 TX ド ラ イバのセグ メ ン ト を

示し ます。

図 6-14 : TX ド ラ イバのセグ メ ン ト

MGTAVTTTX

TxData[9:0]

TX

MGTTXN

MGTTXP

TXPREEMPHASIS[2:0]

TXBUFDIFFCTRL[2:0] TXDIFFCTRL[2:0]

UG196_c6_14_051107

PISO10:1

TXPREEMPHASIS[2:0]

Page 122: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

122 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

コ ン フ ィ ギュ レーシ ョ ン可能な TX ド ラ イバR

ポー ト および属性

表 6-15 で TX ド ラ イバのポー ト について説明し ます。

表 6-16 で TX ド ラ イバの属性について説明し ます。

説明

差動電圧制御

TX ド ラ イバの差動幅の大き さは TXDIFFCTRL および TXBUFDIFFCTRL ポー ト を使用し て制

御でき ます。 図 6-17 に示すよ う に、TXDIFFCTRL は主要パ ッ ド ド ラ イバ と プ リ エンフ ァ シス パッ

ド ド ラ イバの駆動強度を制御し ます。 表 6-18 には、差動出力電圧をポー ト 設定別に示し ています。

表 6-15 : TX ド ラ イバのポー ト

ポー ト 方向ク ロ ッ ク ド メ イン

説明

MGTTXN0

MGTTXN1

MGTTXP0

MGTTXP1

出力 (パッ ド )

TX

シ リ アル

ク ロ ッ ク

TXN および TXP は、 差動 ト ラ ン ス ミ ッ タ

出力ペア を構成 し ます。 こ れ ら のポー ト は

パ ッ ド を表すため、その位置は制約する必要

があ り ます (第 4 章 の 「イ ンプ リ メ ンテー

シ ョ ン」 を参照)。 また、 これらのポー ト はデ

ザイ ンの 上位に配置し ます。

TXBUFDIFFCTRL0[2:0]

TXBUFDIFFCTRL1[2:0]入力 非同期

プ リ ド ラ イ バの強度を制御 し ます。 ト ラ ン

シーバのTXDIFFCTRL ポー ト と 同一値に

接続し ます。

TXDIFFCTRL0[2:0]

TXDIFFCTRL1[2:0]入力 非同期

ト ラ ンス ミ ッ タ の差動出力幅を 制御し ま す。

表 6-18 に、 差動電圧幅の概算値を 設定別に

示し ま す。

TXPREEMPHASIS0[2:0]

TXPREEMPHASIS1[2:0]入力 非同期

表 6-18 に示すよ う に、 駆動能力およびプ リ

エンフ ァ シスの相対的強度を制御し ます。

表 6-16 : TX ド ラ イバの属性

属性 説明

TX_DIFF_BOOST0

TX_DIFF_BOOST1

TX ド ラ イバおよびプ リ エンフ ァ シス バッ フ ァの駆動能力を変更し ま

す。FALSE に設定する と、プ リ エンフ ァ シスの比率が増加し ます。差動

出力幅およびプ リ エンフ ァ シスの公称値は表 6-18を参照し て く ださ い。

TX_DIFF_BOOST = TRUE (デフ ォル ト 設定) の と きは、 差動出力幅全

体が減少し ます。

Page 123: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 123UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

パッ ド ド ラ イバは、出力の振幅が TXBUFDIFFCTRL によ って制御されているプ リ ド ラ イバで駆動

されます。 TX パッ ド の出力幅がプ リ ド ラ イバの出力幅によ って変動する こ と はあ り ませんが、

TXBUFDIFFCTRL と TXDIFFCTRL の入力は常に接続する必要があ り ます。

TX_DIFF_BOOST が TRUE に設定されている場合は、プ リ エンフ ァ シス/デエンフ ァ シスの割合が

増加し ます。遷移ビ ッ ト の peak-to-peak 振幅は、TX_DIFF_BUOOST 属性によ り 変動し ません。デ

エンフ ァ シスの増加によ り 、 非遷移ビ ッ ト の振幅が削減されます。 遷移ビ ッ ト は、 0 から 1、 1 から

0 に変動する と きに発生し ます。 非遷移ビ ッ ト は、 現在のビ ッ ト と 1 つ前のビ ッ ト が同じ値の と き

に発生し ます。

プ リ エン フ ァ シス

シ リ アル ト レースでは、低周波数よ り 高周波数が減衰する傾向にあ り ます。 プ リ エンフ ァ シスは、送

信データ をあ らかじめ等化するための手法です。 こ の手法では、 低周波数信号よ り も電圧の大き な

高周波数信号を送信する こ と によ り 、 高周波数の過剰損失を補完し ます。

表 6-17 : ト ラ ンス ミ ッ タ出力幅

Port 値 ト ラ ンス ミ ッ タ差動出力幅 (mV)

TXDIFFCTRL0[2:0] = TXBUFDIFFCTRL0[2:0]

TXDIFFCTRL1[2:0] = TXBUFDIFFCTRL1[2:0]

000 1100

001 1050

010 1000

011 900

100 800

101 600

110 400

111 0

表 6-18 : ト ラ ンス ミ ッ タ プ リ エン フ ァ シス設定

ポー ト 値 ト ラ ンス ミ ッ タ プ リ エン フ ァ シス (%) (1、 2)

TXPREEMPHASIS0[2:0]

TXPREEMPHASIS1[2:0]

プ リ エン フ ァ シス電圧増加オン TX_DIFF_BOOST = FALSE

(デフ ォル ト 設定)

プ リ エン フ ァ シス電圧増加オフ TX_DIFF_BOOST = TRUE

000 2 3

001 2 3

010 2.5 4

011 4.5 10.5

100 9.5 18.5

101 16 28

110 23 39

111 31 52

メ モ :

1. 公称値です。 厳密な値は 『Virtex-5 データ シー ト : DC 特性およびス イ ッ チ特性』 を参照し て く だ さ い。

2. プ リ エンフ ァ シスについては、 『Handbook of Digital Techniques for High-Speed Design』 [参照 3] および 『High-Speed Signal Propagation: Advanced Black Magic』 [参照 6] を参照し て く だ さ い。

Page 124: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

124 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

コ ン フ ィ ギュ レーシ ョ ン可能な TX ド ラ イバR

GTP ト ラ ンシーバで使用されるプ リ エンフ ァ シス手法はデエンフ ァ シスです。 シ リ アル ビ ッ ト が

繰 り 返される と、 そのビ ッ ト の電圧は低減し ます。 た と えば、 1 を 2 つ連続し て送信する と、 2 番目

のビ ッ ト の電圧は 初のビ ッ ト よ り 低 く なっています。 変化の遅い信号 (低周波数コ ンポーネン ト ) は、 速 く 変化する信号 (高周波数コ ンポーネン ト ) よ り も電圧が削減されます。 プ リ エンフ ァ シスお

よびデエンフ ァ シスの詳細は、『Handbook of Digital Techniques for High-Speed Design』[参照 3] の

465 ~ 471 ページ、『High-Speed Signal Propagation: Advanced Black Magic』 [参照 6] の 211 ~ 215 ページを参照し て く ださ い。

GTP ト ラ ンシーバそれぞれに、プ リ エンフ ァ シス制御用の TXPREEMPHASIS ポー ト があ り ます。

表 6-18 には、 デエンフ ァ シス されたビ ッ ト の信号振幅の減少比率が TXPREEMPHASIS レベルご

と に示されています。 こ の比率が高いほど、 よ り 大き く デエンフ ァ シス されます。

高周波数の損失を補填しすぎないよ う 注意し て く ださ い。 プ リ エンフ ァ シス を過剰に使用する こ と

で信号のひずみが発生する場合があ り 、 プ リ エンフ ァ シス と RX イ コ ラ イゼーシ ョ ンを組み合わせ

る こ と によ り (133 ページの 「RX 終端およびイ コ ラ イゼーシ ョ ン」 を参照)、チャネルの高周波数信

号が過剰にエンフ ァ サイ ズ される可能性があ り ます。

設定可能な終端イ ンピーダンス

各 ト ラ ンシーバにおけ る終端イ ンピーダン スは、 反射によ る信号のひずみを 小限にするため、 そ

の ト ラ ンシーバが駆動し ている シ リ アル ト レースのイ ンピーダン ス と可能な限 り 近似させる必要

があ り ます。 終端イ ンピーダン スのキ ャ リ ブレーシ ョ ン方法の詳細は、第 10 章 の「GTP およびボー

ド間のイ ン ターフ ェ イ ス」 を参照し て く ださ い。

TXINHIBIT

TX ド ラ イバには TXINHIBIT ポー ト があ り ます。 TXINHIBIT が High 駆動される と、 TX 差動ピ

ン ペアは強制的に定数値 (TXP が Low、 TXN が High) にされます。

Page 125: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 125UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

PCI Express のレシーバ検出機能

概要

GTP ト ラ ンシーバは、 PHY Interface for PCI Express (PIPE) 仕様で定義されている よ う に、 レシー

バ検出機能をサポー ト し ます。 こ の機能は TX リ ン ク をあ る ステー ト から 2 番目のステー ト に駆動

し、 リ ン ク電圧の変化に要する時間を計測し ます。 レシーバ検出機能の詳細は、 PIPE 仕様で規定さ

れています。

ポー ト および属性

表 6-19 に、 こ の機能に関連するポー ト を示し ます。

表 6-19 : レシーバ検出機能用のポー ト

ポー ト 方向 ド メ イ ン 説明

PHYSTATUS0

PHYSTATUS1出力 非同期

電力管理ス テート の移行やレ シーバ検出など の PHY フ ァ ンク ショ ン

が完了する と High になり ま す。 こ の信号が P2 から entry およ び exit 中に遷移し て RXUSRCLK2 が動作し ていない場合、 信号送信は非同

期です。

RXSTATUS0[2:0]

RXSTATUS1[2:0]出力 RXUSRCLK2

RXSTATUS[2:0] のデコ ード は RX_STATUS_FMT 設定に依存し ま す。

RX_STATUS_FMT = PCIE の と き :

000 : (レシーバ検出シーケン スには) レシーバはない/ (通常動作中の) 受信データは問題な し

001 : 予約済み

010 : 予約済み

011 : (レシーバ検出シーケン スに) レシーバがあ る

100 : 8B/10B デコーダ エラー

101 : エラ ステ ィ ッ ク バッ フ ァ のオーバーフ ロー

PIPE 仕様の定義と異なる

110 : エラ ステ ィ ッ ク バッ フ ァ のアンダーフ ロー

PIPE 仕様の定義と異なる

111: デ ィ スパ リ テ ィ エラーを受信

RX_STATUS_FMT = SATA の と き :

RXSTATUS[0] : TXCOMSTART 処理の完了

RXSTATUS[1] : COMWAKE 信号が予約済み

RXSTATUS[2] : COMRESET/COMINIT 信号が予約済み

Page 126: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

126 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

PCI Express のレシーバ検出機能R

こ のセ ク シ ョ ンに該当する属性はあ り ません。

説明

PCI Express 仕様には、 リ ン ク上の ト ラ ン ス ミ ッ タによ る レシーバの検出を可能にする機能が含ま

れます。 レシーバがあ るかど う かは、 TXP/TXN の立ち上が り 時間に基づいて決定されます。 図

6-15 に、レシーバ検出に使用される回路モデルを示し ます。 レシーバ検出を実行するには、GTP ト

ラ ンシーバを P1 パワーダウ ン ステー ト にする必要があ り ます。 さ らに、 レシーバ検出には ト ラ ン

ス ミ ッ タ と レシーバ間に 75 ~ 200nF の外部カ ッ プ リ ング キ ャパシタが必要で、 レシーバは GND 終端する必要があ り ます。

検出シーケン スは、 TXDETECTRX をアサー ト する と開始し ます。 それに応じ て、 受信検出ロ ジ ッ

ク が TXN および TXP を VDD – VSWING/2 に駆動し、 それら を リ リ ース し ます。 一定時間 (プロ グ

ラ ム可能) の後、 TXN および TXP のレベルがし きい値電圧と比較されます。 シーケンスの 後に、

レシーバ検出結果が RXSTATUS および PHYSTATUS 出力に反映されます。

TXDETECTRX0

TXDETECTRX1入力 TXUSRCLK2

レ シーバ検出シーケ ン ス を ア ク テ ィ ブに し ます。 PHYSTATUS がア

サー ト され、 テス ト 結果が RXSTATUS 上で確認可能であ る と示され

る と、 シーケンスは終了し ます。

TXPOWERDOWN0[1:0]

TXPOWERDOWN1[1:0]

RXPOWERDOWN0[1:0]

RXPOWERDOWN1[1:0]

入力 非同期

TX および RX リ ン ク電力ステー ト を制御し ます。 PCI Express エン

コード に準拠し ています。TX と RX は別々に電源を切断でき ますが、

PCI Express に準拠 さ せ る た めに TXPOWERDOWN と

RXPOWERDOWN を併用する必要があ り ます。

00 : P0 (通常動作)

01 : (複数の) P0 ( リ カバ リ タ イ ムが短いパワーダウ ン)

10 : P1 ( リ カバ リ タ イ ムが長 く 、 レシーバ検出はオンのま ま)

11 : P2 (電力が も低い状態)

表 6-19 : レシーバ検出機能用のポー ト (続き)

ポー ト 方向 ド メ イ ン 説明

図 6-15 : 受信検出の回路モデル

CCH: < 3 nF

UG196_c6_15_112007

CAC: 75-200 nF RTERMR: 40-60Ω

VTERMR

RTERMT: 40-60Ω

VDD

TXDETECTRX

GTP

TXP

Page 127: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 127UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

図 6-16 には、 レシーバがあ る場合/ない場合の立ち上が り 時間およびレシーバ検出のし きい値を示

し ます。

PHY はレシーバ検出シーケンス を完了する と、PHYSTATUS を 1 ク ロ ッ ク サイ クル間アサー ト し、

RXSTATUS 信号を適切なコードへ駆動し ます。 PHYSTATUS がアサー ト されてレシーバ検出の完

了し た後には、TXDETECTRX をデ ィ アサー ト する必要あ り ます。 図 6-17 に、こ のプロセス を図示

し ます。

図 6-16 : 受信検出のし きい値

図 6-17 : レシーバ検出時の波形

UG196_c6_16_071006

VDD

VDD-VSWING/2

τ ≅ 2RTERMCAC > 6000 ns

τ ≅ RTERMCCH < 180 ns

τ ≅ 1/2RTERMCCH

rcvDly

rcvRef

TXUSRCLK2

RXUSRCLK2

TXDETECTRX

PHYSTATUS

RXPOWERDOWN[1:0]TXPOWERDOWN[1:0]

RXSTATUS[2:0] 000b Status

10b

UG196_c6_17_080806

Page 128: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

128 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

TX の OOB/ビーコ ン信号送信R

TX の OOB/ビーコ ン信号送信

概要

GTP ト ラ ンシーバは、SATA (Serial ATA) 仕様で定義されている OOB (Out-of-Band) シーケン スの

作成および PCI Express 仕様に準拠する ビーコ ン信号の生成をサポー ト し ます。 OOB 信号送信の

概要およびプロ ト コルでの使用方法は、 付録 B 「OOB/ビーコ ン信号」 を参照し て く ださ い。

OOB 信号に対する GTP_DUAL のサポー ト は、OOB 信号ステー ト のエン コード に必要なアナロ グ

回路、および SATA COM シーケンス (COMRESET、COMWAKE、および COMINIT) の OOB 信

号のバース ト を フ ォーマ ッ ト するためのステー ト マシンで構成されます。 また、各 GTP ト ラ ンシー

バは、 COM シーケン スのタ イ ミ ングを TX ラ イ ン レー ト の分周設定に基づいて変更可能にする こ

と で、 SATA オー ト ネゴシエーシ ョ ンをサポー ト し ます。

PIPE (PHY Interface for the PCI Express) 仕様で定義されている イ ン ターフ ェ イ ス信号を使用し、

OOB ステータ ス信号を生成する こ と で、 PCI Express OOB 信号ベースのビーコ ンをサポー ト し て

います。 ビーコ ン シーケン スの形式は、 FPGA ロ ジ ッ ク で制御されます。

ポー ト および属性

表 6-20 で OOB/ビーコ ン信号の制御ポー ト について説明し ます。

表 6-20 : TX OOB/ビーコ ン信号送信用ポー ト

ポー ト 方向 ド メ イ ン 説明

RXSTATUS0[2:0]

RXSTATUS1[2:0]出力 RXUSRCLK2

RXSTATUS[2:0] のデコ ード は RX_STATUS_FMT 設定に依存し ます。

• RX_STATUS_FMT = PCIE の と き :

RXSTATUS は PCIe TXELECIDLE に使用されません。

• RX_STATUS_FMT = SATA の と き :

RXSTATUS[0] : TXCOMSTART 動作の完了

RXSTATUS[1] : COMWAKE 信号の受信

RXSTATUS[2] : COMRESET/COMINIT 信号の受信

TXCOMSTART0

TXCOMSTART1入力 TXUSRCLK2

TXCOMTYPE で選択された COM* シーケン スの送信を開始し ます (SATA のみ)。

TXCOMTYPE0

TXCOMTYPE1入力 TXUSRCLK2

送信する COM 信号のタ イプを選択し ます (SATA のみ)。

0 : COMRESET/COMINIT

1 : COMWAKE

TXELECIDLE0

TXELECIDLE1入力 TXUSRCLK2

P2 電力ステー ト の と き、電気的ア イ ド ルまたはビーコ ン信号を TX ペ

アに出力するかを制御し ます。

TXPOWERDOWN0[1:0]

TXPOWERDOWN1[1:0]入力 非同期

TX レ ーン の 電源を 切り ま す。 ビ ーコ ン 信号を 生成する に は、

GTP_DUAL タ イ ルが P2 電力ス テート (TXPOWERDOWN = 11) で

ある 必要があり ま す。

Page 129: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 129UG196 (v1.8.1) 2008 年 12 月 1 日

第 6 章 : GTP ト ラ ンス ミ ッ タ (TX) R

表 6-21 で OOB/ビーコ ン信号の属性について説明し ます。

説明

GTP_DUAL タ イルは、 SATA および PCI Express にそれぞれ対応する 2 つの OOB/ビーコ ン信号

送信モード をサポー ト し ます。 これら 2 つのモード は同時に使用でき ません。

PCI Express 対応のビーコ ン信号

PCI Express のビーコ ン信号送信は、 GTP_DUAL タ イルが P2 電力ステー ト の と きに実行されま

す。 図 6-18 に示すよ う に、ビーコ ンの送信は TXELECIDLE をデ ィ アサー ト する こ と によ り 開始さ

れます。 FPGA 制御ロ ジ ッ クは TXELECIDLE を連続し て送信する こ と によ り 、 ビーコ ン信号のタ

イ ミ ングを制御し ます。

SATA 対応の OOB 信号送信

SATA の OOB 信号送信は、 TXELECIDLE、 TXCOMSTART、 および TXCOMTYPE ポー ト を使

用し て開始し ます。 TXELECIDLE が High の と きに TXCOMSTART を TXUSRCLK2 の 1 サイ ク

ル間アサー ト する と、 COM シーケン スの送信が開始されます。 表 6-20 に示すよ う に、 生成された

COM シーケン スのタ イプは、 TXCOMTYPE ポー ト で制御されます。

表 6-21 : TX OOB/ビーコ ン信号送信用の属性

属性 説明

COM_BURST_VAL_0

COM_BURST_VAL_1

COM シーケンスで送信されたバース ト 数。

PLL_SATA_0

PLL_SATA_1

FALSE に指定。 FALSE の と き、 TX SATA は SATA Generation 1 (1.5Gb/s) または SATA Generation 2 (3Gb/s) レー ト で処理でき ます。

PLL_TXDIVSEL_COMM_OUT

TX ラ イ ン レ ート の共通分周値を設定し ます。 いずれの

PLL_TXDIVSEL_OUT も 1 ではない場合、 PLL_TXDIVSEL _COMM_OUT は 1 に設定。 設定可能な値は 1、 2、 または 4 です。

PLL_TXDIVSEL_OUT_0

PLL_TXDIVSEL_OUT_1

各 GTP ト ラ ンシーバの TX ラ イ ン レー ト の分周値を指定し

ます。 PLL_TXDIVSEL_COMM_OUT が 1 でない場合、

PLL_TXDIVSEL_OUT は 1 に設定し ます。 設定可能な値は

1、 2、 または 4 です。

図 6-18 : PCI Express でのビーコ ン信号生成

TXPOWERDOWN[1:0]

TXN/TXP

TXELECIDLE

UG196_c6_18_112007

11

Page 130: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

130 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

TX の OOB/ビーコ ン信号送信R

また、COM シーケン スにおけ るバース ト 数は COM_BURST_VAL 属性で制御されます。 送信され

た COM シーケン スのタ イ ミ ングは、 PLL ク ロ ッ ク (68 ページの 「共有 PMA PLL」 を参照) が

1.5GHz に設定されてお り 、 かつ各チャネルの PLL_TXDIVSEL_OUT が 1 (3.0Gb/s の SATA Generation 2 レー ト ) または 2 (1.5Gb/s の SATA Generation 1 レー ト ) に設定されている限 り 正し

く な り ます。

メ モ : 実行時に SATA レー ト の変更が必要な場合 (オー ト ネゴシエーシ ョ ンなどのため)、GTP_DUAL DRP (96 ページの 「ダ イナ ミ ッ ク リ コ ン フ ィ ギ ュ レーシ ョ ン ポー ト 」 を参照) を使用し、PLL_TXDIVSEL_OUT 属性を

適切に変更でき ます。 COM シーケン スのタ イ ミ ングは自動的に調整されます。

TXCOMSTART の動作が完了する と、RXSTATUS[0] は RXUSRCLK2 の 1 サイ クル間 High に駆

動されます。 TXUSRCLK2 および RXUSRCLK2 が別々のク ロ ッ ク で駆動されている場合に

RXSTATUS[0] の出力を使用する場合は、 必ず TXUSRCLK2 に同期させる必要があ り ます。

Page 131: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 131UG196 (v1.8.1) 2008 年 12 月 1 日

R

第 7 章

GTP レシーバ (RX)

本章では、GTP レシーバにあ る各フ ァ ン ク シ ョ ン ブロ ッ ク のコ ンフ ィ ギュ レーシ ョ ンおよび使用方

法について説明し ます。

レシーバの概要

GTP ト ラ ンシーバには、PCS および PMA で構成される独立し たレシーバが含まれます。図 7-1 に、

レシーバ (RX) のフ ァ ン ク シ ョ ン ブロ ッ ク を示し ます。 ボード上の ト レースからの高速シ リ アル

データは、 RX の PMA から PCS に入 り 、 終的に FPGA ロ ジ ッ ク に送信されます。 こ のブロ ッ ク

図のレ イ テンシ情報は、 付録 E 「低レ イ テンシのデザイ ン」 を参照し て く ださい。

GTP レシーバは、 次のよ う なエレ メ ン ト で構成されています。

1. 133 ページの 「RX 終端およびイ コ ラ イゼーシ ョ ン」

2. 138 ページの 「RX の OOB/ビーコ ン信号」

3. 144 ページの 「RX の ク ロ ッ ク データ リ カバ リ 」

4. 150 ページの 「Serial In Parallel Out」

5. 152 ページの 「オーバーサンプ リ ング」

6. 155 ページの 「RX の極性制御」

7. 156 ページの 「PRBS 検出」

8. 157 ページの 「設定変更可能なカンマ ア ラ イ メ ン ト および検出」

9. 164 ページの 「設定変更可能な Loss-of-Sync ステー ト マシン」

図 7-1 : GTP RX ブロ ッ ク図

RX-PMA RX-PCS

PMA PLL

RX EQ

RX OOB

SIPO10B/8B

FPGARX

RX

Loss of Sync

RX

UG196_c7_01_112707

PRBS

RXCDR

PMAPLL

RX

1 3

2 4

5

7

6

8

9

12 13

10 1114

Page 132: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

132 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

レシーバの概要R

10. 167 ページの 「コ ンフ ィ ギャブル 8B/10B デコーダ」

11. 171 ページの 「設定変更可能な RX エラ ステ ィ ッ ク バッ フ ァおよび位相ア ラ イ メ ン ト 」

12. 179 ページの 「設定変更可能な ク ロ ッ ク コ レ ク シ ョ ン機能」

13. 186 ページの 「設定変更可能なチャネル ボンデ ィ ング (レーン デス キ ュー )」

14. 194 ページの 「FPGA RX イ ン ターフ ェ イ ス」

Page 133: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 133UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

RX 終端およびイ コ ラ イゼーシ ョ ン

はじめに

各 GTP ト ラ ンシーバにあ る RX データパスの 初には、 RX CML (Current Mode Logic) レシーバ

があ り ます。 こ のブロ ッ ク では、 入力される高速差動信号の値が決定されます。

高速データ をエラーなしで受信するには、優れたシグナル イ ンテグ リ テ ィ の実現が必要です。 CML レシーバには、可能な限 り 高のシグナル イ ンテグ リ テ ィ を実現する よ う にチャネル終端を 適化

する回路が含まれます。

レシーバは、 チャネルにおけ る高周波数の損失を補正し、 受信信号の質を向上させる RX イ コ ラ イ

ゼーシ ョ ン回路と し て も機能し ます。 こ の回路は、 デザイ ンで使用される物理的チャネルに対する

特定要件を満たすよ う 調整可能です。

ポー ト および属性

広帯域信号と その信号をハイ パス フ ィ ルタに通し た信号を組み合わせ、 ボード上の転送ラ イ ンの

ロー パス特性を補正し ます。 表 7-1 で、 混在比およびハイ パス フ ィ ルタの極について説明し ます。

表 7-1 : RX 終端およびイ コ ラ イゼーシ ョ ン ポー ト

ポー ト 方向ク ロ ッ ク ド メ イ ン

説明

MGTRXN0

MGTRXN1

MGTRXP0

MGTRXP1

入力 (パッ ド )

RX シ リ アル ク ロ ッ ク

RXN および RXP は、 互いに差動レシーバ入力ペアを構成し ます。 これらの

ポー ト はパ ッ ド を表すため、 位置を制約し て (第 4 章 の 「イ ンプ リ メ ンテー

シ ョ ン」 を参照) デザイ ンの ト ッ プ レベルに指定する必要があ り ます。

RXENEQB0

RXENEQB1入力 非同期

リ ニア受信イ コ ラ イゼーシ ョ ンを イ ネーブルにする ア ク テ ィ ブ Low ポー ト

0 : レシーバのイ コ ラ イゼーシ ョ ンがイ ネーブル

1 : レシーバのイ コ ラ イゼーシ ョ ンがデ ィ スエーブル

RXEQMIX0[1:0]

RXEQMIX1[1:0]入力 非同期

RX イ コ ラ イ ザ回路の広帯域/ハイ パス の混在比率を指定し ます。 次の比率を指

定でき ます。

00 : 50% 広帯域、 50% ハイパス

01 : 62.5% 広帯域、 37.5% ハイパス

10 : 75% 広帯域、 25% ハイパス

11 : 37.5% 広帯域、 62.5% ハイパス

Page 134: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

134 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

RX 終端およびイ コ ラ イゼーシ ョ ンR

表 7-2 に、 GTP_DUAL タ イル内の両レシーバの終端に関する属性および設定を示し ます。

RXEQPOLE0[3:0]

RXEQPOLE1[3:0]入力 非同期

RX のイ コ ラ イザのハイパス フ ィ ルタの極位置を制御し ます。 この値を調整

する こ と で、 低周波数信号を拒否する し きい値がシフ ト されます。 次の値を

指定でき ます。

0xxx : フ ィ ルタの極は、 抵抗のキ ャ リ ブレーシ ョ ンに依存し ます。

1000 : 0% 通常の極

1001 : -12.5%

1010 : -25.0%

1011 : -37.5%

1100 : +12.5%

1101 : +25.0%

1110 : +37.5%

1111 : +50.0%

表 7-1 : RX 終端およびイ コ ラ イゼーシ ョ ン ポー ト (続き)

ポー ト 方向ク ロ ッ ク ド メ イ ン

説明

表 7-2 : RX 終端およびイ コ ラ イゼーシ ョ ン属性

属性 説明

AC_CAP_DIS_0

AC_CAP_DIS_1

レ シーバのビル ト イ ン AC カ ッ プ リ ング をバ イパス し ます。 DC カ ッ プ リ ングが必要な と き

は、 こ の属性を使用し ます。

TRUE : ビル ト イ ン AC カ ッ プ リ ング キ ャパシタ をバイパスにし ます。 レシーバの DC カ ッ

プ リ ングを実行でき ます。

FALSE : ビル ト イ ンAC カ ッ プ リ ング キ ャパシ タ を イ ネーブルにし ます (デフ ォル ト 設定)。

AC カ ッ プ リ ングのために外部キ ャパシ タの追加が適切な場合は、 第 10 章 の 「GTP および

ボード間のイ ン ターフ ェ イ ス」 を参照し て く だ さい。

RCV_TERM_GND_0

RCV_TERM_GND_1

レシーバの終端ネ ッ ト ワーク でグ ラ ン ド が参照される よ う にし ます。

TRUE : レシーバ終端でグ ラ ン ド が参照される

FALSE : レシーバ終端でグ ラ ン ド が参照されない

RX 終端属性の有効な組み合わせは、 137 ページの表 7-4 を参照。

RCV_TERM_MID_0

RCV_TERM_MID_1

レシーバのビル ト イ ン AC カ ッ プ リ ング回路の後にあ る終端回路で 2/3 AVTTRX が参照され

る よ う にし ます。 RCV_TERM_MID は常に !AC_CAP_DIS に設定する必要があ り ます。

TRUE : ビル ト イ ン AC カ ッ プ リ ングの後に 2/3 AVTTRX が参照される

FALSE : ビル ト イ ン AC カ ッ プ リ ングの後に 2/3 AVTTRX が参照されない

Page 135: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 135UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

説明

GTP_DUAL レシーバは、差動パッ ド ペアの RXN および RXP を介し て、ボード の終端ラ イ ンに接

続されます。 図 7-2 に、 GTP_DUAL ブロ ッ ク内部のレシーバ チャネルの内部アーキテ クチャ を示

し ます。

RCV_TERM_VTTRX_0

RCV_TERM_VTTRX_1

レシーバ終端ネ ッ ト ワーク で AVTTRX が参照される よ う にし ます。

TRUE : レシーバ終端回路で AVTTRX が参照される

FALSE : レシーバ終端回路で AVTTRX が参照されない

RX 終端属性の有効な組み合わせは、 137 ページの表 7-4 を参照し て く ださい。

TERMINATION_IMP_0

TERMINATION_IMP_1

TX ド ラ イ バおよ び RX CML レシーバの終端イ ンピーダンス を選択し ます。 こ れら の属性は常

に 50 に設定し、 50Ω の終端イ ンピーダン ス を選択し ます。

イ ンピーダンス 値のキャ リ ブレーショ ンの詳細は、第 10 章 の「 GTP およ びボード 間のイ ンタ ー

フェ イ ス 」 を参照し てく ださ い。

表 7-2 : RX 終端およびイ コ ラ イゼーシ ョ ン属性 (続き)

属性 説明

図 7-2 : レシーバ チャネルの内部アーキテ クチャ

: RXEQPOLE[3:0]

MGTRXN

MGTRXP

2/3 AVTTRX : RCV_TERM_GND

RCV_TERM_VTTRX

:RCV_TERM_GND

AVTTRX :RCV_TERM_VTTRX

AC :AC_CAP_DIS

:RXEQMIX[1:0]

RCV_TERM_MID

RX CDR

V1: 2/3 AVTTRX

V1: 2/3 AVTTRXV2: AVTTRX

(1)

(1)

_

+

+

++

V1

V1 V2

_

+

UG196_c7_02_112007

メ モ :

1. ビル ト イ ン AC カ ッ プ リ ング キ ャパシ タは、 オフチ ッ プのカ ッ プ リ ング キ ャパシ タの代わ り と な る も のではあ り ません。 オフチ ッ プのキ ャパシ タは、 標準外の終端電圧用の独立し たキ ャパシ タです。

2. これら のポー ト 名には MGT と い う 接頭辞が付いています。 これは、 ボー ド 設計回路図のシンボルを作成する際に頻繁に使用されるパ ッ ド フ ァ イル内でポー ト を区別しやす く する ためです。 本書では、 こ の接頭辞を削除されていますが、 ポー ト 名に MGT が付いているか否かに関わらず、 同じ ポー ト を指し ます。

Page 136: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

136 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

RX 終端およびイ コ ラ イゼーシ ョ ンR

シグナル イ ンテグ リ テ ィ を 適にする ため、 レシーバは主に 4 つの機能を備えています。

• ビル ト イ ン AC カ ッ プ リ ング (オプシ ョ ン)

• 設定変更可能な終端イ ンピーダン ス

• 設定変更可能な終端電圧

• 設定変更可能な RX リ ニア イ コ ラ イゼーシ ョ ン (オプシ ョ ン)

ビル ト イ ン AC カ ッ プ リ ング (オプシ ョ ン)

GTP レシーバには、レシーバを ラ イ ンから分離する、小さ な AC カ ッ プ リ ング キ ャパシタが含まれ

ます。 これらのキ ャパシタは RX 終端が GND に設定されている場合に必要で、 デフ ォル ト でイ

ネーブルになっています。 GND 終端が未使用の と きは、これらのキ ャパシタ をデ ィ スエーブルにし

て、DC カ ッ プ リ ングまたはさ ら に 適なオフチ ッ プ AC カ ッ プ リ ングを実行でき ます。 適切なサイ

ズの外部 AC カ ッ プ リ ング キ ャパシタ を選択する際の詳細は、第 10 章 の 「GTP およびボード間の

イ ン ターフ ェ イ ス」 を参照し て く ださ い。

AC_CAP_DIS 属性は、図 7-2 に示すよ う に、AC カ ッ プ リ ングのバイパス ス イ ッ チを制御し ます。

ビル ト イ ン AC カ ッ プ リ ングがア ク テ ィ ブな場合の要件と し て、 RCV_TERM_MID を使用し てビ

ル ト イ ン AC カ ッ プ リ ング回路の後の 2/3 MGTAVTTRX が参照される よ う にし ます。

ビルト イ ン AC カッ プリ ングをオンにする には、 AC_CAP_DIS を FALSE に設定し 、 RCV_TERM _MID を TRUE に設定し ます。 また、 オフにするには、 AC_CAP_DIS を TRUE に設定し て

RCV_TERM_MID を FALSE に設定し ます。

設定変更可能な終端イ ンピーダンス

各レシーバにおけ る終端イ ンピーダン スは、 反射によ る信号のひずみを 小限にするため、 接続し

ている シ リ アル ト レースのイ ンピーダンス と可能な限 り 近似させる必要があ り ます。 終端イ ンピー

ダン スのキ ャ リ ブレーシ ョ ン方法の詳細は、第 10 章 の 「GTP およびボード間のイ ン ターフ ェ イ ス」

を参照し て く ださ い。

設定変更可能な終端電圧

ラ イ ン終端回路では、図 7-2 に示すよ う に、RXP およ び RXN がキャ リ ブレート さ れたラ イ ン終端イ ン

ピーダンス を通り 、3 つの終端電圧 (GND、MGTAVTTRX、GTP レシーバから の 2/3 MGTAVTTRX) の

1 つに接続されます。 ア ク テ ィ ブにな るのは、 これらの接続 う ち常に 1 つのみです。

RX 終端の適切な電圧は、使用する カ ッ プ リ ング タ イプ、TX 終端電圧、および使用プロ ト コルの要

件に基づいて選択されます。 表 7-3 に、 GTP ト ラ ンシーバで使用可能な終端オプシ ョ ンの一覧を示

し ます。 また、 表 7-4 には、 差動 RX 終端オプシ ョ ンをア ク テ ィ ブにする と きの設定を示し ます。

表 7-3 : 推奨する RX 終端設定

カ ッ プ リ ング タ イプ

有効な RX 終端設定

メ モ

DC カ ッ プ リ ング2/3 MGTAVTTRX、

MGTAVTTRX

TX 終端 (および TX 終端電圧) は、 DC 電流が流れる

のを避ける ため、 選択し た RX 終端 (および RX 終端

電圧) と一致する必要があ り ます。 2/3 MGTAVTTRX は、 レシーバに 適です。

外部 AC カ ッ プ

リ ングのみ2/3 MGTAVTTRX

ホ ッ ト ス ワ ッ プ アプ リ ケーシ ョ ンなど多様な ト ラ ン

シーバ と 接続で き る ため、 も 推奨 さ れる コ ン フ ィ

ギュ レーシ ョ ンです。

Page 137: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 137UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

設定変更可能な RX リ ニア イ コ ラ イゼーシ ョ ン (オプシ ョ ン)

高速シ リ アル ト レースおよび接続では、 一般的に低周波数信号よ り も高周波数信号が減衰し ます。

その結果、 信号の高周波数コ ンポーネン ト では低周波数コ ンポーネン ト よ り 多 く の電力が失われる

ため、 チャネルを通過する高周波数データは歪みが生じ る傾向にあ り ます。

GTP ト ラ ンシーバは リ ニア イ コ ラ イザ回路を備えてお り 、こ の回路を使用し て高周波数の損失が原

因で起こ る ラ イ ンにおけ る信号の歪みを補正でき ます。 イ コ ラ イザを イ ネーブルにするには、 ア ク

テ ィ ブ Low の RXENEQB を Low に駆動し ます。 RXENEQB を High に駆動する と、 イ コ ラ イザ

はデ ィ スエーブルされます。

イ コ ラ イザがイ ネーブルの と き、 独立し た受信バッ フ ァ が使用されて入力データの低周波数信号が

フ ィ ルタにかけ られ、高周波数コ ンポーネン ト のみがキ ャプチャ されます。 こ の信号は、その後、通

常の受信バッ フ ァ でキ ャプチャ された入力と一緒にな り 、 増幅された高周波数コ ンポーネン ト の信

号が生成されます。 高周波数バッ フ ァ からの信号と通常の (広帯域) バッ フ ァ からの信号の比率は、

RXEQMIX ポー ト で制御されます。 133 ページの表 7-1 に、 それぞれの比率を示し ています。

高周波数バッ フ ァ で切 り 捨て られる周波数は、 RXEQPOLE ポー ト で制御されます。 高周波数バッ

フ ァ の周波数の範囲は、高周波数パスにあ る フ ィ ルタの極を移動させる こ と によ って制御可能です。

表 7-1 に、 設定可能な RXEQPOLE 値を示し ています。

ビル ト イ ン AC カ ッ プ リ ングのみ

MGTAVTTRX、

2/3 MGTAVTTRX、

GND

RX 終端 (および RX 終端電圧) は、DC 電流が流れる

のを避ける ため、TX 終端 (および TX 終端電圧) と一

致する必要があ り ます。

ビル ト イ ン AC カ ッ プ リ ング キ ャパシ タでは、レシー

バの RX 終端と ト ラ ンス ミ ッ タの TX 終端間にあ る

DC 電流パスがブロ ッ ク されません。

この間の電流を遮るのは、外部にあ る AC カ ッ プ リ ン

グ キ ャパシタのみです。

ビル ト イ ンおよび

外部の AC カ ッ プ

リ ング

MGTAVTTRX、

2/3 MGTAVTTRX、

GND

GND を使用し、PCI Express の TXDETECTRX 機能

をサポー ト し ます。 詳細は、 125 ページの 「PCI Express のレシーバ検出機能」 を参照し て く ださ い。

表 7-4 : RX 終端の属性設定

RX 終端電圧 RCV_TERM_GND RCV_TERM_VTTRX

MGTAVTTRX FALSE TRUE

2/3 MGTAVTTRX FALSE FALSE

GND TRUE FALSE

表 7-3 : 推奨する RX 終端設定 (続き)

カ ッ プ リ ング タ イプ

有効な RX 終端設定

メ モ

Page 138: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

138 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

RX の OOB/ビーコ ン信号R

RX の OOB/ビーコ ン信号

はじめに

GTP_DUAL タ イルは、 SATA (Serial ATA) 仕様に記載されている OOB (Out-of-Band) シーケン ス

のデコードおよび PCI Express 仕様に準拠する ビーコ ン信号をサポー ト し ます。 OOB 信号の概要

およびプロ ト コルでの使用方法は、 付録 B の 「OOB/ビーコ ン信号」 で説明し ています。

SATA OOB 信号に対するサポー ト は、 OOB 信号ステー ト のデコード に必要なアナロ グ回路、 およ

び SATA COM シーケン ス (COMRESET、COMWAKE、および COMINIT) の OOB 信号のバース

ト をデコードするためのステー ト マシンで構成されます。

GTP_DUAL は、PIPE (PHY Interface for the PCI Express) 仕様で定義されている イ ン ターフ ェ イ ス

信号を使用する こ と によ り 、 PCI Express 準拠のビーコ ン信号をサポー ト し ます。 ビーコ ン信号の

シーケン スは、 FPGA ロ ジ ッ クでデコード されます。

ポー ト および属性

表 7-5 で RX の OOB/ビーコ ン信号について説明し ます。

表 7-5 : RX OOB 信号のポー ト

ポー ト 方向 クロッ ク ド メ イン 説明

RXELECIDLE0

RXELECIDLE1出力 非同期

RXN および RXP 間の差動電圧が、 小し きい値 (OOBDETECT_ THRESHOLD) 未満に降下し た こ と を示し ます。 このし きい値未満の信号が

OOB 信号です。

1 : OOB 信号を検出。 差動電圧が 小し きい値未満

0 : OOB 信号は未検出。 差動電圧が 小し きい値以上

こ のポー ト は、 PCI Express および SATA 規格専用です。

Page 139: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 139UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

RXSTATUS0[2:0]

RXSTATUS1[2:0]出力 RXUSRCLK2

RXSTATUS[2:0] のデコード は、 RX_STATUS_FMT の設定に依存し ます。

RX_STATUS_FMT = PCIE の と き :

000 : (レシーバ検出シーケン スに) レシーバがない/(通常動作中の) 受信

データは問題な し

001 : 予約済み

010 : 予約済み

011 : (レシーバ検出シーケン スに) レシーバがあ る

100 : 8B/10B デコーダ エラー

101 : RX エラ ステ ィ ッ ク バッ フ ァのオーバーフ ロー

ク リ ア される までアサー ト を保持 (PIPE 仕様の定義と異なる動作)

110 : RX エラ ステ ィ ッ ク バッ フ ァのアンダーフ ロー

ク リ ア される までアサー ト を保持 (PIPE 仕様の定義と異なる動作)

111 : デ ィ スパ リ テ ィ エラーを受信

RX_STATUS_FMT = SATA の と き :

RXSTATUS[0] : TXCOMSTART 動作の完了

RXSTATUS[1] : COMWAKE 信号の受信

RXSTATUS[2] : COMRESET/COMINIT 信号の受信

RXVALID0

RXVALID1出力 RXUSRCLK2

PIPE 仕様で定義されている よ う に、 シンボルがロ ッ ク し、 RXDATA および

RXCHARISK[1:0] 上のデータが有効になる と High にな り ます。

表 7-5 : RX OOB 信号のポー ト (続き)

ポー ト 方向 クロッ ク ド メ イン 説明

Page 140: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

140 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

RX の OOB/ビーコ ン信号R

表 7-6 で RX OOB/ビーコ ン信号の属性について説明し ます。

表 7-6 : RX OOB/ビーコ ン信号の属性

属性 説明

OOB_CLK_DIVIDER

ス ケ ルチ ク ロ ッ ク の レ ー ト を 設定 し ま す。 こ の ク ロ ッ ク レ ー ト は 25MHz ~

37.5MHz にする必要があ り 、 SATA OOB 検出器を適切に動作させるには、 可能な限

り 25MHz に近いレー ト に設定し ます。

ス ケルチ ク ロ ッ ク レー ト = CLKIN/OOB_CLK_DIVIDER

有効な分周値は 1、 2、 4、 6、 8、 10、 12、 14 です。

OOBDETECT_THRESHOLD_0

OOBDETECT_THRESHOLD_1

RXN および RXP 間の 小差動電圧を設定し ます。 差動電圧がこ のレベル未満に降下

する と、 入力信号は OOB 信号です。 こ の 3 ビ ッ ト のエン コード済み属性は、 OOBし

きい値電圧の公称値を次のよ う に指定し ます(1)。

値 OOB し きい値電圧の公称値 [mV]

000 70

001 85

010 92

011 99

100 105

101 112

110 118

111 127

RX_STATUS_FMT_0

RX_STATUS_FMT_1

使用する ステータ ス エン コード を定義し ます。

PCIE : PCI Express エン コード

SATA : SATA エン コード

SATA_BURST_VAL_0

SATA_BURST_VAL_1

COM の一致を宣言する ために必要なバース ト 数。 デフ ォル ト 値は 4 で、 COMINIT、

COMRESET、 および COMWAIT に対し て SATA で指定されたバース ト 数です。

SATA_IDLE_VAL_0

SATA_IDLE_VAL_1

COM の一致を宣言する ために必要なア イ ド ル数。 各ア イ ドルは、 長さ が COMINIT/ COMRESET または COMWAIT のいずれか と一致する OOB 信号です。 SATA 検出

器が一方のタ イプのア イ ド ル (た と えば、COMRESET/COMINIT) のカ ウ ン ト を開始

し た場合、 そのカ ウ ン ト はも う 一方のタ イプのア イ ド ルを受信する と リ セ ッ ト されま

す。 デフ ォル ト 値は 3 で、 SATA 仕様と一致し ています。

SATA_MAX_BURST_0

SATA_MAX_BURST_1

ス ケルチ ク ロ ッ ク サイ クルに関し て、 SATA 検出器がバース ト を拒否する際のし き

い値を設定し ます。 有効な設定値は 1 ~ 61 (デフ ォル ト は 7) で、SATA_MIN_BURST よ り 大きい必要があ り ます。 適なス ケルチ ク ロ ッ ク レー ト の算出方法は 「概要」 を

参照し て く ださ い。

SATA_MAX_INIT_0

SATA_MAX_INIT_1

ス ケルチ ク ロ ッ ク サイ ク ルに関し て、 SATA 検出器で許容可能なCOMINIT/ COMRESET ア イ ド ルの 大時間を設定し ます。 有効な設定値は 1 ~ 61 (デフ ォル ト

は 22) で、 SATA_MIN_INIT よ り 大きい必要があ り ます。 適なス ケルチ ク ロ ッ ク

レー ト の算出方法は 「概要」 を参照し て く ださ い。

Page 141: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 141UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

概要

GTP_DUAL タ イルは、 SATA および PCI Express にそれぞれ対応する 2 つの RX ステータ

ス モー ド をサポー ト し ます。 また、デコー ド モー ド は、RX_STATUS_FMT 属性で設定し ま す。

PCI Express の電気的アイ ド ルの検出

RXELECIDLE ポー ト は、RX_STATUS_FMT 属性のステー ト に関わらず、RXN および RXP シ リ

アル I/O ピン間の差動電圧が OOBDETECT_THRESHOLD 属性で定義された OOB し きい値内か

を示し ます。 こ の信号を使用する と、 PCI Express ビーコ ン信号のシーケンス をデコード でき ます。

OOB 信号の到達 と RXELECIDLE のアサー ト 間のレ イ テンシは、 『Virtex-5 データシー ト : DC 特

性およびス イ ッチ特性』 に記載されています。

SATA_MAX_WAKE_0

SATA_MAX_WAKE_1

ス ケルチ ク ロ ッ ク サイ クルに関し て、 SATA 検出器で許容可能な COMWAKE ア イ

ド ルの 大時間 を 設定 し ま す。 有効な設定値は 1 ~ 61 (デ フ ォ ル ト は 7) で、

SATA_MIN_WAKE よ り 大き く する必要があ り ます。 適なス ケルチ ク ロ ッ ク レー

ト の算出方法は 「概要」 を参照し て く ださ い。

SATA_MIN_BURST_0

SATA_MIN_BURST_1

ス ケルチ ク ロ ッ ク サイ クルに関し て、 SATA 検出器がバース ト を拒否する際のし き

い値を設定し ます。 有効な設定値は 1 ~ 61 (デフ ォル ト は 5) で、 SATA_MAX_ BURST 未満にする必要があ り ます。 適なス ケルチ ク ロ ッ ク レー ト の算出方法は

「概要」 を参照し て く ださい。

SATA_MIN_INIT_0

SATA_MIN_INIT_1

SATA の場合、 OOB 信号は COMINIT、 COMRESET、 および COMWAKE でア イ ド

ル と し て使用されます。 SATA の COMINIT/COMRESET 信号で許容される 小のア

イ ド ル長は 304ns です。 ア イ ドルが 175ns よ り 短い と き、COMINIT/COMRESET には

使用でき ません。 ス ケルチ ク ロ ッ ク サイ クルに関し て、 SATA 検出器で許容可能な

COMINIT/COMRESET ア イ ドルの 小時間の指定に使用し ます。

有効な設定値は 1 ~ 61 (デフ ォル ト は 12) で、SATA_MAX_INIT 未満の必要があ り ま

す。 適なスケルチ ク ロ ッ ク レー ト の算出方法は 「概要」 を参照し ます。 ス ケルチ ク

ロ ッ クは OOB_CLK_DIVIDER に基づいて設定されます。

SATA_MIN_WAKE_0

SATA_MIN_WAKE_1

SATA の場合、 OOB 信号は COMINIT、 COMRESET、 および COMWAKE でア イ

ド ル と し て使用されます。 SATA の COMWAKE 信号で許容される 小のア イ ド ル長

は 101ns です。 ア イ ド ルが 55ns よ り 短い と き、COMWAKE には使用でき ません。 ス

ケルチ ク ロ ッ ク サイ クルに関し て、 SATA 検出器で許容可能な COMWAKE ア イ ド

ルの 小時間の指定に使用し ます。

有効な設定値は 1 ~ 61 (デフ ォル ト は 4) で、SATA_MAX_WAKE 未満にする必要が

あ り ます。 適なス ケルチ ク ロ ッ ク レー ト の算出方法は 「概要」 を参照し て く だ さ

い。 ス ケルチ ク ロ ッ クは OOB_CLK_DIVIDER に基づいて設定されます。

メ モ :

1. OOB の公称値です。 厳密な値は、 DS202 『Virtex-5 データ シー ト : DC 特性およびス イ ッ チ特性』 を参照し て く だ さ い。

表 7-6 : RX OOB/ビーコ ン信号の属性 (続き)

属性 説明

Page 142: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

142 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

RX の OOB/ビーコ ン信号R

SATA OOB の検出

各 GTP ト ラ ンシーバは、 SATA COM シーケン ス をデコードする SATA OOB 検出器を備えていま

す。 RX_STATUS_FMT を SATA に設定する と、 RXSTATUS ポー ト のピ ンを使用し て COM シー

ケン スの到達が示されます。 図 7-3 に、SATA OOB 検出器を示し ます。 こ こ では、CLKIN が分周さ

れ、 約 25MHz で動作する スケルチ ク ロ ッ ク が生成されます。 こ のク ロ ッ クは、 通常のデータ と

OOB 信号間の遷移を見つけ るために OOB 信号を検出するブロ ッ ク の出力をサンプルする ために

使用されます。 スケルチ ク ロ ッ クの両エッ ジが使用されます。 ス ケルチ検出器の FSM では、遷移か

ら各バース ト およびア イ ド ルの長さ が算出されます。 また、こ の情報を使用し、どの COM シーケン

スが検出されたかを示す RXSTATUS ポー ト が駆動されます。

SATA OOB 検出器を動作可能にするには、供給される リ フ ァ レ ンス ク ロ ッ ク (CLKIN) を使用する

よ う に設定する必要があ り ます。 25MHz ~ 37.5MHz 間で可能な限 り 25MHz に近いレー ト のスケ

ルチ ク ロ ッ ク を生成する よ う に OOB_CLK_DIVIDER 属性を設定し ます。 また、 バース ト および

ア イ ド ルの 小および 大時間は、 スケルチ ク ロ ッ ク レー ト に基づいて設定し ます。 スケルチ ク

ロ ッ ク の設定式は、 次の とお り です。

式 7-1

すべての 小値は、 その値未満では信号が拒否される 小時間、 およびその値を越え る と常に 小

時間要件を満たす 小時間を使用し て定義されます。 スケルチ ク ロ ッ ク サイ クルに対する、これら

の各値の算出後、 MIN パラ メ ータ を 2 つの値の間にあ る適切な整数値に設定し ます。

同様に、 すべての 大値は、 それを越え る と信号が拒否される 大値、 およびそれ未満では常に

大時間要件を満たす 大値を使用し て定義されます。 スケルチ ク ロ ッ ク に対する、 これらの各値を

算出後、 MAX パラ メ ータ を 2 つの値の間にあ る適切な整数値に設定し ます。

図 7-3 : SATA OOB 検出器のブロ ッ ク図

FSM

CLKIN

RXPRXELECIDLE

COMINIT

COMWAKE

RXN

OOB_CLK_DIVIDER

SATA_MIN_BURST

SATA_MAX_BURST

SATA_MIN_INITSATA_MAX_INIT

SATA_MIN_WAKESATA_MAX_WAKE

OOBDETECT_THRESHOLD

D Q

D Q

amp

UG196_c7_03_013007

Parameter in squelch cyclesParameter in ns

1000-------------------------------------⎝ ⎠

⎛ ⎞ squelch clock frequency in MHz 2××=

Page 143: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 143UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

表 7-7 に、 分周し てスケルチ ク ロ ッ ク を生成する場合の設定例を示し ます。

表 7-8 に、バース ト およびア イ ド ル長に対し て SATA で定義されている 小値および 大値すべて

を示し、 表 7-7 で計算されたスケルチ ク ロ ッ ク周波数に基づ く 算出例を示し ています。

表 7-7 : SATA でのク ロ ッ ク生成の設定例

パラ メ ータ 例 1 例 2 例 3 例 4 例 5 例 6

CLKIN (MHz) 25 75 100 150 250 300

OOB_CLK_DIVIDER 1 2 4 6 10 12

ス ケルチ ク ロ ッ ク (MHz) 25 37.5 25 25 25 25

有効なサンプル周期 (ns) 20 13.33333333 20 20 20 20

表 7-8 : SATA 属性設定例

パラ メ ータ ns サイ クル サイ クル サイ クル サイ クル サイ クル サイ クル

拒否される 小のバース ト 幅 55 2.8 4.1 2.8 2.8 2.8 2.357142857

小バース ト 幅 4 6 4 4 4 3

許容される 小のバース ト 幅 101 5.1 7.6 5.1 5.1 5.1 4.341428571

標準のバース ト 長 107 5.3 8.0 5.3 5.3 5.3 4.572857143

許容される 大のバース ト 幅 112 5.6 8.4 5.6 5.6 5.6 4.8

大バース ト 幅 7 11 7 7 7 6

拒否される 大のバース ト 幅 175 8.8 13.1 8.8 8.8 8.8 7.5

COMINIT で拒否される 小のア イ ドル幅 175 8.8 13.1 8.8 8.8 8.8 7.5

小 Init 幅 12 18 12 12 12 10

COMINIT で許容される 小のア イ ドル幅 304 15.2 22.8 15.2 15.2 15.2 13.02857143

COMINIT の標準ア イ ドル幅 320 16.0 24.0 16.0 16.0 16.0 13.71428571

COMINIT で許容される 大ア イ ドル幅 336 16.8 25.2 16.8 16.8 16.8 14.4

大 Init 幅 22 32 22 22 22 18

COMINIT で拒否される 大ア イ ドル幅 525 26.3 39.4 26.3 26.3 26.3 22.5

COMWAKE で拒否される 小ア イ ド ル幅 55 2.8 4.1 2.8 2.8 2.8 2.357142857

小 Wake 幅 4 6 4 4 4 3

COMWAKE で許容される 小ア イ ド ル幅 101.3 5.1 7.6 5.1 5.1 5.1 4.341428571

COMWAKE の標準ア イ ド ル幅 106.7 5.3 8.0 5.3 5.3 5.3 4.572857143

COMWAKE で許容される 大ア イ ド ル幅 112 5.6 8.4 5.6 5.6 5.6 4.8

大 Wake 幅 7 11 7 7 7 6

COMWAKE で拒否される 大ア イ ド ル幅 175 8.8 13.1 8.8 8.8 8.8 7.5

Page 144: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

144 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

RX のク ロ ッ ク データ リ カバリR

RX のク ロ ッ ク データ リ カバリ

はじめに

各 GTP ト ラ ンシーバにあ る RX CDR (ク ロ ッ ク データ リ カバ リ ) 回路では、入力されたデータから

リ カバ リ ク ロ ッ ク が抽出されます。 こ のク ロ ッ ク のラ イ ン レー ト が、 レシーバのラ イ ン レー ト と

±1000ppm 以内で一致し、データが十分遷移し ている限 り 、CDR はク ロ ッ ク を抽出でき ます。 CDR には、 受信信号の評価に使用可能なスキ ャ ン機能を含む、 高度な機能が含まれます。

ポー ト および属性

表 7-9 で RX CDR の信号ポー ト について説明し ます。

表 7-9 : RX CDR の信号ポー ト

ポー ト 方向 ク ロ ッ ク ド メ イン 説明

RESETDONE0

RESETDONE1出力 非同期

GTP ト ラ ン シーバが リ セ ッ ト を完了 し て使用可能にな る と

High にな り ます。 こ の信号を適切に動作させるには、 CLKIN お よ び個々の GTP ト ラ ン シーバの ク ロ ッ ク 入力すべて

(TXUSRCLK、 TXUSRCLK2、 RXUSRCLK、 RXUSRCLK2) を駆動する必要があ り ます。

RXCDRRESET0

RXCDRRESET1入力 RXUSRCLK2

RX CDR およびこ のチャネルに対する PCS の RX 部分それぞ

れの リ セ ッ ト 信号。 CDR の現時点の ロ ッ ク を中止 し て共有

PLL の周波数に戻すには、 こ の信号を High に駆動し ます。

RXELECIDLERESET 入力 非同期

レシーバが電気的ア イ ドルの間、CDR を リ セ ッ ト 状態に保持す

る ために使用し ます。 OOB 信号を使用する場合や過渡電流に

よ って CDR が一時的に電気的ア イ ド ル状態になっている と き

のス ター ト ア ッ プ中には、 この機能が必要です。 「RX の ク ロ ッ

ク データ リ カバ リ 」 では、 任意の GTP デザイ ンにおける この

ポー ト の接続方法が説明されています。

0 : CDR は通常動作

1 : CDR は リ セ ッ ト 状態。 通常動作中に RXELECIDLE が High の と きは、 RXELECIDLERESET を High にする

必要があ り ます。

RXENELECIDLERESETB 入力 非同期

レシーバが電気的アイ ド ルの間、 CDR のリ セッ ト 機能をイ ネー

ブルにする ために必要です。 OOB 信号を使用する 場合や過渡電

流によ って CDR が一時的に電気的アイ ド ル状態になっている と

き のス タ ート アッ プ中には、こ の機能が必要です。 「 RX のク ロ ッ

ク データ リ カバリ 」 では、 任意の GTP デザイ ンにおける こ の

ポート の接続方法が説明さ れていま す。

0 : CDR の リ セ ッ ト 機能がオン

1 : CDR の リ セ ッ ト 機能がオフ

Page 145: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 145UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

表 7-10 で RX CDR の属性について説明し ます。

説明

ラ イ ンからの受信データ を使用可能にするには、 信号に組み込まれた ク ロ ッ ク を回復する必要があ

り ます。 各 GTP ト ラ ンシーバにあ る CDR 回路では、 こ の機能を実行でき ます。 ク ロ ッ ク の回復に

は、 共有 PMA PLL からの分周、 高速シ リ アル ク ロ ッ ク を使用し、 位相および周波数が入力データ

と一致する まで調整し ます。 図 7-4 に示すよ う に、 結果と し て、 シ リ アル ス ト リ ームの生成に元々

使用された ク ロ ッ ク と一致する ク ロ ッ ク が回復し ます。

シ リ アル ク ロ ッ クの回復には入力データの遷移を使用する ため、 長時間データが遷移し ない場合、

エラーが発生する可能性があ り ます。

表 7-10 : RX CDR の属性

属性 説明

PMA_CDR_SCAN_0

PMA_CDR_SCAN_1

この 27 ビ ッ ト の属性によ り 、CDR サンプ リ ング位置を直接制

御でき ます。 通常動作では、RocketIO GTP Transceiver Wizard で設定されるデフ ォル ト 値のま まにし ます。

PMA_RX_CFG_0

PMA_RX_CFG_1

この 25 ビ ッ ト の属性によ り 、CDR の動作をテス ト 用に調整で

き ます。 通常動作では、RocketIO GTP Transceiver Wizard で設

定されるデフ ォル ト 値のま まにし ます。

図 7-4 : RX CDR 回路の概念図

GTP_DUALPLL

RX CDR

{1, 2, 4}PLL_RXDIVSEL_OUT

PLL /PLL_RXDIVSEL_OUT

UG196_c7_04_112007

Page 146: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

146 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

RX のク ロ ッ ク データ リ カバリR

CDR リ セ ッ ト

CDR は、 入力データに対し て動作でき る状態にする前に リ セ ッ ト する必要があ り ます。 CDR の リ

セ ッ ト には、 次のよ う ない く つかの方法があ り ます。

• GTPRESET ポー ト を使用し て 各 ト ラ ンシーバの CDR を含む、 GTP_DUAL タ イル内の全コ

ンポーネン ト を リ セ ッ ト し ます。 詳細は、 80 ページの 「 リ セ ッ ト 」 を参照し て く ださ い。

• RXCDRRESET ポー ト を使用し て CDR ブロ ッ ク、SATA の OOB 回路 (138 ページの 「RX の

OOB/ビーコ ン信号」 )、 RX エラ ステ ィ ッ ク バッ フ ァ (171 ページの 「設定変更可能な RX エ

ラ ステ ィ ッ ク バッ フ ァおよび位相ア ラ イ メ ン ト 」 )、 および RX PCS のその他のセク シ ョ ンを

リ セ ッ ト し ます。

• 80 ページの「 リ セッ ト 」 で説明し たリ ンク アイ ド ル リ セッ ト の一部の RXELECIDLERESET および RXENELECIDLERESETB ポー ト を使用し ます。2 次ループがオンの GTP レシーバを

使用する際は常に、 リ ンク アイ ド ル リ セッ ト 回路をイ ンプリ メ ント し てく ださ い。

RXENELECIDLERESET ポート を 使用する こ と で、 電気的アイ ド ル状態に応じ てその他のブ

ロ ッ ク を リ セッ ト せずに CDR のリ セッ ト に使用さ れる RXELECIDLE RESET ポート がイ

ネーブルにさ れま す。

図 7-5 に、 RXCDRRESET がアサー ト された場合の内部 リ セ ッ ト 信号のタ イ ミ ングを示し ます。

RXCDRRESET は非同期でアサー ト 可能です。 この信号がアサー ト される と、 内部 CDR リ セ ッ ト

が内部生成された 1MHz の ク ロ ッ クに同期し てパルス し、 CDR が リ セ ッ ト されます。 同様に、

SATA OOB 回路 (内部 SATA リ セ ッ ト )、 RX PCS データパス (内部 RXRESET)、 および RX バッ

フ ァ (内部 RXBUFRESET) の リ セ ッ ト パルスが生成されます。 シーケンス全体は、約 5μs で完了し

ます。

図 7-5 : RXCDRRESET で ト リ ガ される リ セ ッ ト シーケンス

RXCDRRESET

CDR

SATA

RXRESET

RXBUFRESET

非同期パルス

1MHz

1μs

1 μs

1 μs

5μs

UG196_c7_05_080806

Page 147: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 147UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

CDR の調整

PMA_RX_CFG 属性を使用し、 アプ リ ケーシ ョ ン要件に応じ て CDR のパフ ォーマン ス を 適化し

し ます。 こ の属性は、 CDR 特定の機能およびループ フ ィ ルタ を制御し ます。 こ のパラ メ ータ を使用

する場合、 こ こ に記載の推奨方法で使用し ない限 り 、 ト ラ ンシーバが動作し ません。 CDR は、 オプ

シ ョ ン と し ての二次ループで、 周波数偏差がよ り 大きい入力データ を ト ラ ッ クする機能を備えてい

ます。

こ のセ ク シ ョ ンでは、CDR に対する 適な PMA_RX_CFG 設定を次の 3 つのアプ リ ケーシ ョ ン タ

イプに分けて説明し ます。表 7-11 の右列 (2 次ループのオン/オフ) は PMA_RX_CFG 値によ って自

動的に設定されるため、 参考情報と し て記載し ています。

通常の動作モー ド

SONET などの同期システムのアプ リ ケーシ ョ ンでは、ローカル リ フ ァ レン ス ク ロ ッ ク と入力デー

タの リ フ ァ レ ン ス ク ロ ッ クのソースが同一です。 ク リ ーンア ッ プ PLL が回復された ク ロ ッ ク を ク

リ ーン し、GTP_DUAL タ イルのローカル リ フ ァ レン ス ク ロ ッ ク と し て使用し てシステム イ ン ター

フ ェ イ ス を同期させている同期システム アプ リ ケーシ ョ ン も あ り ます。

Serial ATA などのスペク ト ラ ム拡散アプ リ ケーシ ョ ンでは、 スペク ト ラ ム拡散ク ロ ッ ク が使用さ

れ、 ローカル リ フ ァ レ ン ス ク ロ ッ クおよび入力データが共に、 大で 0.5% まで低い方へ周波数変

調されます。SATA の場合、スペク ト ラ ム拡散変調によ って一時的な周波数差が生じ る ため、非同期

になる こ と も あ り ます。 ローカル リ フ ァ レ ン ス ク ロ ッ ク と入力データの リ フ ァ レ ン ス ク ロ ッ ク の

差は、 ス タ テ ィ ッ ク な周波数で ±1000ppm まで可能です。

GTP レシーバを スペク ト ラ ム拡散信号 と接続する と きは、 PLL_RXDIVSEL_OUT 属性を 1 にし

て、 2Gb/s を超え る ラ イ ン レー ト にスペク ト ラ ム拡散信号ク ロ ッ ク を供給する間に受信可能な ラ イ

ン レー ト を制限する必要があ り ます。

同期システムでスペク ト ラ ム拡散ク ロ ッ ク を使用する アプ リ ケーシ ョ ンの場合、 スペク ト ラ ム拡散

ク ロ ッ ク が リ ン ク の両側に同時に適用され、 通信中の 2 つのポー ト の差は、 常時、 600ppm 以内で

あ る必要があ り ます (PCI Express システム)。

Lock-to-Reference 回路またはオーバーサンプ リ ング モード で動作する CDR が不要なアプ リ ケー

シ ョ ンでは、 CDR は常に通常動作モード でコ ンフ ィ ギュ レーシ ョ ン し て く ださ い。

GTP オーバーサンプ リ ングを使用するアプ リ ケーシ ョ ン モー ド

100MB/s ~ 500MB/s 間で動作する システム イ ン ターフ ェ イ スの場合、GTP ト ラ ンシーバのビル ト

イ ン 5 倍オーバーサンプ リ ングを使用する必要があ り ます。 これら のシステムでは、 オーバーサン

プ リ ング モード用に PMA_RX_CFG 属性を設定し て く だ さい。

Lock-to-Reference を使用するアプ リ ケーシ ョ ン モー ド

アプ リ ケーシ ョ ンによ っては、 入力周波数に対し てロ ッ クせずにローカル周波数でサンプルし た方

がよい場合があ り ます (Lock-to-Reference)。 Lock-to-Reference は通常、 FPGA ロ ジ ッ ク でデジタ

ル オーバーサンプ リ ングを実行する アプ リ ケーシ ョ ンでよ く 使用されます。 表 7-11 には、 CDR を

Lock-to-Reference モード にする MA_RX_CFG 設定が示されています。

Page 148: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

148 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

RX のク ロ ッ ク データ リ カバリR

サンプ リ ング位置の水平シ フ ト

GTP ト ラ ンシーバの CDR は、高度な機能の 1 つ と し て、サンプ リ ング ポイ ン ト を水平方向にシフ

ト させる機能を備えています。 通常動作中、 CDR は入力データの遷移ポ イ ン ト を検出し、 それら を

使用し て入力ク ロ ッ ク の周波数を回復し ます。

遷移ポイ ン ト は、 データのサンプルに 適な時間を選択するためにも使用されます。 エラーの発生

を 小限に抑え るため、 CDR では可能な限 り 遷移ポ イ ン ト から遠いポ イ ン ト (つま り 、 ビ ッ ト 値が

も安定し ている時点) でデータ をサンプルし よ う と し ます 。 この点がデータ ア イの中心です (図

7-6 を参照)。

CDR で見ら れる よ う に、受信ビッ ト エラ ー数が大幅に増加する 以前に、サンプル ポイ ント がどの程

度遷移位置に接近する かを決定する こ と によ り 、 入力信号の質を大ま かに測る こ と ができ ま す。 図

7-7 に、 ク リ ーン データ (シグナル イ ンテグリ ティ に優れたデータ ) と 不良データ の例を示し ま す。

表 7-11 : 動作モー ド別の PMA_RX_CFG 設定

アプ リ ケーシ ョ ン 出力ド ラ イバ設定 PMA_RX_CFG 設定 1 次ループ(1) 2 次ループ

オーバーサンプ リ ング モー

ド、 ±100ppm(2) までの非同期

アプ リ ケーシ ョ ン、 同期プ ロ

ト コル (SONET、 CPRI など)

1 25’h09F0088 オン オフ

2 25’h09F0088 オン オフ

4 25’h09F0088 オン オフ

±1000ppm(3) までの非同期ア

プ リ ケーシ ョ ン、 スペ ク ト ラ

ム拡散、 PCI Express アプ リ

ケーシ ョ ン

1 25’h09F0089 オン オン

2(4) 25’h09F0051 オン オン

4(4) 25’h09F0051 オン オン

Lock-to-Reference モード 1、 2、 4 25’h09F0000 オフ オフ

メ モ :

1. 1 次ループでは、 サンプル ポ イ ン ト を精密に調整でき るので、 ppm のオフセ ッ ト が小さ いアプ リ ケーシ ョ ンには十分です。 ppm オフセ ッ ト が大きいアプ リ ケーシ ョ ンには、 1 次ループに加えサンプル ポ イ ン ト の高速調整が可能な 2 次ループ も必要です。

2. ppm オフセ ッ ト の許容 大値は、 選択されている出力分周器の設定によ って異な り ます。 詳細は、 『Virtex-5 データ シー ト : DC 特性およびス イ ッ チ特性』 の RocketIO GTP ト ラ ンシーバの特性のセ ク シ ョ ンを参照し て く だ さ い。

3. 変動が ±100ppm を超え る非同期アプ リ ケーシ ョ ンでは、サンプル ポ イ ン ト の調整に CDR の 2 次ループが必要です。SONET や CPRI などの変動が少ないプロ ト コルには、 1 次ループのみが必要です。

4. GTP レシーバを スペク ト ラ ム拡散信号に接続する と きは、 PLL_RXDIVSEL_OUT 属性を 1 に設定し て、 2Gb/s を超え る ラ イ ン レー ト にスペク ト ラ ム拡散ク ロ ッ ク を供給する と きに受信可能な ラ イ ン レー ト を制限する必要があ り ます。

図 7-6 : CDR の通常動作

0 ( )

90 (通常のサンプル ポイント)

180 ( )

UG196_c7_06_100606

Page 149: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 149UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

こ の機能は、PMA_CDR_SCAN 属性によ ってサポート さ れま す。 ダイ ナミ ッ ク ス キャ ンは、ビッ ト

エラー数を決定する PRBS などの既知のデータの受信中に、 DRP を使用し て PMA_CDR_SCAN を変更する こ と によ って実行されます。

PMA_CDR_SCAN には 8 ビ ッ ト のフ ィ ール ド があ り 、データ ア イにおけ る 初の遷移ポイ ン ト に

対するデータのサンプル ポ イ ン ト を制御し ます。 フ ィ ール ド を 0 に リ セ ッ ト する こ と で、 サンプル

ポイ ン ト は 初の遷移ポイ ン ト と な り ます。 また、 フ ィ ール ド を 127 にする と、 その位置はア イの

2 番目の遷移ポイ ン ト であ る 180°ポイ ン ト にな り ます (図 7-6 を参照)。各フ ィ ール ド のデフ ォル ト

値は 64 で、 90°サンプル ポイ ン ト が標準です。

PLL_RXDIVSEL_OUT に設定可能な異なる 3 つの値に対応する よ う に 3 つのフ ィ ール ド があ り ま

す。 表 7-12 に、 PLL_RXDIVSEL_OUT = 1 の と きの PMA_CDR_SCAN 設定を示し ます。

サン プル ポイ ン ト を 水平方向にシフ ト さ せる 場合、 PLL_RXDIVSEL_OUT = 2 ま たはPLL_ RXDIVSEL_OUT = 4 はサポー ト されていません。

図 7-7 : データ アイのスキャ ン例

UG196_c7_07_100606

狭いスキャン

表 7-12 : PLL_RXDIVSEL_OUT = 1 のと きの PMA_CDR_SCAN 設定

PLL_RXDIVSEL_OUTPMA_CDR_SCAN

[26] [25] [24] [23:16] [15:8] [7:0]

1 1 1 0 8’hC0(1) 8’h76(1) 8’h00 - 8’h80

メ モ :

1. RocketIO GTP Transceiver Wizard で設定されたデフ ォル ト 値から変更し ないで く だ さ い。 PMA_CDR_SCAN の下位ビ ッ ト を変更すると きは、 付随的に上位ビ ッ ト も変更される こ と がない よ う 、 これらのビ ッ ト をマス クする必要があ り ます。

Page 150: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

150 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

Serial In Parallel OutR

Serial In Parallel Out

はじめに

SIPO (Serial In to Parallel Out) ブロ ッ クは、GTP レシーバの PMA から のシ リ アル データ をデシ リ

ア ラ イ ズし、 PCS にパラ レル データ と し て送信し ます。

ポー ト および属性

表 7-13 で SIPO のポー ト について説明し ます。

表 7-14 で、 SIPO の属性について説明し ます。

説明

SIPO ブロ ッ クは、 RX データパスの中心です。 このブロ ッ ク では、 高速ク ロ ッ ク の両エ ッ ジを使用

し て入力データ をデシ リ ア ラ イ ズし、 PCS に送信し ます。

SIPO へのシ リ アル ラ イ ン レー ト 、 つま り GTP ト ラ ンシーバの RX ラ イ ン レー ト は、 GTP ト ラ ン

シーバにおけ る PLL ク ロ ッ ク レー ト および PLL_RXDIVSEL_OUT 設定に依存し ます。式 7-2 は、

RX ラ イ ン レー ト を決定する等式です。 PLL ク ロ ッ ク レー ト の設定方法の詳細は、68 ページの 「共

有 PMA PLL」 を参照し て く ださ い。

表 7-13 : SIPO ポー ト

ポー ト 方向 ク ロ ッ ク ド メ イ ン 説明

INTDATAWIDTH 入力 非同期

GTP_DUAL タ イル全体の内部データパス

幅を指定し ます。 こ のポー ト は共有されて

お り 、 68 ページの 「共有 PMA PLL」 にも

説明が記載されています。

0 : 内部データパスは 8 ビ ッ ト 幅

1 : 内部データパスは 10 ビ ッ ト 幅

表 7-14 : SIPO 属性

属性 説明

OVERSAMPLE_MODE

こ の共有属性は、 GTP_DUAL ト ラ ンシーバ両方に内蔵された 5 倍

オーバーサンプ リ ング回路を イネーブルにし ます。 GTP ト ラ ンシー

バが 100Mb/s ~ 500Mb/s の ラ イ ン レー ト で動作する場合、 オー

バーサンプ リ ングを イ ネーブルにする必要があ り ます。

TRUE : タ イル上の両 GTP ト ラ ンシーバにビル ト イ ン 5 倍オー

バー サンプ リ ングを イ ネーブル

FALSE : デジ タル オーバーサンプ リ ングをデ ィ スエーブル

5 倍デジタル オーバーサンプ リ ングの詳細は、 152 ページの 「オー

バーサンプ リ ング」 を参照。

PLL_RXDIVSEL_OUT_0

PLL_RXDIVSEL_OUT_1

レシーバの標準ラ イ ン レー ト を定義する分周値。 1、 2、 または 4 に設定でき ます。

RX ラ イ ン レー ト = PLL Clock * 2/PLL_RXDIVSEL_OUT

Page 151: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 151UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

式 7-2

SIPO のパラ レル側へのパラ レル ク ロ ッ ク のレー ト は、GTP ト ラ ンシーバの XCLK レー ト です。 こ

のレー ト は、PCS 内部で使用される GTP ト ラ ンシーバの USERCLK レー ト に一致し ます。 GTP ト

ラ ンシーバの RX 側における ク ロ ッ ク ド メ イ ンの詳細は、 171 ページの 「設定変更可能な RX エラ

ステ ィ ッ ク バッ フ ァおよび位相ア ラ イ メ ン ト 」 を参照し て く ださ い。 XCLK レー ト は SIPO が生成

するパラ レル データの幅であ る ため、その値は内部データパス幅に依存し ます。 式 7-3 に、SIPO の

パラ レル (XCLK) レー ト の算出式を示し ます。

式 7-3

OVERSAMPLE_MODE が FALSE の場合、INTDATAWIDTH が Low のと き の内部データ パス 幅は

8、INTDATAWIDTH が High の と きは 10 と な り ます。 OVERSAMPLE_MODE が TRUE の場合、

内部データパス幅は 10 です。 オーバーサンプ リ ングが有効な場合のレシーバ動作の詳細は、 152 ページの 「オーバーサンプ リ ング」 を参照し て く ださ い。

SIPO のシリ アルおよ びパラ レ ル両ク ロ ッ ク は、CDR 回路で回復さ れたク ロ ッ ク から 生成さ れま す。

RX Line RatePLL Clock 2×

PLL_RXDIVSEL_OUT----------------------------------------------------------=

RX XCLK RateRX Line Rate

Internal Datapath Width----------------------------------------------------------=

Page 152: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

152 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

オーバーサンプ リ ングR

オーバーサンプ リ ング

はじめに

GTP ト ラ ンシーバにはそれぞれが 5 倍オーバーサンプ リ ング回路が内蔵されてお り 、 100Mb/s ~

500Mb/s のシ リ アル レー ト を可能にし ます。 こ のよ う な低レー ト で、 通常の CDR が動作制限を満

たすには、 ターゲ ッ ト とする ラ イ ン レー ト の 5 倍で動作する必要があ り ます。

デジタル オーバーサンプ リ ング回路は、SIPO からのパラ レル データ を要求する ラ イ ン レー ト の 5 倍で取 り 込み、ビ ッ ト 値の遷移ポイ ン ト を使用し て ク ロ ッ ク を回復し ます。 遷移ポイ ン ト は、送信さ

れた 10 ビ ッ ト データ ご と に 2 ビ ッ ト データ を回復する ため、 適なサンプル ポイ ン ト を選択する

際にも使用されます。

ポー ト および属性

表 7-15 で、 内蔵されたデジタル オーバーサンプ リ ングのポー ト について説明し ます。

図 7-8 : GTP RX のブロ ッ ク図

RX-PMA RX-PCS

RX EQ

RX OOB

SIPORX

UG196_c7_08_112007

RXCDR

PMAPLL

表 7-15 : RX DCDR ポー ト

ポー ト 方向ク ロ ッ ク ド メ イン

説明

RXENSAMPLEALIGN0

RXENSAMPLEALIGN1入力 RXUSRCLK2

High のと き 、PCS 内の 5 倍オーバーサンプ

ラ が、 サンプル ポイ ント を継続的に調整し

ま す。 Low のと き は、 ポート が Low になる

以前にアク ティ ブだっ たポイ ント でのみサ

ンプルさ れま す。

RXOVERSAMPLEERR0

RXOVERSAMPLEERR1出力 RXUSRCLK2

オーバーサンプ リ ング回路の FIFO がオー

バーフ ローあ るいはアンダーフ ローにな る

と High にな り ます。適切な処理を再開する

には、PCS を リ セ ッ ト する必要があ り ます。

Page 153: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 153UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

表 7-16 で、 内蔵されたデジタル オーバーサンプ リ ングの属性について説明し ます。

説明

各 GTP ト ラ ンシーバは、 デジ タル オーバーサンプ リ ング回路を内蔵し てお り 、 100 ~ 500Mb/s の

ラ イ ン レー ト で ト ラ ンシーバを動作させるには、 こ の回路が必要と な り ます。 オーバーサンプ リ ン

グは、 GTP_DUAL タ イルの両 ト ラ ンシーバに適用されます。 つま り 、 一方の ト ラ ンシーバをア ク

テ ィ ブにする と、 も う 一方も ア ク テ ィ ブにな り ます。

オーバーサンプ リ ングを使用する よ う GTP ト ラ ンシーバを設定するには、 次の手順に従います。

• 5 倍のラ イ ン レー ト を設定し ます。

• PCS の内部データパスおよびク ロ ッ ク を設定し ます。

• オーバーサンプ リ ング ブロ ッ ク をア ク テ ィ ブにし、 動作し ます。

RocketIO GTP Transceiver Wizard では GTP_DUAL タ イルが自動的にコ ンフ ィ ギュ レーシ ョ ン さ

れ、オーバーサンプ リ ングを イネーブルにし て GTP ラ ッパを生成する と きに、オーバーサンプ リ ン

グ ポー ト を使用でき ます。

5 倍のラ イ ン レー ト を設定

RX PMA の SIPO は、パラ レル サイ クルご と に 10 ビ ッ ト をオーバーサンプ リ ング ブロ ッ ク に供給

する必要があ り ます。 これが目的のラ イ ン レー ト の 5 倍のレー ト でサンプルされます。 PMA で必

要な ラ イ ン レー ト は、 式 7-4 から求められます。

式 7-4

目的のラ イ ン レー ト を実現するには、 PLL ク ロ ッ ク レー ト が 1.0 ~ 2.2GHz の PLL 動作範囲内に

なる よ う 、 ト ラ ンシーバの RX 分周器を設定する必要があ り ます。 式 7-5 に、 必要なレー ト と PLL ク ロ ッ ク の関係を示し ます。 150 ページの 「Serial In Parallel Out」 では、 ローカル RX 分周器につ

いて詳細に説明し ています。

式 7-5

68 ページの 「共有 PMA PLL」 で説明し た共有 PMA PLL は、 必要な PLL ク ロ ッ ク周波数を生成

する よ う に設定する必要があ り ます。 式 7-6 に、PLL ク ロ ッ ク周波数と CLKIN (タ イルへの リ フ ァ

レ ン ス ク ロ ッ ク ) の関係を示し ます。 オーバーサンプ リ ング モード では、INTDATAWIDTH の設定

に関わらず、 PMA の内部データ幅は自動的に 10 ビ ッ ト と な り ます。 また、 PLL_DIVSEL_REF に

対する PLL_DIVSEL_FB 比率を も低 く する こ と を推奨し ます。

式 7-6

表 7-16 : RX の DCDR 属性

属性 説明

OVERSAMPLE_MODE

こ の属性は共有さ れてお り 、 68 ページの 「共有 PMA PLL」 および

110 ページの 「TX バッ フ ァ、 位相ア ラ イ メ ン ト 、 およびバッ フ ァ バ

イパス」 にも説明が記載されています。 GTP_DUAL タ イルの両 GTP ト ラ ンシーバに適用されます。

TRUE の と き、 5 倍オーバーサンプ リ ングがイ ネーブルにな り ます。

PMALineRate 5 DesiredLineRate×=

fPLLClockPMALineRate PLL_RXDIVSEL_OUT×

2----------------------------------------------------------------------------------------------------------------------=

fPLLClock fCLKIN5 PLL_DIVSEL_FB×PLL_DIVSEL_REF

---------------------------------------------------------------×=

Page 154: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

154 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

オーバーサンプ リ ングR

PCS の内部デー タパスおよびク ロ ッ クの設定

図 7-9 に、 オーバーサンプ リ ング使用時の GTP RX データパスのク ロ ッ ク ド メ イ ンを示し ます。

RX シ リ アル ク ロ ッ クは、あ らかじめ算出し た PMA ラ イ ン レー ト で動作し ます。 XCLK は、PMA ラ イ ン レー ト の 10 分の 1 と し て求められる 10 ビ ッ ト データパスのパラ レル レー ト で動作し ま

す。 SIPO からのオーバーサンプ リ ング データは、 こ の XCLK レー ト でオーバーサンプ リ ング ブ

ロ ッ ク に入力されます。

オーバーサンプ リ ング ブロ ッ クは、10 ビ ッ ト を受信する ご と に 2 ビ ッ ト のデータ を生成し ます。 こ

のデータは、 式 7-5 から求められる目的のラ イ ン レー ト に必要な ク ロ ッ ク レー ト で、 残 り の PCS データパスに入 り ます。 こ の PCS レー ト が RXUSRCLK に使用されます。 また、 RXUSRCLK2 で

は、 こ のレー ト を乗算し たレー ト (選択し た RX データパス幅に依存) が使用されます。

オーバーサンプ リ ングを使用する場合、 オーバーサンプルされた ラ イ ン レー ト (PMA ラ イ ン レー

ト ではない) および INTDATAWIDTH で指定された PCS 内部データパス幅を使用し、

RXUSRCLK を算出する必要があ り ます。 RXUSRCLK および RXUSRCLK2 については、 194 ページの 「FPGA RX イ ン ターフ ェ イ ス」 で詳細に説明し ます。

オーバーサンプ リ ング ブロ ッ ク をア ク テ ィ ブに し、 動作させる

PMA ラ イ ン レー ト および PCS データパスの設定後、OVERSAMPLING_MODE を TRUE に設定

する こ と でオーバーサンプ リ ング ブロ ッ ク を イ ネーブルにでき ます。 こ の属性は、 GTP_DUAL タ

イルの両 ト ラ ンシーバに影響し ます。

オーバーサンプリ ング ブロ ッ ク には、 PCS に渡す前にデータ を 格納する 小さ なバッ フ ァ が含ま れ

ま す。こ のバッ フ ァ は、シス テム内のその他のイ ベント によ って RXUSRCLK が一時的に停止する

など 、 PMA およ び PCS の周波数が異なる 場合、 オーバーフ ロ ー /アンダーフ ロ ーと なる 可能性が

あり ま す。 オーバーサンプリ ング ブロ ッ ク のバッ フ ァ にエラ ーが発生する と 、 ト ラ ンシーバで

RXOVERSAMPLEERR 信号がアサート さ れます。 こ のエラ ーは、RXRESET ま たは RXCDRRESET をアサー ト する と ク リ ア されます。

図 7-9 : ビル ト イン オーバーサンプ リ ング回路を使用する場合の RX ク ロ ッ ク ド メ イン

RX

RX-PMA RX-PCS

RXCDR

PMAPLL

PMA PLL

RXEQ

SIPO10B

/8B

PMA

(XCLK)

PCS

(RXUSRCLK)(RXRECCLK)

RX

(RXUSRCLK2)

PRBS

RX

FPGA

UG196_c7_09_102306

RX

Loss of Sync

Page 155: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 155UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

RXENSAMPLEALIGN を High にする と、 オーバーサンプ リ ング ブロ ッ クは常に、 可能な限 り

良の リ カバ リ ク ロ ッ クおよび入力データのサンプ リ ング位置を検出し よ う と し ます。

RX の極性制御

はじめに

GTP レシーバでは、RX の極性制御機能を使用し て入力データ を反転でき ます。 こ の機能は、誤って

RXP および RXN 信号が逆に接続される可能性のあ るデザイ ンで有益です。 入力データの極性を反

転させるには、 RXPOLARITY ポー ト を High に駆動し て く だ さい。

ポー ト および属性

表 7-17 で RX の極性制御ポー ト について説明し ます。

こ のセ ク シ ョ ンに該当する属性はあ り ません。

説明

入力データの極性の反転には、 RX の極性ポー ト が使用されます。 このポー ト を High に駆動する

と、 RXN ピ ンが RXP ピン と し て、 RXP ピンが RXN ピン と し て扱われます。

表 7-17 : RX の極性制御ポー ト

ポー ト 方向ク ロ ッ ク

ド メ イ ン説明

RXPOLARITY0

RXPOLARITY1入力 RXUSRCLK2

RX の極性ポー ト を使用し て入力データの極性を反

転し ます。

1 : 極性の反転

0 : 通常の極性

Page 156: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

156 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

PRBS 検出R

PRBS 検出

はじめに

GTP レシーバは、 PRBS チェ ッ カを内蔵し ます。 こ のチェ ッ カは、 業界標準の 3 つの PRBS パター

ンの 1 つをチェ ッ クする よ う に設定でき ます。 チェ ッ カは自己同期タ イプで、 カンマ ア ラ イ メ ン ト

またはデコード実行前の入力データに対し て実行されます。 こ の機能を使用し、 チャネルのシグナ

ル イ ンテグ リ テ ィ がテス ト でき ます。

ポー ト および属性

表 7-18 で PRBS 検出ポー ト について説明し ます。

表 7-19 で PRBS 検出属性について説明し ます。

表 7-18 : PRBS 検出ポー ト

ポー ト 方向ク ロ ッ ク ド メ イン

説明

INTDATAWIDTH 入力 非同期

GTP_DUAL タ イル全体の内部データパス幅を指定し ます。 PRBS チェ ッ

カは INTDATAWIDTH が High (内部データパスは 10 ビ ッ ト ) の と きのみ

有効です。

PRBSCNTRESET0

PRBSCNTRESET1入力 RXUSRCLK2

PRBS エラー カ ウ ン タ を リ セ ッ ト し ます。

PRBSCNTRESET は同期的に適用さ れ、 立ち上がり エッ ジでのみ有効です。

RXENPRBSTST0[1:0]

RXENPRBSTST1[1:0]入力 RXUSRCLK2

レシーバのテス ト パターン チェ ッ カを制御し ます。

00 : PRBS チェ ッ カをデ ィ スエーブル

01 : 27-1 PRBS チェ ッ カを イ ネーブル

10 : 223-1 PRBS チェ ッ カを イ ネーブル

11 : 231-1 PRBS チェ ッ カを イ ネーブル

PRBS チ ェ ッ カが イ ネーブルの と き は、 INTDATAWIDTH を High (10 ビ ッ ト の内部データ幅モード ) にする必要があ り ます。

RXPRBSERR0

RXPRBSERR1出力 RXUSRCLK2

PRBS テス ト のエラー数が PRBS_ERR_THRESHOLD 属性での指定値以

上になる と、 RXPRBSERR が High にな り ます。

表 7-19 : PRBS 検出属性

属性 説明

PRBS_ERR_THRESHOLD0

PRBS_ERR_THRESHOLD1

PRBS チェ ッ カのエラーし きい値を設定し ます。 PRBS テス ト が

有効の と きに、 カ ウ ン タでエラー数がカ ウ ン ト されます。 エラー

数が PRBS_ERR_THRESHOLD 値以上に な る と、 出力

RXPRBSERR が High にな り ます。 この属性は、 32 ビ ッ ト の 16 進数で設定されます。

Page 157: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 157UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

説明

内蔵の PRBS チェ ッ カを使用するには、 レシーバに送信された PRBS パターン と一致する よ う に

RXENPRBSTST を設定し ます。 表 7-18 の RXENPRBSTST の項目に設定可能な値が示されてい

ます。 内部データ幅が 10 ビ ッ ト のモード のみサポー ト されるので、 PRBS がイ ネーブルの と きに

は、 INTDATAWIDTH を High に設定する必要があ り ます。

PRBS チェ ッ カは実行中に入力データ内にあ る特定の PRBS パターンを検索し ます。 そのパターン

が検出される と、入力パターン と予想されているパターンの比較によ って PRBS エラーが検出でき

ます。

チェ ッ カでは、 検出し たエラー数がカ ウ ン ト されて PRBS_ERR_THRESHOLD と比較されます。

エラー数がし きい値以上になる と、 RXPRBSERR がアサー ト されます。 RXPRBSERR は、

PRBSCNTRESET のアサー ト でク リ ア されます。 また、 GTPRESET、 RXCDRRESET、 および

RXRESET も カ ウ ン ト を リ セ ッ ト し ます。

設定変更可能なカンマ アラ イ メ ン ト および検出

はじめに

シ リ アル データ をパラ レル データ と し て使用でき る よ う にするには、 データ をシンボル バウ ンダ

リ に揃え る必要があ り ます。 こ のア ラ イ メ ン ト を実行するため、 ト ラ ン ス ミ ッ タは通常カンマ と呼

ばれる識別可能なシーケン ス を送信し ます。 レシーバは、 入力データ内でカンマを検索し ます。 レ

シーバでカンマが検出される と、カンマがバイ ト バウ ンダ リ に移動されて、受信し たパラ レル ワー

ド が送信されたパラ レル ワード と一致し ます。

図 7-10 に、 10 ビ ッ ト カンマへのア ラ イ メ ン ト を示し ます。 TX のパラ レル データが左側にあ り ま

す。 カンマを含むシ リ アル データは、 中央でハイ ラ イ ト され、 ア ラ イ ン されていないビ ッ ト を受信

し ている RX が右側にあ り ます。

図 7-10 : カンマ アラ イ メ ン ト の概念図 (10 ビ ッ ト カンマへのアラ イ メ ン ト )

100101100001001001101011100110011100101111100 1011011001010100100010101010101100110

UG196_c7_10_092606

Page 158: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

158 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能なカ ンマ アラ イ メ ン ト および検出R

図 7-11 では、TX パラ レル データが左側にあ り 、識別可能なパラ レル データ を受信し ている RX が

右側にあ り ます。

GTP ト ラ ンシーバは、 特定のカンマを多様なバイ ト バウ ンダ リ に揃え る よ う にプロ グ ラ ム し た り 、

属性設定 (160 ページの表 7-21 を参照) を使用し て手動でデータ を揃え る こ と ができ る ア ラ イ メ ン

ト ブロ ッ ク を備えています。 カンマ ダブル モード を使用し た SONET A1/A2 ア ラ イ メ ン ト が可能

です。 また、 ブロ ッ ク が不要な場合は、 バイパス し てレ イ テンシを削減でき ます。

図 7-11 : カ ンマ ア ラ イ メ ン ト のパラ レル データ図

TX RX

0

1

2

揃えられていないデータ

1

2

UG196_c7_11_110107

Page 159: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 159UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

ポー ト および属性

表 7-20 に RX のカンマ ア ラ イ メ ン ト および検出ポー ト を示し ます。

表 7-20 : RX カ ンマ ア ラ イ メ ン ト および検出ポー ト

ポー ト 方向ク ロ ッ ク ド メ イ ン

説明

RXBYTEISALIGNED0

RXBYTEISALIGNED1出力 RXUSRCLK2

カンマ検出および リ ア ラ イ メ ン ト 回路か ら の信号で、 パラ レル デー

タ ス ト リ ームが、 カンマ検出に従ってバイ ト バウ ンダ リ に適切に揃

え られている と きに High にな り ます。

0 : パラ レル データ ス ト リ ームがバイ ト バウ ンダ リ に揃え られて

いない

1 : パラ レル データ ス ト リ ームがバイ ト バウ ンダ リ に揃え られて

いる

RXBYTEISALIGNED がアサート さ れてから 揃えら れたデータ が

FPGA RX イ ンタ ーフ ェ イ ス で使用でき る よ う になる ま でには、数サ

イ ク ルが 必要で す。 PCOMMA_ALIGN = TRUE の と き 、

RXBYTEISALIGNED は正の カ ン マ ア ラ イ メ ン ト に 応答し 、

MCOMMA_ALIGN = TRUE のと き は負のカン マ アラ イ メ ン ト に

応答し ま す。

RXBYTEREALIGN0

RXBYTEREALIGN1出力 RXUSRCLK2

カ ンマ検出および リ ア ラ イ メ ン ト 回路か ら の信号で、 カンマ検出に

よ って、 シ リ アル データ ス ト リ ーム内のバイ ト ア ラ イ メ ン ト が変更

し た こ と かを示し ます。

0 : バイ ト ア ラ イ メ ン ト に変更なし

1 : バイ ト ア ラ イ メ ン ト に変更あ り

ア ラ イ メ ン ト が起こ る とデータが失われる場合があ り 、 こ れによ り 、

データ エラー (および、 8B/10B デコーダの使用時はデ ィ スパ リ テ ィ

エラー ) が発生する可能性があ り ます。

RXCOMMADET0

RXCOMMADET1出力 RXUSRCLK2

カンマ ア ラ イ メ ン ト ブロ ッ ク でカンマが検出される と、 アサー ト さ

れます。 こ の信号は、 FPGA RX イ ン ターフ ェ イ スでカンマが使用可

能 と なる数サイ クル前にアサー ト されます。

0 : カンマは未検出

1 : カンマを検出

RXCOMMADETUSE0

RXCOMMADETUSE1入力 RXUSRCLK2

カンマ検出およびア ラ イ メ ン ト 回路をア ク テ ィ ブにし ます。

0 : 回路をバイパス

1 : カンマ検出およびア ラ イ メ ン ト 回路を使用

カンマおよびア ラ イ メ ン ト 回路をバイパスする場合、 RX データパス

のレ イ テンシが削減されます。

RXENMCOMMAALIGN0

RXENMCOMMAALIGN1入力 RXUSRCLK2

負のカンマが検出される と、 バイ ト バウ ンダ リ が揃え られます。

0 : デ ィ スエーブル

1 : イネーブル

Page 160: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

160 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能なカ ンマ アラ イ メ ン ト および検出R

表 7-21 に RX のカンマ ア ラ イ メ ン ト および検出属性を示し ます。

RXENPCOMMAALIGN0

RXENPCOMMAALIGN1入力 RXUSRCLK2

正のカンマが検出される と、 バイ ト バウ ンダ リ が揃え られます。

0 : デ ィ スエーブル

1 : イネーブル

RXSLIDE0

RXSLIDE1入力 RXUSRCLK2

カンマ ア ラ イ メ ン ト のバンプ制御を イ ンプ リ メ ン ト し ます。

RXSLIDE がアサー ト される と、 バイ ト ア ラ イ メ ン ト が 1 ビ ッ ト ず

つ調整され、 FPGA ロ ジ ッ ク によ るバイ ト ア ラ イ メ ン ト の決定およ

び制御が可能にな り ます。 1 回の RXSLIDE アサー ト では 1 ビ ッ ト の

みが調整されます。

RXSLIDE を 再度アサート し て別の調整を 行う には、 RXSLIDE を

RXUSRCLK2 の 2 サイ ク ル間ディ アサート する 必要があ り ま す。

RXSLIDE のアサート は、 通常のカン マ アラ イ メ ント よ り 優先さ れ

ま す。

表 7-20 : RX カ ンマ ア ラ イ メ ン ト および検出ポー ト (続き)

ポー ト 方向ク ロ ッ ク ド メ イ ン

説明

表 7-21 : RX カ ンマ ア ラ イ メ ン ト および検出属性

属性 説明

ALIGN_COMMA_WORD_0

ALIGN_COMMA_WORD_1

マルチバイ ト のデータパスで検出されたカンマのア ラ イ メ ン ト を制御し ます。

1 : カンマを 2 バイ ト データパス内のいずれかのバイ ト に揃えます。 2 バイ ト の RX イン ターフ ェ イ ス を選択する場合、カンマは、FPGA で RXDATA の偶数バイ ト [9:0] または奇数バイ ト [19:10] のいずれかに揃え る こ と ができ ます。

2 : カンマを 2 バイ ト データパス内の偶数バイ ト に揃えます。 揃え られたカンマは、確

実にバイ ト RXDATA [9:0] に揃います。 ALIGN_COMMA_WORD = 2 の場合、エ

ラ ステ ィ ッ ク バッ フ ァ と適切に併用するには、 CLK_COR_ADJ_LEN および

CLK_COR_MIN_LAT を共に偶数にし ます。

カンマを偶数および奇数位置に送信するプロ ト コルでは、ALIGN_COMMA_WORD を 1 に設定し ます。

RXDATAWIDTH を Low に リ セ ッ ト する場合、ALIGN_COMMA_WORD は 1 設定にし

ます。

COMMA_10B_ENABLE_0

COMMA_10B_ENABLE_1

MCOMMA/PCOMMA で入力データに一致する必要があ る ビ ッ ト 、 および任意の値で問

題ないビ ッ ト を設定し ます。

この属性は 10 ビ ッ ト のマス ク ビ ッ ト で、デフ ォル ト 値は 1111111111 です。 マス クにあ

り 、 0 に リ セ ッ ト されるいずれのビ ッ ト によ って も、 MCOMMA または PCOMMA 内の

対応する ビ ッ ト が事実上 don’t care ビ ッ ト にな り ます。

Page 161: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 161UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

説明

カ ンマ ア ラ イ メ ン ト の有効化

カンマ ア ラ イ メ ン ト ブロ ッ ク を イ ネーブルにするには、RXCOMMADETUSE ポー ト を High に駆

動し ます。 RXCOMMADETUSE を Low に駆動する と、ブロ ッ ク をバイパス し てレ イ テンシが 小

にな り ます。

カ ンマ パターンの設定

ブロ ッ ク が入力データ ス ト リ ーム内で検索する カンマ パタ ーンを設定する には、 MCOMMA_10B _VALUE、PCOMMA_10B_VALUE、およ び COMMA_10B_ENABLE 属性を使用し ま す。 カンマの

長さ は、タ イ ルの INTDATAWIDTH によ って決定し ま す (68 ページの「 共有 PMA PLL」 を参照)。 図

7-12 では、各カンマ値が COMMA_10B_ENABLE でマス ク され、部分的なパターン一致が可能と

なっています。

COMMA_DOUBLE_0

COMMA_DOUBLE_1

正または負のカンマのいずれかのみでカンマ一致を定義するか、 あ るいはシーケン スに両

方が必要かを指定し ます。

FALSE : 正のカンマ (PCOMMA) および負のカンマ (MCOMMA) を別々に扱います。 いずれかが一致する こ と でカンマ検出およびア ラ イ メ ン ト が実行されます。

TRUE : 正のカンマの直後に負のカンマがあ る と、 カンマが一致し ます。 一致パターン

は 20 または 16 ビ ッ ト (INTDATAWIDTH で定義) です。

COMMA_DOUBLE が TRUE の場合、PCOMMA_DETECT は MCOMMA_DETECT と

同一で、RXENPCOMMAALIGN は RXENMCOMMAALIGN と同一の必要があ り ます。

MCOMMA_10B_VALUE_0

MCOMMA_10B_VALUE_1

RXCOMMADET を High にし 、パラ レ ル データ を揃える 場合の負のカンマを定義し ま す。

受信順は右か ら 左。 (MCOMMA_10B_VALUE[0] が 初に 受信)。 デフ ォ ルト 値は

1010000011 (K28.5)。 こ の定義は、8B/10B エン コ ード ま たはデコ ード に影響を 与えま

せん。

MCOMMA_DETECT_0

MCOMMA_DETECT_1

負のカンマで RXCOMMADET を High にするかを制御し ます。

FALSE : 負のカンマが検出された と き、 RXCOMMADET が High になる

TRUE : 負のカンマが検出された と き、 RXCOMMADET は High にな ら ない こ の設定は、 カンマ ア ラ イ メ ン ト には影響し ません。

PCOMMA_10B_VALUE_0

PCOMMA_10B_VALUE_1

RXCOMMADET を High にし 、パラ レ ル データ を揃える 場合の正のカンマを定義し ま す。

受信順は右から 左 (PCOMMA_10B_VALUE[0] が 初に受信) で、 デフ ォ ルト 値は

0101111100 (K28.5) です。 こ の定義は、8B/10B エンコ ード ま たはデコ ード に影響し ま す。

PCOMMA_DETECT_0

PCOMMA_DETECT_1

正のカンマで RXCOMMADET を High にするかを制御し ます。

FALSE : 正のカンマが検出された と き、 RXCOMMADET が High になる

TRUE : 正のカンマが検出された と き、 RXCOMMADET は High にな ら ない

こ の設定は、 カンマ ア ラ イ メ ン ト には影響し ません。

RX_SLIDE_MODE_0

RX_SLIDE_MODE_1

PMA または PCS でのス ラ イデ ィ ングを選択し ます。 有効な値は PCS (デフ ォル ト ) およ

び PMA です。

表 7-21 : RX カ ンマ ア ラ イ メ ン ト および検出属性 (続き)

属性 説明

Page 162: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

162 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能なカ ンマ アラ イ メ ン ト および検出R

また、 図 7-12 では、 COMMA が COMMA_ENABLE と組み合わせられ、 10 ビ ッ ト の内部カンマ

のワ イル ド カード カンマを構成し ています。

COMMA_DOUBLE が TRUE の場合、MCOMMA および PCOMMA パターンが組み合わせられ、

ブロ ッ ク では連続し た 2 つのコ ンマが検索されます。 カンマ内のビ ッ ト 数は INTDATAWIDTH に

よ って決定し ます (68 ページの 「共有 PMA PLL」 を参照)。 図 7-13 に、 COMMA_DOUBLE = TRUE の場合のカンマの組み合わせを示し ます。

図 7-14 に、幅が 2 倍のカンマの場合、COMMA_10B_ENABLE およびワ イル ド カード がどのよ う

に機能するかを示し ます。

カ ンマ ア ラ イ メ ン ト のア ク テ ィ ブ化

カンマ アラ イ メ ント がアク ティ ブなと き にカンマが検出さ れる と 、それら は も 近接し たバウ ンダリ

に揃えら れま す。 MCOMMA パタ ーンに揃える には、 RXENMCOMMAALIGN を High に駆動し 、

PCOMMA パタ ーンのアラ イ メ ント をアク ティ ブにする には、RXENPCOMMAALIGN を High に駆

動し ます。 両方のイ ネーブル ポート を、 いずれかのパタ ーンを揃える ために駆動し ま す。 COMMA_ DOUBLE が TRUE の場合、 2 つのイ ネーブル ポート は常に同じ 値を駆動する 必要があり ます。

図 7-12 : カ ンマ パターンのマスク

図 7-13 : コ ンマ パターン定義の拡張

図 7-14 : 拡張し たカ ンマ パターンのマスク

0101111100

xxx1111100

0001111111

MCOMMA_10B_VALUE

PCOMMA_10B_VALUE

(x = don’t care)

COMMA_10B_ENABLE UG196_c7_12_112007

MCOMMA_10B_VALUE PCOMMA_10B_VALUE

UG196_c7_13_092606

0010100010

xx10100001

0011111111

0010100001

xx10100010

0011111111

0011111111

MCOMMA_10B_VALUE

PCOMMA_10B_VALUE(COMMA_DOUBLE = TRUE)

COMMA_10B_ENABLEUG196_c7_14_112007

(x = don’t care)

Page 163: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 163UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

ア ラ イ メ ン ト ステータ ス信号

MCOMMA または PCOMMA ア ラ イ メ ン ト がア ク テ ィ ブの間、ブロ ッ クはカンマ パターン一致機

能によ り 、 も近いバウ ンダ リ に再び揃え られます。 ア ラ イ メ ン ト が適切に完了する と、ブロ ッ ク で

は RXBYTEISALIGNED が High に保持されます。 こ の時点で、RXENMCOMMAALIGN および

RXENPCOMMAALIGN を Low に駆動し てア ラ イ メ ン ト をオフにする と、 回路ア ラ イ メ ン ト の状

態を維持でき ます。 RXBYTEISALIGNED を High にするには、 PCOMMA に対する PCOMMA_ ALIGN を TRUE に設定する必要があ り 、 同様に、 MCOMMA に対する MCOMMA_ALIGN を

TRUE に設定する必要があ り ます。

カンマは、 RXBYTEISALIGNED が High の間に到達可能です。 カンマがバウ ンダ リ に揃え られて

到達する場合、変更はあ り ません。 カンマがずれた位置に到達する と、ブロ ッ ク では、再びカンマが

揃 う まで RXBYTEISALIGNED がデ ィ アサー ト されます。 到達し たカンマに対し てア ラ イ メ ン ト

がア ク テ ィ ブのま まの と き、ブロ ッ クは自動的に新しいカンマを も近接し たバウ ンダ リ に揃えて、

RXUSRCLK2 の 1 サイ クル間、 RXBYTEREALIGN を High に駆動し ます。

ア ラ イ メ ン ト バウンダ リ

ア ラ イ メ ン ト で有効なバウ ンダ リ は、ALIGN_COMMA_WORD で定義されます。 有効なバウ ンダ

リ の間隔は INTDATAWIDTH で指定され、有効なバウ ンダ リ 位置の数は RXDATA イ ン ターフ ェ イ

スのバイ ト 数によ って決定されます。 図 7-15 に、 選択可能なバウ ンダ リ を示し ます。

手動ア ラ イ メ ン ト

RXSLIDE を使用する と 、 自動カンマ アラ イ メ ント をディ ス エーブルにし てパラ レ ル データ をシフ

ト さ せる こ と ができ ま す。 パラ レ ル データ を左に 1 ビッ ト シフト さ せる には、 RXSLIDE を

RXUSRCLK2 の 1 サイ ク ル間、High に駆動し てく ださ い。RXSLIDE を再度使用でき る よ う にする

には、 RXUSRCLK2 の少なく と も 2 サイ ク ル間、 こ の信号を Low にする 必要があり ま す。

図 7-16 に、RXSLIDE を使用する マニュ アル アラ イ メ ント の波形 (データ シフト の前後) を示し ます。

図 7-15 : カ ンマ ア ラ イ メ ン ト バウンダ リ

RXDATAWIDTH

0 (1 )

0 (1 )

1 (2 )

1 (2 )

ALIGN_COMMA_WORD

1 ( )

2 ( )

1 ( )

UG196_c7_15_092606

2 ( )

RX

RXDATA Byte 0

RXDATA Byte 1 RXDATA Byte 0

RXDATA Byte 1 RXDATA Byte 0

Page 164: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

164 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能な Loss-of-Sync ステー ト マシンR

設定変更可能な Loss-of-Sync ステー ト マシン

概要

8B/10B プロ ト コルによ っては、標準的な LOS (Loss-of-Sync) ステー ト マシンを利用し てチャネル

におけ るエラーの発生を検出し ます。 それぞれの GTP レシーバには、プロ ト コルで必要と なった場

合にア ク テ ィ ブにでき る LOS ステー ト マシンが含まれます。 ステー ト マシンが未使用の場合、

LOS ステー ト マシンのポー ト は、 入力データの状態をモニ タするために使用でき ます。

図 7-16 : RXSLIDE を使用し た手動のデータ アラ イ メ ン ト

RXUSRCLK2

RXSLIDE

RXDATA

TXDATA 0000010000

0000010000 00010000000000100000

UG196_c7_16_112007

. . .

. . .

. . .

. . .

. . .

. . .

. . .

. . .

メ モ :

1. RXDATA でのス ラ イ ド と ス ラ イ ド 結果間のレ イ テンシは、 データパスでア ク テ ィ ブな RX PCS ブロ ッ ク の数に依存し ます。

Page 165: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 165UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

ポー ト および属性

表 7-22 に、 RX の LOS (Loss-of- Sync) ステー ト マシンのポー ト を示し ます。

表 7-23 に、 RX の LOS (Loss-of-Sync) ステー ト マシンの属性を示し ます。

表 7-22 : RX の Loss-of-Sync ステー ト マシンのポー ト

ポー ト 方向ク ロ ッ ク ド メ イン

説明

RXLOSSOFSYNC0[1:0]

RXLOSSOFSYNC1[1:0]出力 RXUSRCLK2

バ イ ト ス ト リ ー ム の同期に関連 し た FPGA の ス テー タ ス。

RX_LOSS_OF_SYNC_FSM 属性のステー ト よ って決定し ます。

RX_LOSS_OF_SYNC_FSM = TRUE の と き、 次のよ う に、 内部 Loss- of-Sync FSM のステー ト を示し ます。

[1] = 1 : 無効なキ ャ ラ ク タ シーケンス または リ セ ッ ト によ り 、 同期

が失われている

[0] = 1 : チャネル ボンデ ィ ング シーケン ス または リ ア ラ イ メ ン ト に

よ る再同期ステー ト

RX_LOSS_OF_SYNC_FSM = FALSE の と き、 次の よ う に、 入力デー

タの情報を示し ます。

[1] = 1 : 受信データは 8B/10B キ ャ ラ ク タではない、 またはデ ィ スパ

リ テ ィ エラーが発生し ている

[0] = 1 : データでチャネル ボンデ ィ ング シーケン ス を検出

表 7-23 : RX の Loss-of-Sync ステー ト マシンの属性

属性 説明

RX_LOS_INVALID_INCR_0

RX_LOS_INVALID_INCR_1

LOS を判断する ため、 無効なキ ャ ラ ク タ を 1 つずつ相殺する と き に要する有効な

キ ャ ラ ク タ数を定義し ます。 有効な設定値は、1、2、4、8、16、32、64、および 128 です。

RX_LOS_THRESHOLD_0

RX_LOS_THRESHOLD_1

RX_LOS_INVALID_INCR_(0/1) で分周する場合、FSM をLOS ステー ト に移行させ

る ために必要な無効なキ ャ ラ ク タ数を定義し ます。 有効な設定値は 4、 8、 16、 32、

64、 128、 256、 および 512 です。

RX_LOSS_OF_SYNC_FSM_0

RX_LOSS_OF_SYNC_FSM_1

RX_LOSS_OF_SYNC_FSM は RXLOSSOFSYNC[1:0] 出力の動作を定義し ます。

FALSE : 8B/10B デコード で無効なデータ (Out of Table エラーまたはデ ィ スパ リ

テ ィ エラーではない) が検出される と、 RXLOSSOFSYNC[1] が High にな り ま

す。 チャネル ボンデ ィ ング シーケン スが、 エラ ステ ィ ッ ク バッ フ ァに書き込ま

れる と、 RXLOSSOFSYNC[0] が High にな り ます。

TRUE (デフ ォル ト ) : Loss of Sync FSM が動作中で、 そのステー ト は RXLOSSOFSYNC[1] に反映されます。

Page 166: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

166 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能な Loss-of-Sync ステー ト マシンR

説明

XAUI など一部の 8B/10B プロ ト コルで、入力データ ス ト リ ームにおけ るエラーの検出に使用され

る標準的な LOS ステー ト マシンを図 7-17 に示し ます。

GTP ト ラ ンシーバの LOS ステー ト マシンをア ク テ ィ ブにするには、 RX_LOSS_OF_SYNC_FSM を TRUE に設定し ます。 ステー ト マシンがア ク テ ィ ブの と きは、RXLOSSOFSYNC ポー ト に現在

のステー ト が示されます。

LOS ステー ト マシンが非ア ク テ ィ ブ (RX_LOSS_OF_SYNC_FSM = FALSE) の場合、

RXLOSSOFSYNC ポー ト には受信データの情報が示されます。 この場合の RXLOSSOFSYNC ポー ト については、 表 7-22 の RXLOSSOFSYNC の項目で説明し ています。

LOS ステー ト マシンの動作は、 RX_LOS_INVALID_INCR および RX_LOS_THRESHOLD 属性

を使用し て調整でき ます。 RX_LOS_THRESHOLD は、 強制的に SYNC_ACQUIRED ステー ト を

LOSS_OF_SYNC ステー ト にする ために必要なキ ャ ラ ク タ数を修正する こ と によ り 、 LOS ステー

ト マシンが不正なキ ャ ラ ク タに対し てどの程度応答するかを調節し ます。 表 7-23 の RX_LOS_ THRESHOLD に、 有効な設定が記載されています。

LOS ステー ト マシンによ って SYNC_ACQUIRED ステー ト におけ るエラー数が時間と共に減少

するため、 わずかなエラーは 終的には切 り 捨て られます。 表 7-23 で説明し た よ う に、 エラー数が

減少する比率は RX_LOS_INVALID_INCR 属性で指定されます。

図 7-17 : LOS ステー ト マシン

00

01 10

Count = RX_LOS_THRESHOLD

Count < RX_LOS_THRESHOLD

UG196_c7_17_112007

+ 4 RXRECCLK

+ < 4 RXRECCLK

SYNC_ACQUIRED

RESYNC LOSS_OF_SYNC

Page 167: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 167UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

コ ン フ ィ ギャ ブル 8B/10B デコーダ

概要

プロ ト コルの多 く で、レシーバによ る 8B/10B データのデコード が必要と されています。 8B/10B は

業界標準のエン コード方法で、パフ ォーマンス向上を目的 と し て、バイ ト ご と に 2 ビ ッ ト のオーバー

ヘッ ド も処理し ます。 106 ページの表 6-3 に、8B/10B の メ リ ッ ト とデ メ リ ッ ト を簡潔に示し ていま

す。 また、 付録 C には、 8B/10B によ って 10 ビ ッ ト シーケン スがどのよ う に 8 ビ ッ ト データおよ

び制御値にマ ッ プされるかが示されています。

GTP ト ラ ンシーバは 8B/10B デコーダを備えている ため、 FPGA の リ ソース を使用する こ と な く 、

RX データのデコード が可能です。 デコーダには、エラーおよび制御シーケン スの入力を示すステー

タ ス信号が含まれます。 デコード が不要な場合は、 ブロ ッ ク をデ ィ スエーブルにし てレ イ テンシを

小にでき ます。

ポー ト および属性

表 7-24 で、 RX デコーダのポー ト について説明し ます。

表 7-24 : RX デコーダ ポー ト

ポー ト 方向ク ロ ッ ク ド メ イ ン

説明

RXCHARISCOMMA0[1:0]

RXCHARISCOMMA1[1:0]出力 RXUSRCLK2

RXDATA が 8B/10B カンマの と きにアサー ト されます。

DEC_MCOMMA_DETECT お よ び DEC_PCOMMA_DETECT に

よ って決定する こ の信号は、 RXDEC8B10BUSE が Low の と きは常

に Low です。

2 ビ ッ ト 信号で、 ビ ッ ト 0 は RXDATA の下位バイ ト に対応し、 ビ ッ

ト 1 は上位バイ ト に対応し ます。 RXDATAWIDTH が Low (1 バイ ト

イ ン ターフ ェ イ ス) の と き、 ビ ッ ト 0 のみが使用されます。

RXCHARISK0[1:0]

RXCHARISK1[1:0]出力 RXUSRCLK2

RXDATA が 8B/10B キ ャ ラ ク タの場合にアサー ト されます。

RXDEC8B10BUSE が Low の と きは、 常に Low です。

2 ビ ッ ト 信号で、 ビ ッ ト 0 は RXDATA の下位バイ ト に対応し、 ビ ッ

ト 1 は上位バイ ト に対応し ます。 RXDATAWIDTH が Low (1 バイ ト

イ ン ターフ ェ イ ス) の と き、 ビ ッ ト 0 のみが使用されます。

RXDEC8B10BUSE0

RXDEC8B10BUSE1入力 RXUSRCLK2

8B/10B デコーダを イ ネーブルにし ます。

1 : 8B/10B デコーダがイ ネーブル

0 : 8B/10B デコーダはバイパス (レ イ テンシが削減)

RXDISPERR0[1:0]

RXDISPERR1[1:0]出力 RXUSRCLK2

受信し た RXDATA にデ ィ スパ リ テ ィ エラーがあ る こ と High にな り

ます。

2 ビ ッ ト 信号で、 ビ ッ ト 0 は RXDATA の下位バイ ト に対応し、 ビ ッ

ト 1 は上位バイ ト に対応し ます。 RXDATAWIDTH が Low (1 バイ ト

イ ン ターフ ェ イ ス) の と き、 ビ ッ ト 0 のみが使用されます。

Page 168: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

168 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

コ ン フ ィ ギャ ブル 8B/10B デコーダR

表 7-25 で、 RX デコーダの属性について説明し ます。

説明

8B/10B デコーダのイネーブル

8B/10B デコーダをデ ィ スエーブルにするには、RXDEC8B10BUSE を Low に駆動し ます。 デコー

ダがオフの場合、RX イ ン ターフ ェ イ ス上のバイ ト ご と のビ ッ ト 数は、タ イルの内部データ幅によ っ

て決定し ます。 8B/10B デコーダをバイパスする際のデシ リ ア ラ イ ズ順、 およびパラ レル ビ ッ ト

マ ッ ピングの詳細は、 194 ページの 「FPGA RX イ ン ターフ ェ イ ス」 を参照し て く だ さい。

8B/10B デコーダを イ ネーブルにするには、 RXDEC8B10BUSE を High に駆動し ます。 8B/10B デ

コーダでは 10 ビ ッ ト 幅のデータが必要なため、デコーダを イネーブルにするには INTDATAPATH も High (10 ビ ッ ト の内部データパス) にする必要があ り ます。

RXNOTINTABLE0[1:0]

RXNOTINTABLE1[1:0]出力 RXUSRCLK2

RXDATA が不正な 8B/10B コ ード の結果で、エラ ーがある こ と を示し

ま す。

2 ビ ッ ト 信号で、 ビ ッ ト 0 は RXDATA の下位バイ ト に対応し、 ビ ッ

ト 1 は上位バイ ト に対応し ます。 RXDATAWIDTH が Low (1 バイ ト

イ ン ターフ ェ イ ス) の と き、 ビ ッ ト 0 のみが使用されます。

RXRUNDISP0[1:0]

RXRUNDISP1[1:0]出力 RXUSRCLK2

RXDATA の受信時に、 8B/10B エン コーダの ラ ンニング デ ィ スパ リ

テ ィ を示し ます。

2 ビ ッ ト 信号で、 ビ ッ ト 0 は RXDATA の下位バイ ト に対応し、 ビ ッ

ト 1 は上位バイ ト に対応し ます。 RXDATAWIDTH が Low (1 バイ ト

イ ン ターフ ェ イ ス) の と き、 ビ ッ ト 0 のみが使用されます。

表 7-24 : RX デコーダ ポー ト (続き)

ポー ト 方向ク ロ ッ ク ド メ イ ン

説明

表 7-25 : RX デコーダの属性

属性 説明

DEC_MCOMMA_DETECT_0

DEC_MCOMMA_DETECT_1

負の 8B/10B カンマの検出を イ ネーブルにし ます。

TRUE : RXDATA が負の 8B/10B カンマの場合にアサー ト

FALSE : 負の 8B/10B カンマに応答し ない

DEC_PCOMMA_DETECT_0

DEC_PCOMMA_DETECT_1

正の 8B/10B カンマの検出を イ ネーブルにし ます。

TRUE : RXDATA が正の 8B/10B カンマの場合にアサー ト

FALSE : 正の 8B/10B カンマに応答し ない

DEC_VALID_COMMA_ONLY_0

DEC_VALID_COMMA_ONLY_1

RXCHARISCOMMA が応答する カンマを制限し ます。

TRUE : RXCHARISCOMMA は K28.1、 K28.5、 および K28.7 に対し てのみア

サー ト し ます (付録 C の 8B/10B K キ ャ ラ ク タ表を参照)。

FALSE : RXCHARISCOMMA は、 DEC_MCOMMA_DETECT および DEC_PCOMMA_DETECT の設定によ り 、 正または負の任意の 8B/10B カンマ

に応答し ます。

Page 169: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 169UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

8B/10B デコーダでのビ ッ ト およびバイ ト 順

8B/10B デコーダへのビ ッ ト 順は、 付録 C の 8B/10B 表に示し ている順序 と逆にな り ます。 8B/10B ではビ ッ ト a0 を 初に受信する必要があ り ますが、 GTP ト ラ ンシーバでは常に も右にあ る ビ ッ

ト が 初に受信されます。 し たがって、8B/10B デコーダは、デコード前に受信データのビ ッ ト 順を

自動的に反転させる よ う 設計されています。

同様に、 2 バイ ト イ ン ターフ ェ イ ス を使用する場合、 GTP ト ラ ンシーバでは も右側のビ ッ ト が

初に受信されるため、 受信し た 初のバイ ト (バイ ト 0) が RXDATA[7:0] に現れ、 2 番目のバイ ト

は RXDATA[15:8] に現れます。 図 7-18 に、デコーダによ る 10 ビ ッ ト データの 8 ビ ッ ト 値へのマ ッ

プを示し ます。

K キャ ラ ク タ および 8B/10B カ ンマ

付録 C の 8B/10B 表には、 フ ァ ン ク シ ョ ンの制御に頻繁に使用される特殊キ ャ ラ ク タ (K キ ャ ラ ク

タ) が含まれます。 RXDATA が K キ ャ ラ ク タの場合、 デコーダでは RXCHARISK が High に駆動

されます。

DEC_PCOMMA_DETECT が TRUE の場合、 RXDATA が正の 8B/10B カンマの と きは常に、 デ

コーダは RXCHARISCOMMA を High に駆動し ます。 同様に、 DEC_MCOMMA_DETECT が

TRUE の場合は、 RXDATA が負の 8B/10B カンマの と きは常に RXCHARISCOMMA が High に

駆動されます。

K28.1、 K28.5、 および K28.7 に対し て RXCHARISCOMMA が ト リ ガする よ う にカンマを制限す

るには、DEC_VALID_COMMA_ONLY を TRUE に設定し ます。 こ の設定は、通常、 イーサネ ッ ト

ベース のアプリ ケーショ ンに使用さ れま す。 RXCHARISCOMMA は、MCOMMA_10B_VALUE ま

たは PCOMMA_10B_VALUE に依存し ま せん。

RX ラ ンニング デ ィ スパリ テ ィ

8B/10B デコーダでは、 送信された 0 と 1 の均衡を と る ためにラ ンニング デ ィ スパ リ テ ィ を使用し

ます。 8B/10B デコーダは、 入力データのラ ンニング デ ィ スパ リ テ ィ をたど り 、 エラーを検出し ま

す。 現在のラ ンニング デ ィ スパ リ テ ィ は、 RXRUNDISP ポー ト を確認する こ と でわか り ます。

図 7-18 : 8B/10B デコー ド時の RX イ ン ターフ ェ イス

15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

H1 G1 F1 E1 D1 C1 B1 A1 H0 G0 F0 E0 D0 C0 B0 A0 H0 G0 F0 E0 D0 C0 B0 A0

7 6 5 4 3 2 1 0

RXDATAWIDTH = 1

RXDATA

RXDATAWIDTH = 0

UG196_c7_18_112807

8B/10B

g0h0j0 f0 i0 e0 d0 c0 b0 a0g0h0j0 f0 i0 e0 d0 c0 b0 a0g1h1j1 f1 i1 e1 d1 c1 b1 a1

8B/10B

Page 170: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

170 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

コ ン フ ィ ギャ ブル 8B/10B デコーダR

デ ィ スパリ テ ィ エ ラーおよび Out of Table エ ラー

RXDATA が不正なデ ィ スパ リ テ ィ と共に受信される と、 デコーダでは RXDISPERR が High に駆

動されます。 8B/10B デコーダでは、デ ィ スパ リ テ ィ エラーだけではな く 、無効な 10 ビ ッ ト コード

(Out of Table エラー) も検出されます。 RXDATA が無効な 8B/10B キ ャ ラ ク タの場合、デコーダの

RXNOTINTABLE ポー ト が High に駆動されます。

図 7-19 に、 RXDATA でエラー バイ ト が受信され、 RXNOTINTABLE および RXDISPERR ポー

ト がエラーを示し ている場合の波形を示し ます。

図 7-19 : 8B/10B エラーがある RX データ

RXUSRCLK2

RXDATA

RXDISPERR

RXNOTINTABLE

Out ofTable

UG196_c7_19_092606

Page 171: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 171UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

設定変更可能な RX エラステ ィ ッ ク バッ フ ァおよび位相アラ イ メ ン ト

概要

GTP RX データパスには、PCS で使用される 2 つの内部パラ レル ク ロ ッ ク ド メ イ ン、PMA パラ レ

ル ク ロ ッ ク ド メ イ ン (XCLK) および RXUSRCLK ド メ イ ンがあ り ます。 データ を受信するには、

PMA パラ レル レー ト と RXUSRCLK レー ト が十分に近い必要があ り 、2 つの ク ロ ッ ク ド メ イ ン間

の位相差をな く す必要があ り ます。 図 7-20 に、 XCLK および RXUSRCLK の 2 つのパラ レル ク

ロ ッ ク ド メ イ ンを示し ます。

GTP ト ラ ンシーバは、 PMACLK および RXUSRCLK ド メ イ ン間の位相差をな く すため、 RX エラ

ステ ィ ッ ク バッ フ ァ を備えています。 また、 ト ラ ンシーバからの リ カバ リ ク ロ ッ ク を使用し て

RXUSRCLK を駆動し、その位相を XCLK と一致する よ う 調整する こ と で、2 つの ド メ イ ンの位相

を一致させる こ と も可能です。 すべての RX データパスで、 これらの方法の 1 つを使用し て位相を

一致させる必要があ り ます。 表 7-26 に、 各方法の メ リ ッ ト およびデ メ リ ッ ト を示し ます。

図 7-20 : レシーバのパラ レル ク ロ ッ ク ド メ イ ン

RX

RX-PMA RX-PCS

RXCDR

PMAPLL

PMA PLL

RXEQ

SIPO

FPGA

RX

RX

10B/

8B

Loss of Sync

PMA (XCLK)

PCS P

(RXUSRCLK)

RX

(RXUSRCLK2)

PRBS

RX

UG196_c7_20_112707

表 7-26 : バッ フ ァ リ ング vs. 位相ア ラ イ メ ン ト

RX エラステ ィ ッ ク バッ フ ァ RX 位相アラ イ メ ン ト

ク ロ ッ キング オプシ ョ ン

リ カバ リ ク ロ ッ ク またはローカル ク ロ ッ ク (ク ロ ッ ク コ レ ク シ ョ ンあ り ) を使用可能

リ カバ リ ク ロ ッ クの使用が必須

初期化 すぐに実行 全ク ロ ッ クが安定する まで待機し てから実行

レ イ テンシ使用す る 機能 ( ク ロ ッ ク コ レ ク シ ョ ンお よ び

チャネル ボンデ ィ ング) に依存

RX バッ フ ァ を使用する よ り 小さ い

ク ロ ッ ク コ レク ショ ン / チャ ネル ボンディ ング

ク ロ ッ ク コ レ ク シ ョ ン/チャ ネル ボンデ ィ ング

には必須

内部データ幅 8 ビ ッ ト または 10 ビ ッ ト 幅 10 ビ ッ ト 幅のみ

Page 172: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

172 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能な RX エラステ ィ ッ ク バッ フ ァおよび位相アラ イ メ ン トR

RX エラ ス ティ ッ ク バッ フ ァ は、ク ロ ッ ク コ レ ク ショ ン (179 ページの「 設定変更可能なク ロ ッ ク コ

レ ク ショ ン機能」 ) およびチャネル ボンデ ィ ング (186 ページの 「設定変更可能なチャネル ボンデ ィ

ング (レーン デス キ ュー )」 ) に使用でき ます。 ク ロ ッ ク コ レ ク シ ョ ン機能は、 PMACLK および

RXUSRCLK の周波数が不一致の と きに使用されます。 表 7-27 に、一般的な ク ロ ッ ク構成およびそ

れらにク ロ ッ ク コ レ ク シ ョ ンが必要かを示し ます。

ポー ト および属性

表 7-28 で、 RX エラ ステ ィ ッ ク バッ フ ァおよび位相ア ラ イ メ ン ト ポー ト について説明し ます。

表 7-27 : 一般的なク ロ ッ ク構成

ク ロ ッ ク コ レ ク シ ョ ン

同期システム (両側で使用する REFCLK の物理的オシレータが同一) 不要

別々の リ フ ァ レ ンス ク ロ ッ ク、 RX は RXRECCLK を使用 不要

別々の リ フ ァ レ ンス ク ロ ッ ク、 RX はローカル ク ロ ッ ク を使用 必要

表 7-28 : RX エラステ ィ ッ ク バッ フ ァおよび位相ア ラ イ メ ン ト ポー ト

ポー ト 方向ク ロ ッ ク ド メ イ ン

説明

INTDATAWIDTH 入力 非同期

GTP_DUAL タ イル全体の内部データパス幅を指定し ます。

0 : 内部データパスは 8 ビ ッ ト 幅

1 : 内部データパスは 10 ビ ッ ト 幅

RXBUFRESET0

RXBUFRESET1入力 非同期

RX エラ ステ ィ ッ クバッ フ ァ ロ ジ ッ ク を リ セ ッ ト し て RX エラ ステ ィ ッ

ク バッ フ ァ を再初期化し ます。

RXBUFSTATUS0[2:0]

RXBUFSTATUS1[2:0]出力 RXUSRCLK2

次のよ う に、 RX エラ ステ ィ ッ ク バ ッ フ ァのステータ スが示されます。

000 : 通常の条件

001 : バッ フ ァ のバイ ト 数が CLK_COR_MIN_LAT 未満

010 : バッ フ ァ のバイ ト 数が CLK_COR_MAX_LAT よ り 多い

101 : RX エラ ステ ィ ッ ク バッ フ ァがアンダーフ ロー (1)

110 : RX エラ ステ ィ ッ ク バッ フ ァがオーバーフ ロー (1)

RXPMASETPHASE0

RXPMASETPHASE1入力 RXUSRCLK2

RXUSRCLK が RXRECCLK によ って駆動される と きに、XCLK および

RXUSRCLK ド メ イ ンを揃え る ために使用し ます。 RX エラ ステ ィ ッ ク

バッ フ ァ のバイパス を可能にし ます。

メ モ :

1. RX エ ラ ステ ィ ッ ク バ ッ フ ァ のオーバーフ ローまたはアンダーフ ローが発生する と 、 RX エラ ステ ィ ッ ク バッ フ ァ の内容が無効 と な り 、RXBUFRESET をアサー ト と し てバ ッ フ ァ を初期化する必要があ り ます。

Page 173: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 173UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

表 7-29 で、 RX エラ ステ ィ ッ ク バッ フ ァおよび位相ア ラ イ メ ン ト の属性について説明し ます。

説明

RX エ ラステ ィ ッ ク バッ フ ァの使用

図 7-21 に、RX データパスで、RX エラ ステ ィ ッ ク バ ッ フ ァがどのよ う に PMA パラ レル ク ロ ッ ク

ド メ イ ン (XCLK) と PCS パラ レル ク ロ ッ ク ド メ イ ン (RXUSRCLK) をブ リ ッ ジするかを示し ま

す。 SIPO からのパラ レル ク ロ ッ ク (XCLK) と FPGA ロ ジ ッ クからのパラ レル ク ロ ッ ク

(RXUSRCLK および RXUSRCLK2) には保証された位相関係がないため、 こ のよ う なブ リ ッ ジが

必要と な り ます。

表 7-29 : RX エラステ ィ ッ ク バッ フ ァおよび位相ア ラ イ メ ン ト の属性

属性 説明

OVERSAMPLE_MODE

ビルト イ ン 5 倍デジタ ル オーバーサンプリ ングをイ ネーブルにし ま す。 GTP_DUAL タ イ ルの両

ト ラ ンシーバに適用さ れま す。

TRUE : ビル ト イ ン 5 倍オーバーサンプ リ ングを イ ネーブル

FALSE : ビル ト イ ン 5 倍オーバーサンプ リ ングをデ ィ スエーブル

こ の属性が TRUE と き、 TX_BUFFER_USE を TRUE にする必要があ り ます。 オーバーサンプ

リ ングの使用時に必要なその他の手順は、 152 ページの 「オーバーサンプ リ ング」 を参照し て く

ださ い。

RX_BUFFER_USE_0(1)

RX_BUFFER_USE_1(1)

RX エラ ステ ィ ッ ク バッ フ ァ の使用またはバイパス を指定し ます。

TRUE : 使用 (標準モード )

FALSE : 常時バイパス。 RX エラ ステ ィ ッ ク バッ フ ァ をバイパスする場合、OVERSAMPLE_ MODE が FALSE の と きは常に、 RX 位相ア ラ イ メ ン ト を使用する必要があ り ます。

RX_XCLK_SEL_0

RX_XCLK_SEL_1

RX パラ レル ク ロ ッ ク ド メ イ ン (XCLK) を駆動する ク ロ ッ ク を選択

RXREC : (デフ ォル ト ) CDR からの リ カバ リ ク ロ ッ クで駆動し ます。 OVERSAMPLE_MODE が TRUE の場合、 リ カバ リ ク ロ ッ クはオーバーサンプ リ

ング ブロ ッ クからの ク ロ ッ ク を ソース と し ます。

RXUSR : RXUSRCLK ポート で駆動。 RX バッ ファ のバイ パス には、 こ のモード を使用し ま す。

メ モ :

1. RX エ ラ ステ ィ ッ ク バ ッ フ ァ をバイパスするには 10 ビ ッ ト の内部データ幅が必要であ る ため、 INTDATAWIDTH を High にする必要があ り ます。

Page 174: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

174 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能な RX エラステ ィ ッ ク バッ フ ァおよび位相アラ イ メ ン トR

また、RX エラ ステ ィ ッ ク バッ フ ァは、 OVERSAMPLE_MODE が TRUE の と き も使用でき ます。

次に、 RX エラ ステ ィ ッ ク バ ッ フ ァ を使用し て ド メ イ ン間の位相差を解消する方法を示し ます。

• RX_BUFFER_USE を TRUE に設定し ます。

• RXBUFSTATUS がオーバーフ ローまたはアンダーフ ローを示し た場合は、 随時バッ フ ァ を リ

セ ッ ト し ます。

• バッ フ ァは GTPRESET (80 ページの 「 リ セ ッ ト 」 を参照)、RXRESET、または RXBUFRESET を使用し て リ セ ッ ト でき ます。

RX 位相ア ラ イ メ ン ト の使用

INTDATAWIDTH が High (内部データ パス 幅が 10 ビ ッ ト )、 かつ RXRECCLK が RXUSRCLK およ び RXUSRCLK2 のソ ース と なっ ている 場合、RX エラ ス ティ ッ ク バッ フ ァ のバイ パス が可能

です。 RX エラ ス ティ ッ ク バッ フ ァ を バイ パス する と 、 RX データ パス を 通過する 際のレ イ テンシ

が削減さ れて確定的になり ま すが、 ク ロ ッ ク コ レ ク ショ ンおよ びチャ ネル ボンディ ングは使用で

き ま せん。

図 7-22 に、 RX エラ ス ティ ッ ク バッ ファ のバイ パス が可能と なっている 場合の位相アラ イ メ ント を

示し ま す。 位相アラ イ メ ント の実行前は、CDR 回路のリ カバリ ク ロ ッ ク から 生成さ れたパラ レ ル ク

ロ ッ ク (XCLK) と FPGA ロ ジッ ク から のパラ レ ル ク ロ ッ ク (RXUSRCLK およ び RXUSRCLK2) に

保証さ れた位相関係はあり ま せん。 位相アラ イ メ ント によ り 、CDR から の RXRECCLK が調整さ れ

る ため、 XCLK およ び RXUSRCLK 間に大き な位相差はなく なり ま す。

図 7-21 : RX エラステ ィ ッ ク バッ フ ァ を使用する場合

RX-PMA RX-PCS

RXCDR

RXEQ

SIPO10B

/8B

Loss of Sync

UG196_c7_21_112707

RX PMA (XCLK)

PCS P

(RXUSRCLK)

RX

(RXUSRCLK2)

PMAPLL

PMA PLL

FPGA

RX

RX

PRBS

RX

Page 175: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 175UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

位相アラ イ メ ント 機能は、内部データ パス 幅が 10 ビッ ト (INTDATAWIDTH が High) の場合にのみ使

用でき ま す。

RX 位相ア ラ イ メ ン ト を使用するには、 次の手順に従います。

1. RX_BUFFER_USE を FALSE に設定し、 RX エラ ステ ィ ッ ク バッ フ ァ をバイパス し ます (オ

プシ ョ ン)。

2. RX_XCLK_SEL を RXUSR に設定し ます。

3. RXRECCLK 出力の ソース を RXUSRCLK および RXUSRCLK2 にし ます。 RXUSRCLK2 を

供給する必要があ る場合は、RXRECCLK を 2 分周し ます (194 ページの 「FPGA RX イ ン ター

フ ェ イ ス」 を参照)。

4. GTPRESET または CDR リ セ ッ ト の 1 つを使用し て RX データパス を リ セ ッ ト し ます。

5. 共有 PMA PLL および RXUSRCLK2 に使用し ている任意の DCM または PLL がロ ッ クする

まで待機し ます。

6. CDR がロ ッ クする まで待機し、 安定し た RXRECCLK を供給し ます。

7. RXPMASETPHASE を RXUSRCLK2 の 32 サイ クル間 High に駆動し た後、 デ ィ アサー ト し

ます。

手順 6 は、 慎重に考慮し た上で実行する必要があ り ます。 CDR のロ ッ クは、 通常、 入力データの質

を計測する こ と で検出され、 その検出方法には次が含まれます。

• 入力データ ス ト リ ーム内の既知のデータ を検索し ます (た と えば、 カンマや A1/A2 フ レーム

キ ャ ラ ク タ など)。 一般に、 連続し た既知のデータ パターンがエラーな しで複数受信される と、

CDR がロ ッ ク し た こ と を示し ます。

• LOS (Loss of Sync) ステー ト マシンを使用し ます (164 ページの 「設定変更可能な Loss-of- Sync ステー ト マシン」 を参照)。 入力データが 8B/10B エン コード され、かつ CDR がロ ッ ク さ

図 7-22 : 位相アラ イ メ ン ト を使用する場合

RX-PMA RX-PCS

RXCDR

RXEQ

SIPO10B

/8B

Loss of Sync

UG196_c7_33_123107

RX

PMAPLL

PMA PLL

FPGA

RX

RX

PMA (XCLK)

PCS P

(RXUSRCLK)

RX

(RXUSRCLK2)

PRBS

RX

Page 176: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

176 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能な RX エラステ ィ ッ ク バッ フ ァおよび位相アラ イ メ ン トR

れている場合、 LOS ステー ト マシンを SYNC_ACQUIRED ステー ト に遷移し、 その状態を維

持する必要があ り ます。

RX エラ ステ ィ ッ ク バッ フ ァ をバイパスする場合、PCS を通過する こ と によ る位相差のため、PMA からの受信データにずれが生じ ている可能性があ り ます。 このよ う なずれが生じ る と CDR がロ ッ

クせず、不良データが受信されたのか、CDR がロ ッ ク し て位相ア ラ イ メ ン ト がまた実行されていな

いのかの区別が困難と な り ます。

こ の問題を回避するには、 RX 位相ア ラ イ メ ン ト を複数回試行し て実行ご と に出力データ を評価す

る必要があ り ます。 RX CDR のロ ッ ク中に位相が揃え られる と、 受信データの質は向上し ます。

Page 177: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 177UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

図 7-23 のフ ロー図には、適切に RX 位相を揃え る ための手順を示し ます。 CDR のロ ッ ク時には、任

意のサイ クル数のク ロ ッ ク を使用でき ますが、 そのサイ クル数が多い と、 ステー ト 全体のサイ クル

数が減少し ます。

図 7-23 : RX 位相アラ イ メ ン ト の手順

UG196_c7_34_102306

Page 178: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

178 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能な RX エラステ ィ ッ ク バッ フ ァおよび位相アラ イ メ ン トR

ビル ト イ ン オーバーサンプ リ ングを使用する場合に RX エ ラステ ィ ッ ク バッ フ ァ

をバイパス

OVERSAMPLE_MODE が TRUE に設定されてビル ト イ ン オーバーサンプ リ ングがア ク テ ィ ブ と

なっている場合、 RX エラ ステ ィ ッ ク バッ フ ァは、 位相ア ラ イ メ ン ト を使用せずにバイパス されま

す。 その代わ り 、 オーバーサンプ リ ング ブロ ッ ク内のシャ ド ウ バッ フ ァ が使用され、 RXUSRCLK および RXRECCLK 間の位相差が解消されます。 オーバーサンプ リ ング ブロ ッ クのステータ ス モ

ニ タの詳細は、 152 ページの 「オーバーサンプ リ ング」 を参照し て く ださ い。

図 7-24 に、 オーバーサンプ リ ングがイ ネーブルの場合の RX エラ ステ ィ ッ ク バッ フ ァ のバイパス

を示し ます。 オーバーサンプ リ ング ブロ ッ ク のシ ャ ド ウ バッ フ ァは、RXUSRCLK と それによ って

生成される リ カバ リ ク ロ ッ ク間に生じ る位相差をな く し ます。

OVERSAMPLING_MODE が TRUE の場合に RX エラ ステ ィ ッ ク バッ フ ァ をバイパスするには、

次の手順に従います。

1. RX_BUFFER_USE を FALSE に設定し、 RX エラ ステ ィ ッ ク バッ フ ァ をバイパス し ます (オ

プシ ョ ン)。

2. RX_XCLK_SEL を RXUSR に設定し ます。

3. RXRECCLK 出力の ソース を RXUSRCLK および RXUSRCLK2 とする。 RXUSRCLK2 を供

給する必要があ る と き は RXRECCLK を 2 分周し ます (194 ページの 「FPGA RX イ ン ター

フ ェ イ ス」 を参照)。

図 7-24 : オーバーサンプ リ ングがイネーブルの場合のバッ フ ァのバイパス

RX-PMA RX-PCS

RXCDR

PMAPLL

Divider

From PMA PLL

RXEQ

SIPO10B

/8B

Loss of Sync

UG196_c7_35_112707

RX

FPGA

RX

RX

PMA (XCLK)

PCS P

(RXUSRCLK)

RX

(RXUSRCLK2)

PRBS

RX

Page 179: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 179UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

設定変更可能なク ロ ッ ク コ レ クシ ョ ン機能

概要

RX のエラ ステ ィ ッ ク バ ッ フ ァには、ク ロ ッ ク コ レ ク シ ョ ンによ り XCLK および RXUSRCLK ド

メ イ ン間の周波数差を許容でき る と い う 優れた機能があ り ます。 ク ロ ッ ク コ レ ク シ ョ ンは、 データ

ス ト リ ーム内で特定のア イ ド ル キ ャ ラ ク タ を複製または削除し て、バッ フ ァが過度に FULL または

EMPTY にな ら ないよ う にし ます。

図 7-25 に、 ク ロ ッ ク コ レ ク シ ョ ンの概念的な図を示し ます。

ク ロ ッ ク コ レ ク シ ョ ンは、 XCLK および RXUSRCLK に周波数差があ る場合、 必ず使用する必要

があ り ます。 周波数差は、 TX および RX に同一周波数の ソース を使用するか、 リ カバ リ ク ロ ッ ク

を使用し て RXUSRCLK を駆動する こ と でな く すこ と ができ ます。 ク ロ ッ ク コ レ ク シ ョ ンが未使

用の場合の手順は、「設定変更可能な RX エラ ステ ィ ッ ク バッ フ ァおよび位相ア ラ イ メ ン ト 」で詳細

に説明し ています。

図 7-25 : ク ロ ッ ク コ レ ク シ ョ ン

UG196_c7_22_092606

Page 180: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

180 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能なク ロ ッ ク コ レ ク シ ョ ン機能R

ポー ト および属性

表 7-30 で、 ク ロ ッ ク コ レ ク シ ョ ンのポー ト について説明し ます。

表 7-30 : ク ロ ッ ク コ レ クシ ョ ンポー ト

ポー ト 方向ク ロ ッ ク ド メ イン

説明

INTDATAWIDTH 入力 非同期

TX および RX 内部データパスのビ ッ ト 幅を指定。 タ イルにあ る ト ラ ン

シーバ両方のポー ト を制御し ます。

0 : 8 ビ ッ ト 幅

1 : 10 ビ ッ ト 幅(1)

RXBUFRESET0

RXBUFRESET1入力 非同期

RX エラ ステ ィ ッ ク バッ フ ァ ロ ジ ッ ク を リ セ ッ ト し て RX バッ フ ァ を初

期化し直し ます。

RXBUFSTATUS0[2:0]

RXBUFSTATUS1[2:0]出力 RXUSRCLK2

次のよ う に、 RX エラ ステ ィ ッ ク バッ フ ァのステータ スが示されます。

000 : 通常の条件

001 : バ ッ フ ァ のバイ ト 数が CLK_COR_MIN_LAT 未満

010 : バ ッ フ ァ のバイ ト 数が CLK_COR_MAX_LAT よ り 多い

101 : RX バ ッ フ ァ がアンダーフ ロー (2)

110 : RX バ ッ フ ァ がオーバーフ ロー (2)

RXCLKCORCNT0[2:0]

RXCLKCORCNT1[2:0]出力 RXUSRCLK2

RX エラ ステ ィ ッ ク バ ッ フ ァ での ク ロ ッ ク コ レ ク シ ョ ンのステータ ス を

通知し ます。

000 : ク ロ ッ ク コ レ ク シ ョ ンな し

001 : 1 シーケンス を ス キ ッ プ

010 : 2 シーケンス を ス キ ッ プ

011 : 3 シーケンス を ス キ ッ プ

100 : 4 シーケンス を ス キ ッ プ

101 : 予約済み

110 : 2 シーケンス を追加

111 : 1 シーケンス を追加

メ モ :

1. RX エ ラ ステ ィ ッ ク バ ッ フ ァ をバイパスする場合は、 10 ビ ッ ト の内部データ幅が必要です。

2. RX エ ラ ステ ィ ッ ク バ ッ フ ァ のオーバーフ ローまたはアンダーフ ローが発生する と 、 RX エラ ステ ィ ッ ク バッ フ ァ の内容が無効 と な る ため、 RXBUFRESET をアサー ト と し て RX エラ ステ ィ ッ ク バッ フ ァ を初期化し直す必要があ り ます。

Page 181: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 181UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

表 7-31 で、 ク ロ ッ ク コ レ ク シ ョ ンの属性について説明し ます。

表 7-31 : ク ロ ッ ク コ レ クシ ョ ン属性

属性 説明

CLK_CORRECT_USE_0

CLK_CORRECT_USE_1

ク ロ ッ ク コ レ ク シ ョ ンを イ ネーブルにし ます。

FALSE : ク ロ ッ ク コ レ ク シ ョ ンをデ ィ スエーブル

TRUE : ク ロ ッ ク コ レ ク シ ョ ンを イネーブル

CLK_COR_ADJ_LEN_0

CLK_COR_ADJ_LEN_1

ク ロ ッ ク コ レ ク シ ョ ンでの調整サイ ズ (反復またはス キ ッ プするバイ ト 数) を定義

し ます。 特定の ク ロ ッ ク コ レ ク シ ョ ン シーケン スにあ るバイ ト 数よ り 多数のバイ

ト の反復を可能にする ため、 スキ ッ プされるバイ ト または反復されるバイ ト は、 常

に ク ロ ッ ク コ レ ク シ ョ ン シーケン スの 初から開始し ます。 有効な設定値は 1 ~ 4 バイ ト です。

CLK_COR_DET_LEN_0

CLK_COR_DET_LEN_1

ク ロ ッ ク コ レ ク シ ョ ン を検出する ために ト ラ ンシーバが一致させる シーケン スの

長さ を整数で定義し ます。 有効な設定値は 1 ~ 4 バイ ト です。

CLK_COR_INSERT_IDLE_FLAG_0

CLK_COR_INSERT_IDLE_FLAG_1

RXRUNDISP 入力ステータ スがラ ンニング デ ィ スパ リ テ ィ または挿入ア イ ドル (ク ロ ッ ク コ レ ク シ ョ ン シーケン ス) フ ラ グを示すかを制御し ます。

FALSE : RXDATA がデコード されたデータの場合、 RXRUNDISP はラ ンニン

グ デ ィ スパ リ テ ィ を示し ます。

TRUE : RXDATA がデコード されたデータの場合、 RXRUNDISP は、 挿入 (反

復) ク ロ ッ ク コ レ ク シ ョ ン (ア イ ド ル) シーケン スの 初のバイ ト に対

し て High にな り ます。

CLK_COR_KEEP_IDLE_0

CLK_COR_KEEP_IDLE_1

バイ ト ス ト リ ームの少な く と も 1 ク ロ ッ ク コ レ ク シ ョ ン シーケンス を、 RX エラ

ステ ィ ッ ク バッ フ ァ で保持するかを制御し ます。

FALSE : すべてのク ロ ッ ク コ レ ク ショ ン シーケンス を削除し 、 ク ロ ッ ク コ レ ク

シ ョ ン中に RX エラ ステ ィ ッ ク バッ フ ァ を リ セ ッ ト でき ます。

TRUE : ク ロ ッ ク コ レ ク シ ョ ン シーケン スの連続ス ト リ ームご と に、 後の RXDATA ス ト リ ームを少な く と も 1 ク ロ ッ ク コ レ ク シ ョ ン シーケン

スの間保持する必要があ る こ と を示し ます。

CLK_COR_MAX_LAT_0

CLK_COR_MAX_LAT_1

RX エラ ステ ィ ッ ク バッ フ ァの 大レ イ テンシを指定し ます。 RX エラ ステ ィ ッ ク

バッ フ ァが CLK_COR_MAX_LAT を越える と、 ク ロ ッ ク コ レ ク シ ョ ン回路では、

入力されている ク ロ ッ ク コ レ ク シ ョ ン シーケン スが削除され、 オーバーフ ローを

回避でき ます。 有効な設定値は 3 ~ 48 です。

CLK_COR_MIN_LAT_0

CLK_COR_MIN_LAT_1

RX エラ ステ ィ ッ ク バッ フ ァの 小レ イ テンシを指定し ます。 RX エラ ステ ィ ッ ク

バッ フ ァが CLK_COR_MIN_LAT 未満になる と、ク ロ ッ ク コ レ ク シ ョ ン回路では、

入力されている ク ロ ッ ク コ レ ク シ ョ ン シーケン ス を反復し、 アンダーフ ローを回

避でき ます。

RX エラ ス ティ ッ ク バッ フ ァ がリ セッ ト さ れたと き 、 ポイ ンタ はバッ フ ァ 内に未読

(およ び未初期化) の CLK_COR_MIN_LAT データ バイ ト がある よ う に設定さ れま

す。 有効な設定値は 3 ~ 48 です。

Page 182: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

182 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能なク ロ ッ ク コ レ ク シ ョ ン機能R

CLK_COR_PRECEDENCE_0

CLK_COR_PRECEDENCE_1

ク ロ ッ ク コ レ ク シ ョ ン と チャネル ボンデ ィ ングが同時に ト リ ガ された場合に、 ど

ち ら を優先するかを指定し ます。

TRUE : チャネル ボンデ ィ ング よ り ク ロ ッ ク コ レ ク シ ョ ンを優先

FALSE : ク ロ ッ ク コ レ ク シ ョ ン よ り チャネル ボンデ ィ ングを優先

CLK_COR_REPEAT_WAIT_0

CLK_COR_REPEAT_WAIT_1

次のク ロ ッ ク コ レ ク シ ョ ンが行われる までの RXUSRCLK の 少サイ クル数を指

定し ます。 0 に設定する場合は、 ク ロ ッ ク調整の頻度に制限はあ り ません。

有効な設定値は 0 ~ 31 です。

CLK_COR_SEQ_1_1_0

CLK_COR_SEQ_1_1_1

CLK_COR_SEQ_1 属性は、 ク ロ ッ ク コ レ ク シ ョ ン シーケン ス 1 を定義する ため、

CLK_COR_SEQ_1_ENABLE と共に使用さ ます。

シーケンスは 4 つのサブシーケン スで構成。 サブシーケン スの長さは、ぞれぞれ 10 ビ ッ ト です。 サブ シー ケ ン ス の設定ルールは、 INTDATAWIDTH お よ び

RX_DECODE_SEQ_MATCH によ って決定されます。 ク ロ ッ ク コ レ ク シ ョ ン シー

ケンスの設定方法は、 「概要」 を参照し て く ださ い。

すべてのシーケンス を使用する必要はあ り ません。 CLK_COR_DET_LEN は、一致

さ せ る ために要す る シーケ ン ス 数を 決定。 CLK_COR_DET_LEN = 1 の と き は

CLK_COR_SEQ_1_1 のみを使用し ます。

CLK_COR_SEQ_1_ENABLE を使用する と、 シーケン スの一部を don't care にで

き ま す。 CLK_COR_SEQ_1_ENABLE[k] が 0 の場合、 CLK_COR_SEQ_1_k は

don't care のサブシーケン スで、 常に一致し ます。

CLK_COR_SEQ_1_2_0

CLK_COR_SEQ_1_2_1

CLK_COR_SEQ_1_3_0

CLK_COR_SEQ_1_3_1

CLK_COR_SEQ_1_4_0

CLK_COR_SEQ_1_4_1

CLK_COR_SEQ_1_ENABLE_0

CLK_COR_SEQ_1_ENABLE_1

CLK_COR_SEQ_2_1_0

CLK_COR_SEQ_2_1_1

CLK_COR_SEQ_2 属性は、2 番目のク ロ ッ ク コ レ ク シ ョ ン シーケン ス を定義する

ため、 CLK_COR_SEQ_2_ENABLE と共に使用されます。 CLK_COR_SEQ_2_ USE が TRUE、つま り 、シーケン ス 1 または 2 が到達する と、ク ロ ッ ク コ レ ク シ ョ

ンが実行される場合、 こ の 2 番目のシーケン スが ク ロ ッ ク コ レ ク シ ョ ン用に代替

シーケンス と し て使用されます。

シーケンスは 4 つのサブシーケン スで構成され、 サブシーケン スの長さはぞれぞれ

10 ビ ッ ト です。 サ ブ シー ケ ン ス の設定ルールは、 INTDATAWIDTH お よ び

RX_DECODE_SEQ_MATCH によ って決定されます。 ク ロ ッ ク コ レ ク シ ョ ン シー

ケンスの設定方法は、 「概要」 を参照し て く ださ い。

すべてのシーケンス を使用する必要はあ り ません。 CLK_COR_DET_LEN は、一致

させる ために要する シーケンス数を決定し ます。 CLK_COR_DET_LEN = 1 の と き

は CLK_COR_SEQ_2_1 のみ使用し ます。

CLK_COR_SEQ_2_ENABLE を使用する と、 シーケン スの一部を don't care にで

き ま す。 CLK_COR_SEQ_2_ENABLE[k] が 0 の場合、 CLK_COR_SEQ_2_k は

don't care バイ ト のサブシーケン スで、 常に一致し ます。

CLK_COR_SEQ_2_2_0

CLK_COR_SEQ_2_2_1

CLK_COR_SEQ_2_3_0

CLK_COR_SEQ_2_3_1

CLK_COR_SEQ_2_4_0

CLK_COR_SEQ_2_4_1

CLK_COR_SEQ_2_ENABLE_0

CLK_COR_SEQ_2_ENABLE_1

表 7-31 : ク ロ ッ ク コ レ クシ ョ ン属性 (続き)

属性 説明

Page 183: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 183UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

概要

ク ロ ッ ク コ レ ク シ ョ ンのイネーブル

GTP ト ラ ンシーバには、 ク ロ ッ ク コ レ ク シ ョ ン回路が備わっています。 こ の回路は、 RX エラ ス

テ ィ ッ ク バッ フ ァのポ イ ン タ を制御する こ と によ り 、ク ロ ッ ク コ レ ク シ ョ ンを実行し ます。 ク ロ ッ

ク コ レ ク シ ョ ンを使用するには、 RX_BUFFER_USE を TRUE に設定し て RX エラ ステ ィ ッ ク

バッ フ ァ を イ ネーブルにし、CLK_CORRECT_USE を TRUE に設定し て ク ロ ッ ク コ レ ク シ ョ ン回

路をオンにし ます。

RX エラ ステ ィ ッ ク バッ フ ァのレ イ テンシが大きすぎる、または小さすぎ る場合に ク ロ ッ ク コ レ ク

シ ョ ン回路が一致シーケン ス を検出する と、ク ロ ッ ク コ レ ク シ ョ ンが ト リ ガ されます。 ク ロ ッ ク コ

レ ク シ ョ ンを使用するには、 ク ロ ッ ク コ レ ク シ ョ ン回路に対し て次のこ と を設定し てお く 必要があ

り ます。

• RX エラ ステ ィ ッ ク バ ッ フ ァの制限

• ク ロ ッ ク コ レ ク シ ョ ン シーケン ス

RX エ ラステ ィ ッ ク バッ フ ァの制限の設定

RX エラ ス ティ ッ ク バッ ファ の制限は、 CLK_COR_MIN_LAT ( 小レイ テンシ) およ び CLK_COR _MAX_LAT ( 大レ イ テンシ) を使用し て設定されます。 RX エラ ステ ィ ッ ク バッ フ ァ内のバイ ト

数が CLK_COR_MIN_LAT 未満になる と 、バッ ファ のアンダーフロ ーを回避する ため、ク ロ ッ ク コ

レク ショ ン回路は 初のク ロ ッ ク コ レク ショ ン シーケンス 含ま れていた同様の CLK_COR_ADJ_ LEN バイ ト を追加で書き込みます。 これ と同じ よ う に、 RX エラ ステ ィ ッ クバッ フ ァのバイ ト 数が

CLK_COR_MAX_LAT を越え る と、 ク ロ ッ ク コ レ ク シ ョ ン回路は、 初の ク ロ ッ ク コ レ ク シ ョ ン

シーケン スに含まれていた同様の CLK_COR_ADJ_LEN バイ ト を削除し、 シーケン スの 初のバ

イ ト から開始し ます。

ク ロ ッ ク コ レ ク シ ョ ン シーケンスの設定

ク ロ ッ ク コ レ ク ショ ン シーケンス は、CLK_COR_SEQ_1_* およ び CLK_COR_ADJ_LEN を使用し

てプロ グラ ムさ れます。 CLK_COR_SEQ_1_* 属性はそれぞれ、 ク ロ ッ ク コ レ ク ショ ン シーケンス 1 のシーケンス 1 つに対応し ます。 CLK_COR_ADJ_LEN は、一致さ せる シーケンス 数の設定に使用さ

れま す。 INTDATAWIDTH が High (10 ビッ ト の内部データ パス 幅) の場合、ク ロ ッ ク コ レク ショ ン回

路は各サブシーケンス の 10 ビッ ト すべてを一致さ せます。 一方、INTDATAWIDTH が Low (8 ビッ ト

の内部データ パス 幅) の場合は、 各サブシーケンス の右から 8 ビッ ト のみが使用さ れま す。

CLK_COR_SEQ_2_USE を TRUE に設定する こ と で、2 番目の代替ク ロ ッ ク コ レ ク シ ョ ン シーケ

ン ス をア ク テ ィ ブにでき ます。 初および 2 番目のシーケンスでは、長さ の設定は共通ですが、一致

CLK_COR_SEQ_2_USE_0

CLK_COR_SEQ_2_USE_1

ク ロ ッ ク コ レ ク ショ ン シーケンス 2 を使用する かを指定し ま す。 TRUE のと き 、 2 番目のク ロ ッ ク コ レ ク ショ ン シーケンス も ク ロ ッ ク コ レ ク ショ ン シーケンス をト

リ ガし ま す。

RX_DECODE_SEQ_MATCH_0

RX_DECODE_SEQ_MATCH_1

シーケンス が 8B/10B デコ ーダの入力ま たは出力のいずれに一致し ている かを決定

し ます。 ク ロ ッ ク コ レク ショ ン回路およ びチャ ネル ボンディ ング回路に使用し ます。

TRUE : 8B/10B デコ ーダの出力に対し て一致し ま す。 K キャ ラ ク タ およ びディ ス

パリ ティ 情報が使用さ れま す。 8B/10B 出力のビッ ト 順が使用さ れま す。

FALSE : エン コード されていないデータに対し て一致し ます。 デコード されて

いないパラ レル イ ン ターフ ェ イ ス対応のビ ッ ト 順と なっています。

表 7-31 : ク ロ ッ ク コ レ クシ ョ ン属性 (続き)

属性 説明

Page 184: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

184 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能なク ロ ッ ク コ レ ク シ ョ ン機能R

させる シーケン スに異なる値を使用し ます。 2 番目のシーケンスのサブシーケンス を定義するには、

CLK_COR_SEQ_2_* 属性を設定し ます。

8B/10B デコード (RXDEC8B10BUSE および INTDATAWIDTH は High) を使用する場合、

RX_DECODE_SEQ_MATCH は TRUE に設定され、シーケン ス一致にデコード されていないデー

タではな く 、8B/10B デコーダ出力を検索し ます。 これによ って、回路では正または負のデ ィ スパ リ

テ ィ を持つ 8 ビ ッ ト 値の検索が可能と な り 、 K キ ャ ラ ク タ と通常のキ ャ ラ ク タ を区別でき る よ う に

な り ます (詳細は、 106 ページの 「コ ンフ ィ ギャブル 8B/10B エン コーダ」 および 167 ページの 「コ

ンフ ィ ギャブル 8B/10B デコーダ」 を参照)。 図 7-26 に、RX_DECODE_SEQ_MATCH が TRUE の

場合のク ロ ッ ク コ レ ク シ ョ ン シーケン スの設定方法を示し ます。

RX_DECODE_SEQ_MATCH が FALSE の場合、シーケン ス とデコード されていない入力データが

正確に一致する必要があ り ます。 データのビ ッ ト 順は、 図 7-34 および図 7-35 に示し た 8B/10B デ

コード を実行し ない場合の RXDATA における ビ ッ ト 順と一致し ます。

一部のプロ ト コ ルでは、 don't care サブシーケンス を含むク ロ ッ ク コ レク ショ ン シーケンス が使用さ

れま す。 CLK_COR_SEQ_1_ENABLE およ び CLK_COR_SEQ_2_ENABLE を使用し 、 ク ロ ッ ク コ

レク ショ ン回路がこ れら のシーケンス を認識する よ う にプロ グラ ムでき ます。 シーケンス のイ ネーブ

ル ビッ ト が Low のと き 、 そのバイ ト は、 値に関わら ず一致し ます。 図 7-27 に、 ク ロ ッ ク コ レク ショ

ン シーケンス と ク ロ ッ ク コ レク ショ ン シーケンス のイ ネーブル ビッ ト 間のマッ プを示し ま す。

ク ロ ッ ク コ レ ク シ ョ ンのオプシ ョ ン

ク ロ ッ ク コ レ ク ショ ンの周波数制御には、CLK_COR_REPEAT_WAIT を使用し ま す。 こ の値は、ク

ロ ッ ク コ レ ク ショ ン イ ベント 間に必要な RXUSRCLK サイ ク ルの 小数に設定する 必要があり ま

す。 ク ロ ッ ク コ レ ク ショ ンを随時実行可能にする 場合は、 こ の属性を 0 に設定し ま す。

ク ロ ッ ク コ レ ク シ ョ ンが随時実行可能なプロ ト コルも あ り ますが、ク ロ ッ ク コ レ ク シ ョ ン回路から

シーケン ス を削除する際に、ス ト リ ームに 少 1 シーケンス残す必要があ り ます。これが要件と なっ

ているプロ ト コルの場合、 CLK_COR_KEEP_IDLE を TRUE に設定し ます。

図 7-26 : RX_DECODE_SEQ_MATCH = TRUE の場合のク ロッ ク コ レク ショ ン シーケンス設定

図 7-27 : ク ロ ッ ク コ レ ク シ ョ ン シーケンスのマ ッ ピング

1 = 0 =

1 =0 =

7:0

UG196_c7_23_092606

89CLK_COR_SEQ_x_y

UG196_c7_24_092606

34 12

CLK_COR_SEQ_x_4 CLK_COR_SEQ_x_3 CLK_COR_SEQ_x_2

CLK_COR_SEQ_x_ENABLE

CLK_COR_SEQ_x_1

Page 185: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 185UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

ク ロ ッ ク コ レ ク シ ョ ンのモニ タ リ ング

ク ロ ッ ク コ レ ク シ ョ ン回路は、 RXCLKCORCNT および RXBUFSTATUS ポー ト を使用し てモニ

タでき ます。 180 ページの表 7-30 の RXCLKCORCNT の項目に、 ク ロ ッ ク コ レ ク シ ョ ン回路のス

テータ ス を決定するための RXCLKCORCNT 値のデコード方法が説明されています。 表 7-30 の

RXBUFSTATUS の項目に、 RX エラ ステ ィ ッ ク バッ フ ァ を ど こ まで満たすかを決定する ための

RXBUFSTATUS 値のデコード方法が説明されています。

RXCLKCORCNT および RXBUFSTATUS に加え、 8B/10B デコーダ イ ン ターフ ェ イ スにあ る

RXRUNDISP (167 ページの 「コ ンフ ィ ギ ャブル 8B/10B デコーダ」 ) を使用し て、 RXDATA が反

復さ れ、 RX エラ ス ティ ッ ク バッ フ ァ に追加さ れた 初のバイ ト である こ と を示すよ う にでき ま す。

RXRUNDISP ポート を使用し 、現在の RX ラ ンニング ディ ス パリ ティ の代わり に挿入さ れたアイ ド

ルを示すよ う にする には、 CLK_COR_INSERT_IDLE_FLAG を TRUE に設定し ま す。

Page 186: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

186 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能なチャネル ボンデ ィ ング (レーン デスキュー )R

設定変更可能なチャネル ボンデ ィ ング (レーン デスキュー )

概要

RX エラ ステ ィ ッ ク バッ フ ァは、チャネル ボンデ ィ ングにも使用でき ます。 チャネル ボンデ ィ ング

では、 RX エラ ステ ィ ッ ク バッ フ ァ を可変レ イ テンシ ブロ ッ ク と し て使用する こ と によ り 、 GTP レーン間のスキ ューが相殺されます。 ト ラ ン ス ミ ッ タは、 すべてのレーンに同時に 1 つのパターン

を送信し ます。チャネル ボンデ ィ ング回路では、こ のパターンを使用し て FPGA イ ン ターフ ェ イ ス

にスキ ューがない状態でデータが送信される よ う 、 各レーンのレ イ テンシを設定し ます。

図 7-28 に、 チャネル ボンデ ィ ングの概念的な図を示し ます。

図 7-28 : チャネル ボンデ ィ ングの概念図

UG196_c7_25_112707

GTP1 ( )

GTP0 ( )

Page 187: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 187UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

ポー ト および属性

表 7-32 で、 チャネル ボンデ ィ ングのポー ト について説明し ます。

表 7-33 で、 チャネル ボンデ ィ ングの属性について説明し ます。

表 7-32 : チャネル ボンデ ィ ングのポー ト

ポー ト 方向ク ロ ッ ク ド メ イン

説明

INTDATAWIDTH 入力 非同期

TX および RX 内部データパスのビ ッ ト 幅を指定し ます。 タ イルにあ る

ト ラ ンシーバ両方のポー ト を制御し ます。

0 : 8 ビ ッ ト 幅

1 : 10 ビ ッ ト 幅(1)

RXCHANBONDSEQ0

RXCHANBONDSEQ1出力 RXUSRCLK2

RXDATA にチ ャ ネル ボ ンデ ィ ン グ シーケ ン ス の開始が含 ま れ る と

High にな り ます。

RXCHANISALIGNED0

RXCHANISALIGNED1出力 RXUSRCLK2

RX エラ ステ ィ ッ ク バッ フ ァ からの 信号で、データ ス ト リ ーム内の確認

さ れたチャ ネル ボンデ ィ ング シーケン スに従って、 チャ ネルがマス タ

ト ラ ンシーバ と 適切に揃え られる と High にな り ます。 揃え られていな

いチャネル ボンデ ィ ング シーケン スが検出され、 チャ ネル ア ラ イ メ ン

ト が失われた場合は Low にな り ます。

RXCHANREALIGN0

RXCHANREALIGN1出力 RXUSRCLK2

RX エラ ステ ィ ッ ク バッ フ ァ から の信号。 レシーバで、 ト ラ ンシーバ と

マス タ間のア ラ イ メ ン ト が変更される と、少な く と も 1 サイ クル間 High を保持し ます。

RXCHBONDI0[2:0]

RXCHBONDI1[2:0]入力 RXUSRCLK

FPGA チャ ネル ボンディ ングを制御し ま す。 ス レ ーブによ ってのみ使用

さ れま す。 こ の 構成で マ ス タ と な っ て い る 、 別の ト ラ ン シ ーバ の

RXCHBONDO ポート から 駆動さ れま す。

RXCHBONDO0[2:0]

RXCHBONDO1[2:0]出力 RXUSRCLK

FPGA チャ ネル ボンデ ィ ングを制御し ます。 マス タおよびス レーブで、

チャ ネル ボンデ ィ ングおよびク ロ ッ ク コ レ ク シ ョ ン制御をほかの ト ラ

ンシーバの RXCHBONDI ポー ト に受け渡すために使用されます。

RXENCHANSYNC0

RXENCHANSYNC1入力 RXUSRCLK2

チャ ネル ボンデ ィ ング を イ ネーブルに し ます (FPGA か ら マス タ)。 ス

レーブに対し ては、 このポー ト を High に接続し ます。

メ モ :

1. RX エ ラ ステ ィ ッ ク バ ッ フ ァ をバイパスする場合か PRBS 生成/検出を使用する場合、 あ るいは これら両方に該当する と きは、 内部データバス幅を 10 ビ ッ ト にする必要があ り ます。

表 7-33 : チャネル ボンデ ィ ング属性

属性 説明

CHAN_BOND_1_MAX_SKEW_0

CHAN_BOND_1_MAX_SKEW_1

ス レ ーブにチ ャ ネル ボ ンデ ィ ン グ の実行を命令す る 前に、 マ ス タ が待機す る

USRCLK サイ クル数を制御し ます。 この属性によ り 、チャネル ボンデ ィ ングで許

容可能な 大ス キ ューが決定されます。 こ の値は、 常にチャネル ボンデ ィ ング と

シーケンス間の 小距離 (バイ ト または 10 ビ ッ ト コード ) 未満の必要があ り ます。

有効な設定値は 1 ~ 14 です。

CHAN_BOND_2_MAX_SKEW_0

CHAN_BOND_2_MAX_SKEW_1

Page 188: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

188 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能なチャネル ボンデ ィ ング (レーン デスキュー )R

CHAN_BOND_LEVEL_0

CHAN_BOND_LEVEL_1

RX エラ ステ ィ ッ ク バッ フ ァ の制御信号に使用される内部パイプラ イ ン レベル数

を 示 し ま す。 値が大 き く な る と、 レ ベル数の多い RXCHBONDO お よ び

RXCHBONDI デイ ジ-チェーンが可能にな り 、 配置配線制約が容易にな り ます。

RX エ ラ ステ ィ ッ ク バ ッ フ ァ を通る際に生じ る レ イ テンシを 小にするには、 マ

ス タの CHAN_BOND_LEVEL を、 可能な限 り も少ないデイ ジーチェーン数に

し ます。 チャネル ボンデ ィ ングのレベル設定の詳細は、 チャネル ボンデ ィ ングの

「概要」 を参照し て く ださ い。

CHAN_BOND_MODE_0

CHAN_BOND_MODE_1

ト ラ ンシーバでのチャネル ボンデ ィ ングの動作モード を定義し ます。

OFF : チャネル ボンデ ィ ングな し

MASTER : ト ラ ンシーバがチャネル ボンデ ィ ングのマス タであ る こ と を示し

ます。 RXCHBONDO ポー ト は、 1 つ以上の SLAVE ト ラ ンシーバ

の RXCHBONDI ポー ト を直接駆動し ます。

SLAVE : ト ラ ンシーバがチャネル ボンデ ィ ングのス レーブであ る こ と を示し

ます。 RXCHBONDI ポー ト は、 別のス レーブまたはマス タ ト ラ ン

シーバにあ る RXCHBONDO ポー ト によ って駆動されます。 CHAN_BOND_LEVEL 設定が 0 よ り 大きい場合、

RXCHBONDO ポー ト が 1 つまたは複数のス レーブ ト ラ ンシーバ

の RXCHBONDI ポー ト を駆動する こ と があ り ます。

CHAN_BOND_SEQ_1_1_0

CHAN_BOND_SEQ_1_1_1

CHAN_BOND_SEQ_1 属性は、 チャネル ボンデ ィ ング シーケン ス 1 を定義する

ため、 CHAN_BOND_SEQ_1_ENABLE と併用されます。

サブシーケンスの長さは、 ぞれぞれ 10 ビ ッ ト です。 サブシーケンスの設定ルール

は、 INTDATAWIDTH および RX_DECODE_SEQ_MATCH によ って決定されま

す。 チャネル ボンデ ィ ング シーケン スの設定方法は、「概要」 を参照し て く ださ い。

すべての シーケ ン ス を使用す る 必要はあ り ま せん。 CHAN_BOND_SEQ_LEN は、一致させる際のシーケン ス数を決定し ます。 CHAN_BOND_SEQ_LEN = 1 の

場合、 CHAN_BOND_SEQ_1_1 のみ使用し ます。

CHAN_BOND_SEQ_1_ENABLE を使用する と、 シーケンスの一部を don't care にでき ます。 CHAN_BOND_SEQ_1_ENABLE[k] が 0 の場合、 CHAN_BOND_ SEQ_1_k は don't-care サブシーケン スで、 常に一致し ます。

CHAN_BOND_SEQ_1_2_0

CHAN_BOND_SEQ_1_2_1

CHAN_BOND_SEQ_1_3_0

CHAN_BOND_SEQ_1_3_1

CHAN_BOND_SEQ_1_4_0

CHAN_BOND_SEQ_1_4_1

CHAN_BOND_SEQ_1_ENABLE_0

CHAN_BOND_SEQ_1_ENABLE_1

表 7-33 : チャネル ボンデ ィ ング属性 (続き)

属性 説明

Page 189: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 189UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

概要

チ ャネル ボンデ ィ ングのイネーブル

各 GTP ト ラ ンシーバには、RX エラ ス ティ ッ ク バッ ファ のポイ ンタ を制御する こ と でチャ ネル ボン

ディ ングを実行する 回路が含ま れま す。 チャ ネル ボンディ ングを使用する 場合、RX_BUFFER_USE 属性を TRUE に設定し 、 RX エラ ス ティ ッ ク バッ ファ をイ ネーブルにする 必要があり ま す。

各 GTP ト ラ ンシーバに 1 つのチャネル ボンデ ィ ング回路が備わっています。 チャネル ボンデ ィ ン

グを実行する よ う に GTP ト ラ ンシーバを構成するには、 次の手順に従います。

1. GTP ト ラ ンシーバそれぞれのチャネル ボンデ ィ ング モード を設定し ます。

2. マス タに CHAN_BOND_MODE = MASTER を設定し ます。

3. ス レーブに CHAN_BOND_MODE = SLAVE を設定し ます。

4. マス タからのチャネル ボンデ ィ ング ポー ト を各ス レーブに直接接続、 またはデイ ジー チェー

ン接続し ます。

5. チャネル ボンデ ィ ング シーケン スおよび検出パラ メ ータ を設定し ます。

CHAN_BOND_SEQ_2_1_0

CHAN_BOND_SEQ_2_1_1

CHAN_BOND_SEQ_2 属性は、 2 番目のチャネル ボンデ ィ ングを定義する ため、

CHAN_BOND_SEQ_2_ENABLE と併用されます。

CHAN_BOND_SEQ_2_USE が TRUE の と き、2 番目のシーケン スがチャネル ボ

ンデ ィ ングを ト リ ガする代替シーケンス と し て使用されます。

サブシーケンスの長さは、 ぞれぞれ 10 ビ ッ ト です。 サブシーケンスの設定ルール

は、 INTDATAWIDTH および RX_DECODE_SEQ_MATCH によ って決定されま

す。 チャネル ボンデ ィ ング シーケン スの設定方法は、 「概要」 を参照し ます。

すべての シーケ ン ス を使用す る 必要はあ り ま せん。 CHAN_BOND_SEQ_LEN は、 一致させる際に使用する シーケンス数を決定し ます。 CHAN_BOND_SEQ_ LEN = 1 の場合、 CHAN_BOND_SEQ_2_1 のみを使用し ます。

CHAN_BOND_SEQ_2_ENABLE を使用する と、 シーケンスの一部を don't care にでき ます。 CHAN_BOND_SEQ_2_ENABLE[k] が 0 の場合、 CHAN_BOND_ SEQ_2_k は don't care サブシーケン スで、 常に一致し ます。

CHAN_BOND_SEQ_2_2_0

CHAN_BOND_SEQ_2_2_1

CHAN_BOND_SEQ_2_3_0

CHAN_BOND_SEQ_2_3_1

CHAN_BOND_SEQ_2_4_0

CHAN_BOND_SEQ_2_4_1

CHAN_BOND_SEQ_2_ENABLE_0

CHAN_BOND_SEQ_2_ENABLE_1

CHAN_BOND_SEQ_2_USE_0

CHAN_BOND_SEQ_2_USE_1

チャネル ボンデ ィ ング シーケン ス 2 を使用するかを指定し ます。

TRUE : チャネル ボンデ ィ ングは、 シーケンス 1 または 2 で ト リ ガ可能。

FALSE : シーケンス 1 でのみ ト リ ガ。

CHAN_BOND_SEQ_LEN_0

CHAN_BOND_SEQ_LEN_1

チャネル ボンデ ィ ングを検出する ために ト ラ ンシーバが一致させるチャネル ボン

デ ィ ング シーケン スの長さ (1 ~ 4 バイ ト ) をバイ ト で定義し ます。

PCI_EXPRESS_MODE_0

PCI_EXPRESS_MODE_1

TRUE にす る と、 PCI Express 固有の処理が可能に な り 、 TXELECIDLE = 1、

TXCHARDISPMODE = 1、 およびTXCHARDISPVAL = 0 がチ ャ ネルのパワー

ダウ ン要求 と し て認識されます。

TXCHARDISPMODE = 1 およ び TXCHARDISPVAL = 0 は、 PIPE 仕様のイ ン

タ ーフェ イ ス 信号 TXCompliance = 1 をエンコ ード し ま す。TXCHARDISPMODE および TXCHARDISPVAL の設定は、 PIPE 用にエン コード し、 FTS レーン デス

キ ューの特別なサポー ト を イネーブルにし ます。

表 7-33 : チャネル ボンデ ィ ング属性 (続き)

属性 説明

Page 190: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

190 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能なチャネル ボンデ ィ ング (レーン デスキュー )R

チ ャネル ボンデ ィ ングのモー ド

チャネル ボンデ ィ ングのモー ド は、 GTP ト ラ ンシーバそれぞれのチャネル ボンデ ィ ングがア ク

テ ィ ブか、 および ト ラ ンシーバがマス タかス レーブかを決定し ます。 チャネル ボンデ ィ ングがア ク

テ ィ ブな GTP ト ラ ンシーバにはマス タが 1 つ必要で、 任意数のス レーブを持つこ と ができ ます。

GTP ト ラ ンシーバ グループのチャ ネル ボンデ ィ ングをオンにするには、1 つの ト ラ ンシーバをマス

タに設定し、 その他の ト ラ ンシーバを ス レーブに設定し て く ださ い。

チ ャネル ボンデ ィ ング ポー ト の接続

チャネル ボンデ ィ ングの実行には、 グループのマス タ GTP RXCHBONDO ポー ト をすべてのス

レーブの RXCHBONDI ポー ト に接続する必要があ り ます。 隣接し た GTP ト ラ ンシーバは直接接

続し て く ださ い。 マス タから ス レーブへの直接接続の手順は次の とお り です。

1. マス タの RXCHBONDO ポー ト を ス レーブ の RXCHBONDI ポー ト に接続し ます。

2. マス タの CHAN_BOND_LEVEL を 1 に設定し ます。

3. 各ス レーブの CHAN_BOND_LEVEL を 0 に設定し ます。

GTP ト ラ ンシーバが直接接続されている と、 ト ラ ンシーバ間の距離が大き く なる ため、 タ イ ミ ング

制約を満たすこ と が困難にな り ます。 こ の問題には、 ト ラ ンシーバをデイ ジー チェーン接続する と

い う 解決策があ り ます。 デイ ジー チェーン接続は、 CHAN_BOND_LEVEL 信号を使用し、 マス タ

およびス レーブ間にパイプラ イ ン ステージを追加する こ と によ って可能と な り ます。 各ス レーブの

RXCHBONDO ポー ト は、 マス タからの RXCHBONDO パスでのパイプラ イ ン ステージ と し て使

用されます。 図 7-29 および図 7-30 に、 デイ ジー チェーンの例を 2 つ示し ます。

図 7-29 : チャネル ボンデ ィ ングのデイジー チ ェーン例 1

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

CHAN_BOND_MODE = MASTERCHAN_BOND_LEVEL = 3

CHAN_BOND_MODE = SLAVECHAN_BOND_LEVEL = 2

CHAN_BOND_MODE = SLAVECHAN_BOND_LEVEL = 1

CHAN_BOND_MODE = SLAVECHAN_BOND_LEVEL = 0

UG196_c7_26_092606

メ モ :

1. 図の長方形は、 GTP_DUAL タ イル内の ト ラ ンシーバを表し ています。

2. 2 ~ 4 個の GTP_DUAL タ イルを使用する と 、 こ のよ う な構造の イ ンプ リ メ ンテーシ ョ ンが可能です。

Page 191: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 191UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

デイ ジー チェーンを設定する場合、 初に RXCHBONDO および RXCHBONDI を使用し て GTP ト ラ ンシーバを接続し、各ス レーブの RXCHBONDI ポー ト から マス タの RXCHBONDO ポー ト へ

のパス を作成し ます。 チェーン内の GTP ト ラ ンシーバに対する CHAN_BOND_LEVEL の設定手

順は、 次の とお り です。

1. マス タの CHAN_BOND_LEVEL を 7 に設定し ます。

2. 各ス レーブの CHAN_BOND_LEVEL を、 ス レーブの RXCHBONDI ポー ト を駆動し ている

GTP ト ラ ンシーバの CHAN_BOND_LEVEL から 1 を引いた値に設定し ます。

3. も低いレベルのス レーブを見つけ る。 GTP ト ラ ンシーバすべての CHAN_BOND_LEVEL から こ のレベルを減算し て、 も低いス レーブのレベルが 0 と な り 、 マス タがすべてのス レー

ブ対し て機能するための 少のレベル数と なる よ う にし ます。

チ ャネル ボンデ ィ ング シーケンスの設定

チャネル ボンデ ィ ング シーケン スは、 ク ロ ッ ク コ レ ク シ ョ ン シーケン ス と同じ方法でプロ グ ラ ム

されます。 CHAN_BOND_SEQ_LEN は、 1 ~ 4 のサブシーケン スで、 シーケン スの長さ を設定し

ます。 CHAN_BOND_SEQ_1_* は、 シーケン スの値を設定し ます。 CHAN_BOND_SEQ_2_USE が TRUE の場合、 CHAN_BOND_SEQ_2_* は 2 番目のシーケン スの値を設定し ます。

各サブシーケン スのア ク テ ィ ブ ビ ッ ト 数は、 INTDATAWIDTH (第 5 章 の 「タ イルの機能」 を参照

) および RX_DECODE_SEQ_MATCH (179 ページの 「設定変更可能な ク ロ ッ ク コ レ ク シ ョ ン機

能」 を参照) に依存し ます。 図 7-31 に、 どのよ う にサブシーケン スのビ ッ ト がマ ッ プされるかを示

し ます。

図 7-30 : チャネル ボンデ ィ ングのデイジー チ ェーン例 2

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

CHAN_BOND_MODE = MASTERCHAN_BOND_LEVEL = 2

CHAN_BOND_MODE = SLAVECHAN_BOND_LEVEL = 1

CHAN_BOND_MODE = SLAVECHAN_BOND_LEVEL = 0

UG196_c7_27_092606

メ モ :

1. 図の長方形は、 GTP_DUAL タ イル内の ト ラ ンシーバを表し ています。

2. 2 ~ 4 個の GTP_DUAL タ イルを使用する と 、 こ のよ う な構造のイ ンプ リ メ ント が可能です。

Page 192: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

192 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

設定変更可能なチャネル ボンデ ィ ング (レーン デスキュー )R

ク ロ ッ ク コ レ ク シ ョ ン シーケンス と同様、 チャネル ボンデ ィ ング シーケンスに don't care サブ

シーケン ス を含むこ と ができ ます。 CHAN_BOND_SEQ_1_ENABLE およびCHAN_BOND_SEQ _2_ENABLE によ り 、 これらのバイ ト が設定されます。 図 7-32 に、チャネル ボンデ ィ ング シーケ

ン スのイ ネーブル属性のマ ッ プを示し ます。

大スキューの設定

チャネル ボンデ ィ ング シーケン スがマス タで受信されて も、 すぐにチャネル ボンデ ィ ングが実行

されるわけではあ り ません。 ス レーブにレ イ テンシがあ る と、 さ らに数バイ ト を受信する必要があ

り ます。 こ の待機時間が、 実質的には RX エラ ステ ィ ッ ク バッ フ ァ が許容可能な 大スキ ューと な

り ます。 スキ ューが待機時間よ り 大きい場合、マス タがチャネル ボンデ ィ ングを ト リ ガする までに、

ス レーブでシーケン スが受信されない可能性があ り ます (図 7-33 を参照)。

図 7-33 に、それぞれがマス タおよびス レーブ と なっている 2 つの FIFO を示し ます。 ス レーブがマ

ス タの後にあ る場合、マス タはチャネル ボンデ ィ ングを ト リ ガする まで数サイ クル待機する必要が

あ り 、待機し ない と、遅いス レーブのバッ フ ァ にはチャネル ボンデ ィ ング シーケン スが含まれな く

な り ます。

図 7-31 : チャネル ボンデ ィ ング シーケンスの設定

図 7-32 : チャネル ボンデ ィ ング シーケンスのマ ッ ピング

7:0

UG196_c7_28_092606

89CHAN BOND_SEQ_x_y

1 = 0 =

1 =0 =

UG196_c7_29_092606

34 12

CHAN_BOND_SEQ_x_4 CHAN_BOND_SEQ_x_3 CHAN_BOND_SEQ_x_2

CHAN_BOND_SEQ_x_ENABLE

CHAN_BOND_SEQ_x_1

Page 193: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 193UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

CHAN_BOND_1_MAX_SKEW および CHAN_BOND_2_MAX_SKEW はそれぞれ、チャネル ボ

ンデ ィ ング シーケンス 1 および 2 で許容可能な 大スキ ューの設定に使用されます。 大スキ ュー

の範囲は 1 ~ 14 です。 チャネル ボンデ ィ ングのス キ ューは、データ ス ト リ ーム内で許容されるチャ

ネル ボンデ ィ ング シーケン ス間の 小値未満にする必要があ り ます。 この距離の 小値は、使用し

ているプロ ト コルによ って決定されます。

チ ャネル ボンデ ィ ング と ク ロ ッ ク コ レ ク シ ョ ン間の優先順位

ク ロ ッ ク コ レ ク シ ョ ン (179 ページの「設定変更可能な ク ロ ッ ク コ レ ク シ ョ ン機能」 を参照) および

チャネル ボンデ ィ ングは共に、 RX エラ ステ ィ ッ ク バッ フ ァのポ イ ン タで動作し ます。 通常、 2 つ

の回路は競合する こ と な く 機能し ますが、これらのイベン ト が同時に発生する と競合が発生し ます。

こ のよ う な場合は、 一方の回路を優先させる必要があ り ます。 ク ロ ッ ク コ レ ク シ ョ ンを優先させる

場合、CLK_COR_PRECEDENCE を TRUE に設定し、チャネル ボンデ ィ ングを優先させる場合は、

これを FALSE に設定し ます。

図 7-33 : チャネル ボンデ ィ ングの例 (MAX_SKEW = 2 および Master CHAN_BOND_LEVEL = 1)

マスタが CB シーケンスを受信

UG196_c7_30_110107

スレーブの新しいエラスティックバッファ読み出しポインタ

D1D2D3D4D5D6D7SEQ1

D1D2D3D4D5D6D7 D0

D4D5D6D7SEQ1D8D9D10

D4D5D6D7SEQ1D8D9 D3

D5D6D7SEQ1D8D9D10D11

D5D6D7SEQ1D8D9D10 D4

Page 194: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

194 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

FPGA RX イ ン ターフ ェ イスR

FPGA RX イ ン ターフ ェ イス

概要

FPGA は、 FPGA の RX イ ン ターフ ェ イ ス を介し て GTP ト ラ ンシーバから RX データ を受信し ま

す。 データは、 RXUSRCLK2 の立ち上が り エッ ジで RXDATA ポー ト から読み出されます。

RXDATA 幅は 1 または 2 バイ ト に設定可能です。 ポー ト の実際の幅は、GTP_DUAL タ イルの内部

データ幅、および 8B/10B デコーダが有効かに依存し ます。 設定可能なポー ト 幅は 8 ビ ッ ト 、10 ビ ッ

ト 、 16 ビ ッ ト 、 あ るいは 20 ビ ッ ト です。

イ ン ターフ ェ イ スでのパラ レル ク ロ ッ ク (RXUSRCLK2) レー ト は、RX ラ イ ン レー ト 、RXDATA ポー ト 幅、 および 8B/10B デコード がイ ネーブルかど う かで決定し ます。 また、 RXUSRCLK がレ

シーバの内部 PCS ロジ ッ ク に供給される必要があ り ます。こ こ では、パラ レル ク ロ ッ ク がどのよ う

に駆動されるかを示し、 それらが正し く 動作するための制約について説明し ます。

ポー ト および属性

表 7-34 で、 FPGA RX イ ン ターフ ェ イ スのポー ト について説明し ます。

表 7-34 : FPGA RX イン ターフ ェ イスのポー ト

ポー ト 方向ク ロ ッ ク ド メ イン

説明

INTDATAWIDTH 入力 非同期

TX および RX パスのビ ッ ト 幅を指定し ます。 これらの幅は、両チャネルで

同一の必要があ り ます。

0 : 8 ビ ッ ト 幅

1 : 10 ビ ッ ト 幅(1)

REFCLKOUT 出力 なし

各 GTP_DUAL タ イルの REFCLKOUT ポー ト は共有 PMA PLL に入力さ

れている リ フ ァ レ ン ス ク ロ ッ ク (CLKIN) へのア ク セス を提供し ます。

FPGA ロ ジ ッ ク で使用する ための配線も可能です。

RXDATA0

RXDATA1出力 RXUSRCLK2

FPGA の受信イ ン ターフ ェ イ スの受信データ バス。 RXDATA(0/1) の幅は、

RXDATAWIDTH(0/1) 設定で決定されます。

RXDATAWIDTH0

RXDATAWIDTH1入力 RXUSRCLK2

RXDATA(0/1) 受信データの FPGA への接続幅を選択し ます。

0 : 1 バイ ト イ ン ターフ ェ イ ス => RXDATA(0/1)[7:0]

1 : 2 バイ ト イ ン ターフ ェ イ ス => RXDATA(0/1)[15:0]

ク ロ ッ ク ド メ イ ン は、 こ の イ ン タ ー フ ェ イ ス 用に選択 し た ク ロ ッ ク

(RXRECCLK(0/1)、RXUSRCLK(0/1)、RXUSRCLK2(0/1)) に依存し ます。

RXRECCLK0

RXRECCLK1出力 なし

CDR から の リ カバ リ ク ロ ッ ク。 PMA と RX エラ ステ ィ ッ ク バ ッ フ ァ間の

RX ロ ジ ッ ク に ク ロ ッ ク入力。 RXUSRCLK を入力データ と 同期し て駆動

する ために使用でき ます。

RXPOWERDOWN[1:0] を電力が も低い P2 ステー ト と なる、11 に指定

する と、 こ の ト ラ ンシーバの RXRECCLK は不定と な り ます。 GTP ト ラ ン

シーバの RXRECCLK は static 1 または static 0 のいずれかです。

Page 195: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 195UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

こ のセ ク シ ョ ンに該当する属性はあ り ません。

説明

FPGA RX イ ン ターフ ェ イ スによ り 、パラ レルで受信されたデータ を GTP ト ラ ンシーバから読み出

すこ と ができ ます。 こ のイ ン ターフ ェ イ ス を使用するには、 次を実行する必要があ り ます。

• RXDATA ポー ト 幅を設定し ます。

• RXUSRCLK2 および RXUSRCLK を適切なレー ト で動作し ている ク ロ ッ ク に接続し ます。

イ ン ターフ ェ イス幅の設定

表 7-35 に、 RX データパスのイ ン ターフ ェ イ ス幅の設定値を示し ます。 8B/10B デコード について

は、 167 ページの 「コ ンフ ィ ギャブル 8B/10B デコーダ」 で詳細に説明し ています。

RXRESET 入力 非同期

RX PCS のシステム リ セ ッ ト 。 RX エラ ステ ィ ッ ク バッ フ ァ、 8B/10B デ

コーダ、 カンマ検出、 およびその他のレ シーバのレ ジス タ を リ セ ッ ト し ま

す。 これは、 チャネルご と の GTPRESET サブセ ッ ト です。

RXUSRCLK0

RXUSRCLK1入力 なし

RX エラ ステ ィ ッ ク バ ッ フ ァ の後にあ る内部 RX ロ ジ ッ クに使用される入

力ク ロ ッ ク。 通常、 RXUSRCLK(0/1) は RX ボー レー ト の 1/8 または 1/10 で動作し、 規格によ っては TXUSRCLK(0/1) が同じ場合も あ り ます。

RXUSRCLK20

RXUSRCLK21入力 なし

FPGA と GTP ト ラ ン シーバ間のイ ン タ ーフ ェ イ ス に使用さ れる 入力ク

ロ ッ ク 。 1 バイ ト イ ン タ ーフ ェ イ ス の と き 、 RXUSRCLK2(0/1) は

RXUSRCLK(0/1) と同一レー ト で動作し ます。 2 バイ ト イ ン ターフ ェ イ ス

の と きは、 RXUSRCLK2(0/1) は RXUSRCLK(0/1) の 1/2 のレー ト で動し

ます。 規格によ っては TXUSRCLK2(0/1) が同じ場合も あ り ます。

メ モ :

1. RX エ ラ ステ ィ ッ ク バ ッ フ ァ をバイパスする場合は、 10 ビ ッ ト の内部データ幅が必要です。

表 7-34 : FPGA RX イン ターフ ェ イスのポー ト (続き)

ポー ト 方向ク ロ ッ ク ド メ イン

説明

表 7-35 : RX データパス幅の設定

INTDATAWIDTH(1、 2) RXDATAWIDTH(3) RXDEC8B10BUSEFPGA RX

イ ン ターフ ェ イス幅

0 0 なし 8 ビ ッ ト

0 1 なし 16 ビ ッ ト

1 0 0 10 ビ ッ ト

1 0 1 8 ビ ッ ト

1 1 0 20 ビ ッ ト

Page 196: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

196 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

FPGA RX イ ン ターフ ェ イスR

図 7-34 に、内部データパスが 8 ビ ッ ト (INTDATAWIDTH が Low) で、8B/10B デコード がデ ィ ス

エーブルの と きに、 RXDATA がどのよ う にシ リ アル受信されるかを示し ます。

図 7-35 に、 内部データパスが 10 ビ ッ ト (INTDATAWIDTH が High) で、 8B/10B デコード がデ ィ

スエーブルの と きに、 RXDATA がどのよ う にシ リ アル受信されるかを示し ます。 RXDATA が 10 ビ ッ ト または 20 ビ ッ ト 幅の と きは、 RXDISPERR および RXCHARISK ポー ト は 8B/10B デコー

ダのイ ン ターフ ェ イ スから使用し て追加ビ ッ ト 用に使用されます。

8B/10B デコード を使用する場合、図 7-34 に示すよ う に、データ イ ン ターフ ェ イ スは 8 ビ ッ ト の倍

数ですが、 データは RXDATA ポー ト に現れる前にデコード されます。 8B/10B デコード使用時の

ビ ッ ト 順の詳細は、 167 ページの 「コ ンフ ィ ギャブル 8B/10B デコーダ」 を参照し て く ださ い。

1 1 1 16 ビ ッ ト

メ モ :

1. RX エラ ステ ィ ッ ク バ ッ フ ァ をバイパスする場合は、 10 ビ ッ ト の内部データ幅が必要です。

2. 内部データパス幅は、INTDATAWIDTH が Low の と き 8 ビ ッ ト で、INTDATAWIDTH が High の と き 10 ビ ッ ト です。

3. RXDATA イ ン ターフ ェ イ スは 、 RXDATAWIDTH が Low の と き 1 バイ ト 幅で、 RXDATAWIDTH が High の と き 2 バイ ト 幅です。

表 7-35 : RX データパス幅の設定

INTDATAWIDTH(1、 2) RXDATAWIDTH(3) RXDEC8B10BUSEFPGA RX

イ ン ターフ ェ イス幅

図 7-34 : 8B/10B がパイパス される場合の RX イ ン ターフ ェ イス (内部データパスは 8 ビ ッ ト )

15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 7 6 5 4 3 2 1 0

RXDATAWIDTH = 1

INTDATAWIDTH RXDEC8B10BUSE = 0

RXDATA

RXDATAWIDTH = 0UG196_c7_31_010908

図 7-35 : 8B/10B がパイパス される場合の RX イ ン ターフ ェ イス (内部データパスは 10 ビ ッ ト )

15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 7 6 5 4 3 2 1 0

RXDATAWIDTH = High (1)

RXDATA

RXDATAWIDTH = Low (0)

RXCHARISK[0]

RXDISPERR[0]UG196_c7_32_10908

RXCHARISK[0]

RXDISPERR[0]

RXCHARISK[1]

RXDISPERR[1]

INTDATAWIDTH = 1 RXDEC8B10BUSE = 0

Page 197: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 197UG196 (v1.8.1) 2008 年 12 月 1 日

第 7 章 : GTP レシーバ (RX) R

RXUSRCLK および RXUSRCLK2 の接続

FPGA RX イ ン ターフ ェ イ スには、RXUSRCLK および RXUSRCLK2 の 2 つのパラ レル ク ロ ッ ク

があ り ます。 RXUSRCLK は、 GTP ト ラ ンシーバの PCS ロ ジ ッ ク用の内部ク ロ ッ ク です。

RXUSRCLK のレー ト 要件は、GTP_DUAL タ イルの内部データパス幅 (INTDATAWIDTH) および

GTP ト ラ ンシーバの RX ラ イ ン レー ト (RX ラ イ ン レー ト の指定方法は150 ページの 「Serial In Parallel Out」 を参照) によ って決定し ます。 式 7-7 に、 RXUSRCLK レー ト の算出式を示し ます。

式 7-7

RXUSRCLK2 は、 GTP ト ラ ンシーバの RX 側に入る信号すべてを同期化するための主要ク ロ ッ ク

です。 GTP レシーバの RX 側に入力されるほ と んどの信号は、 RXUSRCLK2 の立ち上が り エ ッ ジ

で取 り 込まれます。 RXUSRCLK2 のレー ト は、RXDATAWIDTH が Low の と きは RXUSRCLK と

同一で、 RXDATAWIDTH が high の と きは 1/2 と な り ます。 式 7-8 に、 RXUSRCLK2 レー ト の算

出式を示し ます。

式 7-8

RXUSRCLK、 RXUSRCLK2、 および CLKIN の関係には、 従 う べきい く つかの規則があ り ます。

まず、 RXUSRCLK および RXUSRCLK2 は、 ク ロ ッ ク スキ ューを可能な限 り 小限に抑えて、 立

ち上が り エ ッ ジで揃っている必要があ り ます。 これによ り 、 RXUSRCLK および RXUSRCLK2 の

駆動には、低ス キ ュー ク ロ ッ ク リ ソース (BUFG および BUFR) を使用する必要があ り ます。 2 つの

周波数が同一の場合、 同じ ク ロ ッ ク リ ソース を使用し て両ク ロ ッ ク を駆動し ます。 2 つのク ロ ッ ク

の周波数が異なる場合は、RXUSRCLK を分周し て RXUSRCLK2 と同じ周波数にし ます。 2 つのク

ロ ッ ク の立ち上が り エ ッ ジが揃っている よ う 、 確実に設計する必要があ り ます。

チャネルが、 ト ラ ン ス ミ ッ タおよびレシーバの リ フ ァ レン ス ク ロ ッ ク が同じオシレータで駆動する

よ う に構成されている と、 REFCLKOUT または TXOUTCLK を使用し て TXUSRCLK および

TXUSRCLK2 を駆動する場合と同様の方法で、 RXUSRCLK および RXUSRCLK2 が駆動でき ま

す。 ク ロ ッ ク コ レ ク シ ョ ンがオフの と きは、 RX 位相ア ラ イ メ ン ト 機能を使用し てシ リ アル ク ロ ッ

クおよびパラ レル ク ロ ッ ク を揃え る必要があ り ます。 位相ア ラ イ メ ン ト を イ ネーブルにする際の詳

細は、 171 ページの 「設定変更可能な RX エラ ステ ィ ッ ク バッ フ ァおよび位相ア ラ イ メ ン ト 」 を参

照し て く ださ い。

チャネルの ト ラ ン ス ミ ッ タおよびレシーバの リ フ ァ レ ン ス ク ロ ッ ク を別々のオシレータで駆動し、

ク ロ ッ ク コ レ ク シ ョ ンが未使用の場合の RXUSRCLK および RXUSRCLK2 は、RXRECCLK で分

周し て位相ア ラ イ メ ン ト 回路を使用する必要があ り ます。

ク ロ ッ ク コ レ ク シ ョ ンを使用し ている と きは、 RXRECCLK、REFCLKOUT、および TXOUTCLK が RXUSRCLK および RXUSRCLK2 の ソース と し て使用可能です。

RXUSRCLK RateLine Rate

Internal Datapath Width----------------------------------------------------------=

RXUSRCLK2 RateLine Rate

Internal Datapath Width Bytes in Interface×------------------------------------------------------------------------------------------------------------=

Page 198: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

198 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

FPGA RX イ ン ターフ ェ イスR

Page 199: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 199UG196 (v1.8.1) 2008 年 12 月 1 日

R

第 8 章

CRC (Cyclic Redundancy Check)

はじめに

Virtex-5 デバイ ス内の各高速 ト ラ ンシーバ タ イルは、2 つの CRC (Cyclic Redundancy Check) 統合

ブロ ッ ク でペアになっています。 各 CRC ブロ ッ クは、2 つの 32 ビ ッ ト 入力モジュール (CRC32) と

し て個別に、 または組み合わせて 1 つの 64 ビ ッ ト 入力 CRC モジュール (CRC64) と し て使用でき

ます。 CRC モジュールでは、CRC の算出に標準的な 32 ビ ッ ト のイーサネ ッ ト 多項式が使用されま

す。 また、 こ のブロ ッ クは ト ラ ンシーバ ブロ ッ ク から独立し ています。

図 8-1 に、 CRC ブロ ッ クの基本的なポー ト イ ン ターフ ェ イ ス を示し ます。

説明 :

• 各 GTP_DUAL タ イルは、 2 つの内蔵された CRC ブロ ッ クでペアになっています。

• 内蔵 CRC ブロ ッ ク それぞれは、 1 つの 64 ビ ッ ト 入力 CRC モジュールまたは 2 つの独立し た

32 ビ ッ ト 入力モジュール と し て使用でき ます。

• 64 ビ ッ ト 幅の CRC モジュールを使用する場合のみ、 1 つの GTP_DUAL タ イルで 4 つの独立

し た 32 ビ ッ ト 幅 CRC モジュールが使用でき ます。

図 8-1 : CRC 統合ブロ ッ ク

CRC CRCOUT[31:0]

UG196_c8_01_100506

CRCIN[63/31:0]

CRCDATAVALID

CRCRESET

CRCCLK

CRCDATAWIDTH[2:0]

Page 200: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

200 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ポー ト および属性R

図 8-2 に、 アプ リ ケーシ ョ ンにおけ る CRC モジュールの一般的な使用例を示し ます。

CRC ブロ ッ クは標準多項式を使用し、 入力データ ス ト リ ームの CRC のみを算出し ます。 CRC ブ

ロ ッ ク では、 いかなるデータ フ レー ミ ング も実行されません。 し たがって、 アプ リ ケーシ ョ ンで出

力フ レームに CRC 値を付加し、 その CRC 値 が RX 側で有効になる よ う にする必要があ り ます。

ポー ト および属性

表 8-1 で CRC 64 ビ ッ ト I/O ポー ト について説明し、表 8-2 で CRC 32 ビ ッ ト I/O ポー ト について

説明し ます。

図 8-2 : CRC アプ リ ケーシ ョ ン

TX

RX

CRC

CRC RX_CRC =CRC

CRC

CRC

I/F

I/F

UG196_c8_02_100506

表 8-1 : CRC 64 ビ ッ ト I/O ポー ト

ポー ト 方向ポー ト サイズ

ク ロ ッ ク ド メ イ ン

説明

CRCCLK 入力 1 な し CRC ク ロ ッ ク

CRCDATAVALID 入力 1 CRCCLK

CRCIN 入力のデータが有効であ る こ と を示し ます。

1 : 有効なデータ

0 : 無効なデータ

デ ィ アサー ト される と、その サイ クル間 CRC 値が保持されます。

CRCDATAWIDTH[2:0] 入力 3 CRCCLK有効な入力データのバイ ト 数を示し ます。 CRC32 と CRC64 の各

入力バイ ト 順は 202 ページの表 8-4 と 202 ページの表 8-5 を参照

し て く ださ い。

CRCIN[63:0] 入力 64 CRCCLK CRC 入力データ。 大データパス幅は 8 バイ ト です。

Page 201: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 201UG196 (v1.8.1) 2008 年 12 月 1 日

第 8 章 : CRC (Cyclic Redundancy Check) R

表 8-3 で、 CRC64 および CRC32 の属性について説明し ます。

CRCOUT[31:0] 出力 32 CRCCLK

32 ビ ッ ト CRC 出力。 CRCOUT はバイ ト 反転、 ビ ッ ト 反転し た

CRC 値で、前の ク ロ ッ ク サイ クルおよび CRC 値からの有効なバ

イ ト を使用し て算出された CRC 値に対応し ます。 メ モ : CRCDATAVALID は High を駆動する必要があ り ます。

CRCRESET 入力 1 CRCCLK CRC レジス タの同期 リ セ ッ ト 。 こ の信号がアサー ト される と、

CRC ブロ ッ クは CRC_INIT 値に初期化されます。

表 8-1 : CRC 64 ビ ッ ト I/O ポー ト (続き)

ポー ト 方向ポー ト サイズ

ク ロ ッ ク ド メ イ ン

説明

表 8-2 : CRC 32 ビ ッ ト I/O ポー ト

ポー ト 方向ポー ト サイズ

ク ロ ッ ク ド メ イ ン

説明

CRCCLK 入力 1 な し CRC ク ロ ッ ク

CRCDATAVALID 入力 1 CRCCLK

CRCIN 入力のデータが有効であ る こ と を示し ます。

1'b1 : 有効なデータ

1'b0 : 無効なデータ

デ ィ アサー ト される と、そのデ ィ アサー ト サイ クル間、CRC 値が

保持されます。

CRCDATAWIDTH[2:0] 入力 3 CRCCLK有効な入力データのバイ ト 数を示し ます。 CRC32 と CRC64 それ

ぞれの入力バイ ト 順は、 202 ページの表 8-4 および 202 ページの

表 8-5 を参照し て く ださい。

CRCIN[31:0] 入力 32 CRCCLK CRC 入力データ。 大データパス幅は 4 バイ ト です。

CRCOUT[31:0] 出力 32 CRCCLK

32 ビ ッ ト CRC 出力。 CRCOUT はバイ ト 反転、 ビ ッ ト 反転し た

CRC 値で、前の ク ロ ッ ク サイ クルおよび CRC 値からの有効なバ

イ ト を使用し て算出された CRC 値に対応し ます。 メ モ : CRCDATAVALID は High を駆動する必要があ り ます。

CRCRESET 入力 1 CRCCLK CRC レジス タの同期 リ セ ッ ト 。 こ の信号がアサー ト される と、

CRC ブロ ッ クは CRC_INIT 値に初期化されます。

表 8-3 : CRC64/CRC32 属性

属性 説明

CRC_INIT[31:0]32 ビ ッ ト 値で、CRC32/CRC64 ブロ ッ クにあ る CRC 内部レジス タの

初期ステー ト 値。 CRCRESET が適用される と、 CRC レジス タは同期

し、 この値に初期化されます。 デフ ォル ト 値は 0xFFFFFFFF です。

Page 202: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

202 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

説明R

説明

CRC を使用し たエラー チ ェ ッ ク

CRC は、 ネ ッ ト ワーク ト ラ フ ィ ッ クのフ レームのよ う なデータ ブロ ッ ク におけ るエラーをチェ ッ

クする手段と な り ます。 算出された CRC を使用し、送信または格納後のエラーを検出し ます。 こ の

CRC の算出はク ロ ッ ク サイ クル ベースで行われます。

CRC はフレームの内容に対し て算出さ れ、 送信ま たは格納前にフレ ームの 後に追加さ れます。 フ

レームの受信または読み出し 時、その内容に変更がないこ と を確認する ために CRC を再算出し ます。

CRC は、デジ タル ハード ウ ェアへの実装がシンプルで、数学的な解析が容易な上、送信チャネルで

の ノ イ ズによ って発生しやすいエラーの検出に優れた機能です。

CRC プ リ ミ テ ィ ブ

各 CRC ブロ ッ クは、 PCI Express、 ギガビ ッ ト イーサネ ッ ト 、 およびその他の共通プロ ト コルで指

定された CRC32 多項式を使用し て 32 ビ ッ ト CRC を計算し ます。 CRC32C 多項式は、次の とお り

です。

式 8-1

CRC 統合ブロ ッ ク のイ ンス タ ンシエート 用に 2 つのプリ ミ ティ ブがあり ま す。 32 ビッ ト CRC プリ

ミ ティ ブ (CRC32) は 8、 16、 24、 ま たは 32 ビッ ト の入力データ を処理し 、 32 ビッ ト の CRC を生

成でき ま す。 ま た、 64 ビッ ト プリ ミ ティ ブ (CRC64) は 8、 16、 24、 32、 40、 48、 56、ま たは 64 ビッ

ト の入力データ を処理し 、 32 ビッ ト の CRC も 生成でき ま す。 CRC64 プリ ミ ティ ブを使用する 場合

は、 ト ラ ンシーバ タ イ ルによ ってペアになっている 2 つの CRC 統合ブロ ッ ク 両方を使用し ま す。

CRC64 での有効なビ ッ ト は、 CRCDATAWIDTH の設定によ って表 8-5 に示すよ う にな り ます。

G X( ) X32 X26 X23 X22 X16 X12 X11 X10 X8 X7 X5 X4 X2 X 1+ + + + + + + + + + + + + +=

表 8-4 : CRC32 - 有効なデータ幅

CRCDATAWIDTH[2:0] データ幅 CRC データ バス ビ ッ ト

000 8 ビ ッ ト CRCIN[31:24]

001 16 ビ ッ ト CRCIN[31:16]

010 24 ビ ッ ト CRCIN[31:8]

011 32 ビ ッ ト CRCIN[31:0]

メ モ :

1. CRC32 プ リ ミ テ ィ ブでは、 CRCDATAWIDTH[2] は常に Low にする必要があ り ます。

表 8-5 : CRC64 - 有効なデータ幅

CRCDATAWIDTH[2:0] データ幅 CRC データ バス ビ ッ ト

000 8 ビ ッ ト CRCIN[63:56]

001 16 ビ ッ ト CRCIN[63:48]

010 24 ビ ッ ト CRCIN[63:40]

011 32 ビ ッ ト CRCIN[63:32]

Page 203: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 203UG196 (v1.8.1) 2008 年 12 月 1 日

第 8 章 : CRC (Cyclic Redundancy Check) R

CRC ブロ ッ クの使用

図 8-3 に、 入力データの CRC を算出する CRC ブロ ッ ク の動作を示し ます。図には CRC64 プ リ ミ

テ ィ ブの場合を示し ています。 この動作は、 CRC が生成されるかチェ ッ ク される と実行されます。

CRC_POLY は、 すべての算出で使用される固定 CRC2 多項式です。

各フ レームの開始時には、 CRCRESET を適応し、 初期 CRC 値を CRC_INIT にし ます。 CRC 算出

は累積演算であ るため、 算出を既知の値から開始するために、 こ の リ セ ッ ト を実行する必要があ り

ます。 CRC_INIT は、 32 ビ ッ ト の CRC 内部レジス タの初期ステー ト 値で、 デフ ォル ト 値は

0xFFFFFFFF です。 CRCRESET が Low の と き、 CRC は 初のク ロ ッ ク サイ クルで 0x00000000 を CRCOUT ポー ト に出力し ます。 後続サイ クルではCRCIN ポー ト のデータに対し て算出された

CRC 値が出力されます。特定のプロ ト コルで必要な CRC_INIT 値は、プロ ト コルの CRC アルゴ リ

ズムの一部と し て定義されます。 表 8-6 に、 CRC32 多項式を使用する一般的なプロ ト コルの

CRC_INIT 値を示し ます。

100 40 ビ ッ ト CRCIN[63:24]

101 48 ビ ッ ト CRCIN[63:16]

110 56 ビ ッ ト CRCIN[63:8]

111 64 ビ ッ ト CRCIN[63:0]

表 8-5 : CRC64 - 有効なデータ幅 (続き)

CRCDATAWIDTH[2:0] データ幅 CRC データ バス ビ ッ ト

図 8-3 : CRC の通常動作

CRCCLK

CRCIN[63:0] D0 D1 D2

f(CRC(Prev,D1))

f(CRC(Prev,D2))

f(CRC(D0))

CRCOUT[31:0]

CRCRESET

CRCDATAVALID

CRCDATAWIDTH

:1. Prev = 2. f(x) = UG196_c8_03_112007

0

3’d7 3’d3

Page 204: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

204 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

説明R

CRCDATAVALID ポート は CRC ブロ ッ ク のク ロ ッ ク イ ネーブルと し て動作し ま す。

CRCDATAVALID が High で CRCRESET がディ アサート さ れている 場合は、ク ロ ッ ク ごと に新し い

CRC 値が算出さ れ、 その結果が 1 ク ロ ッ ク サイ ク ル後に CRCOUT に出力さ れま す。

CRCDATAVALID が Low のと き は、前のサイ ク ルから の値が CRC レ ジス タ すべてで保持さ れま す。

CRCDATAWIDTH は、 有効な入力データ のバイ ト 数を指定し ます。 202 ページの表 8-4 に、

CRCDATAWIDTH を使用し て CRC32 プリ ミ ティ ブにおける 有効な入力バイ ト 数を設定する 方法を

示し ます。 202 ページの表 8-5 には、CRC64 プリ ミ ティ ブの場合を示し ます。 CRCDATAWIDTH は通

常、 データ ワ ード のバイ ト の一部が有効なデータ ではない場合に、 フレームの 後に使用し ます。 ま

た、 こ の CRCDATAWIDTH を分離し 、 32 ビッ ト 幅以下のデータ パス に対応する こ と も 可能です。

CRC ブロ ッ ク を使用し てス ループッ ト を高速にする には、 初のデータ バイ ト およ びリ セッ ト を同

じ ク ロ ッ ク サイ ク ルで適用し ま す。図 8-5 に示すよ う に、CRC 結果は 後の有効バイ ト が適用さ れた

1 ク ロ ッ ク サイ ク ル後に出力 CRCOUT[31:1] に現れます。

図 8-6 では、 CRCDATAVALID 後 1 CRCLK サイ ク ル後に CRCRESET がアサート さ れます。こ れに

よ り 連続し た CRC フレ ーム算出が可能になり ます。

表 8-6 : 一般的なプロ ト コルでの CRC_INIT 値

プロ ト コル CRC_INIT

イーサネ ッ ト 32’hFFFF_FFFF

PCI Express 32’hFFFF_FFFF

Infiniband 32’hFFFF_FFFF

フ ァ イバ チャネル 32’hFFFF_FFFF

SATA 32’h5232_5032

図 8-4 : 後続フ レームに対する CRCRESET のアサー ト タ イ ミ ング

CRCCLK

CRCIN[63:0] D0 D1 D2

CRCOUT[31:0]

CRCRESET

CRCDATAVALID

CRCDATAWIDTH

UG196_c8_04_082707

XX D3 D4

0

3’d7 3’d3 XX 3’d7

CRC

3’d1

0 CRC

Page 205: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 205UG196 (v1.8.1) 2008 年 12 月 1 日

第 8 章 : CRC (Cyclic Redundancy Check) R

図 8-3 では、内部 CRCINTREG レジス タは前のサイ クルからの CRC 算出結果をそのま ま示し てい

ます。 CRCOUT には、 CRC 出力のビ ッ ト 反転、 バイ ト 反転バージ ョ ンが同時に出力されます。 図

8-5 に、 バイ ト 反転およびビ ッ ト 反転を実行し た場合の例を示し ます。

CRC 出力値のバイ ト 反転およ びビッ ト 反転は多く の繁用プロ ト コ ルで必須と なっている ので、FPGA リ ソ ース を節約する ため、 CRCOUT にはこ れら の処理を実行後の値が出力さ れます。 CRC アルゴ リ

ズムでこ の反転が不要なプロ ト コルを使用し ているデザイ ンの場合、CRC 値の使用前に、設計者が

反転の実行を元へ戻す必要があ り ます。

特定のフ レームに対する CRC 結果は、 フ レームの 後のバイ ト に対応する CRCOUT 値と な り ま

す。 この値は、 CRCDATAVALID が High の と きに、 フ レームの 終バイ ト が CRCIN ポー ト に入

力された 1 サイ クル後に出力されます。

TX への CRC ブロ ッ クの統合

CRC32 または CRC64 プ リ ミ テ ィ ブを使用し、送信または格納用フ レームに CRC を追加するには、

FPGA に次のロ ジ ッ ク を構築し て CRC ブロ ッ クに接続する必要があ り ます。

• SOF (Start of Frame) が CRCRESET を ト リ ガ し ます

• データパス幅が CRC プ リ ミ テ ィ ブの 大データ幅未満の場合、 CRCDATAWIDTH を分割し、

データパスのバイ ト のみが有効になる よ う にし ます。

• CRC ブロ ッ クからの CRC 出力はフ レームに追加する必要があ り ます。 通常は、 後のデータ

バイ ト と EDF (End of Frame) キ ャ ラ ク タ間に追加し ます。

RX への CRC ブロ ッ クの統合

CRC32 または CRC64 プ リ ミ テ ィ ブを使用し、 入力データの CRC を確認するには、 次のロ ジ ッ ク

を FPGA に構築し て CRC ブロ ッ ク に接続する必要があ り ます。

• SOF が CRCRESET を ト リ ガ し ます。 これは、 通常、 入力データ ス ト リ ームにあ る SOF キ ャ

ラ ク タの検出用に、 一部のタ イプのデコーダで必要と な り ます。

• EOF が CRC チェ ッ ク を ト リ ガ し ます。

♦ 固定長フ レームを使用する場合、 カ ウ ン タ を使用し て実行可能です。

図 8-5 : ビ ッ ト 反転およびバイ ト 反転

CRCINTREG47

0

8A A4 DA

1 2 3

E2 51 25 5B

1D AE DA A4

CRCOUT1D AE DA A4

UG196_c8_04_112007

Page 206: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

206 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

説明R

♦ 可変長フ レームを使用する場合、一般にフ レーム長の値に基づ く カ ウ ン ト 値を設定するか、

入力データ ス ト リ ームの EOF キ ャ ラ ク タ をデコードする必要があ り ます。

• CRC チェ ッ ク を必ず実行し ます。 CRC チェ ッ ク には 2 つの一般的な方法があ り ます。

♦ 比較法

フ レーム内のデータ全体の CRC を算出し た後、 フ レームから CRC を抽出し、その値を算

出し た CRC と比較し ます。 使用すべき CRCOUT 値および送信された CRC を含むフ レー

ムのバイ ト は、 EOF ト リ ガを使用し て示されます。

♦ 剰余法

フ レーム内のデータ全体および送信済み CRC の CRC を算出し、その結果を CRC32 多項

式の残 り と比較し ます。 2 つの値が一致する場合、 CRC チェ ッ クはパス し ます。 ビ ッ ト 反

転およびバイ ト 反転後の CRC32 の剰余値は 32’h1CDF4421 です。

• フ レームから送信済みの CRC を削除し ます。

CRC ブロ ッ クのイ ンプ リ メ ンテーシ ョ ン

図 8-6 に、 CRC ブロ ッ クのイ ンプ リ メ ンテーシ ョ ンを示し ます。

参考資料

CRC の詳細は、『IEEE 802.3 CRC (Cyclic Redundancy Check)』 [参照 13] および『コンフィギャブ

ル LocalLink CRC リファレンス デザイン』 [参照 14] を参照し て く だ さい。

図 8-6 : CRC イ ンプ リ メ ンテーシ ョ ン

CRC_CALC(XOR )

CRCOUT[31:0]

CRCINA[31:0]

BANK 2_REG 1

UG196_c8_05_112007

BANK 1_REG 1

BANK 2_REGBANK 1_REG

CRCDATAWIDTH[1:0]

CRCDATAVALID

CRCCLK

CRCINB64[31:0]

CRCRESET

BANK 2_REG 2BANK 1_REG 2

CRCDATAWIDTH64A

CRCDATAVALID

CRCCLK

Page 207: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 207UG196 (v1.8.1) 2008 年 12 月 1 日

R

第 9 章

ループバッ ク

はじめに

ループバッ ク モード は、ト ラ フ ィ ッ ク ス ト リ ームが折 り 返し て ソースに戻る よ う にデータパス を構

成する ものです。 一般的に、 特定の ト ラ フ ィ ッ ク パターンが送信され、 比較されてエラーがチェ ッ

ク されます。 図 9-1 に、 ループバッ ク テス ト のコ ンフ ィ ギュ レーシ ョ ンを 4 つの異なるループバッ

ク モード で示し ます。

ループバッ ク テス ト モード は、 大き く 次の 2 つに分類されます。

• 近端ループバッ ク モード の場合、 送信データが ト ラ フ ィ ッ ク ジェネレータに も近接し てい

る ト ラ ンシーバに戻 り ます。

• 遠端ループバッ ク モード の場合、受信データが リ ン ク の も遠い位置にあ る ト ラ ンシーバに戻

り ます。

ループバッ ク テス ト は、開発段階またはシステム設置後のいずれでも故障隔離のために実施でき ま

す。使用する ト ラ フ ィ ッ ク パターンは、アプ リ ケーシ ョ ン ト ラ フ ィ ッ ク パターンあ るいは擬似ラ ン

ダム ビ ッ ト シーケン ス (PRBS) のどち らでも可能で、 GTP ト ラ ンシーバそれぞれに、 内臓された

PRBS ジェネレータおよびチェ ッ カが含まれます。

GTP_DUAL タ イルの各チャ ネルは、 テス ト 用にい く つかのループバ ッ ク モード を備えています。

• 近端 PCS ループバッ ク (図 9-1 のパス ①)

• 近端 PMA ループバッ ク (図 9-1 のパス ②)

• 遠端 PMA ループバッ ク (図 9-1 のパス ③)

図 9-1 : ループバッ ク テス ト の概要

GTP

UG196_c9_01_011507

RX-PMARX-PCS

TX-PMATX-PCS

GTP

RX-PMA RX-PCS

TX-PMA TX-PCS

1 432

Page 208: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

208 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ポー ト および属性R

• 遠端 PCS ループバッ ク (図 9-1 のパス ④)

通常の動作モード と ループバッ ク モード間の選択には、 LOOPBACK[2:0] ポー ト を使用し ます。

ポー ト および属性

表 9-1 にループバッ ク用ポー ト を示し、 表 9-2 にループバッ ク属性を示し ます。

説明

近端 PCS ループバッ ク

こ のモード では、ユーザー ロ ジ ッ クによ ってテス ト データが生成およびチェ ッ ク された後、PCS に

ループバッ ク されます。 近端 PMA ループバッ ク モード と違い、 PMA セ ク シ ョ ンは関連し ません。

テス ト データは、 Parallel-to-Serial および Serial-to-Parallel コ ンバータ を通る前にループバッ ク さ

れます。また、 PMA セ ク シ ョ ンにあ るすべてのアナロ グ高速回路は、電源を完全に切断でき ます。図

9-2 は、 このモード のコ ンフ ィ ギュ レーシ ョ ンです。

表 9-1 : ループバッ ク ポー ト

ポー ト 方向ク ロ ッ ク ド メ イン

説明

LOOPBACK0[2:0]

LOOPBACK1[2:0]入力 非同期

000: 通常動作

001: 近端 PCS ループバッ ク

010: 近端 PMA ループバッ ク

011: 予約済み

100: 遠端 PMA ループバッ ク

101: 予約済み

110: 遠端 PCS ループバッ ク (1)

111: 予約済み

メ モ :

1. PCI Express に準拠し ます。

表 9-2 : ループバッ ク属性

属性 説明

PMA_COM_CFG[89:0] PMA に共通のコ ンフィ ギュ レーショ ン属性。 RocketIO GTP Transceiver Wizard で自動的に設定されるデフ ォル ト 値のま ま使用。

Page 209: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 209UG196 (v1.8.1) 2008 年 12 月 1 日

第 9 章 : ループバッ ク R

近端 PMA ループバッ ク

こ のモード では、 も近接し た ソース を使用し てテス ト データ を生成し、 チェ ッ ク し ます。 ループ

バッ クはラ イ ン ド ラ イバの前に、 PMA のシ リ アル セ ク シ ョ ンで実行されます。 テス ト データは、

PCS に内蔵された PRBS ブロ ッ ク またはユーザー ロジ ッ クによ り 、生成およびチェ ッ ク可能です。

また、テス ト データはパッ ケージ ピ ンに現れます。 図 9-3 に、内臓された PRBS が未使用の場合の

コ ンフ ィ ギュ レーシ ョ ンを示し ます。

限界条件および制限

近端 PMA ループバッ ク モード の場合、TXDATA 入力が PMA を通 り 、シ リ アル化された後に PMA の RX 側にループバ ッ ク されて RXDATA から出力されます。こ のモード を使用する と きは、 チャネ

ルの RXP/RXN シ リ アル入力が駆動されないよ う する必要があ り ます。こ の入力は未接続のま まに

でき ます。

ボード上にデバイ ス を配置し た際、 リ モー ト 側の ト ラ ン ス ミ ッ タは ト ラ イ ステー ト の必要があ り ま

す。 こ のよ う にでき ない場合は、 次のよ う な代替策があ り ます。

図 9-2 : 近端 PCS ループバッ ク

GTP

UG196_c9_02_082906

RX-PMARX-PCS

TX-PMATX-PCS

図 9-3 : 近端 PMA ループバッ ク

GTP

UG196_c9_03_082906

RX-PMARX-PCS

TX-PMATX-PCS

Page 210: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

210 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

説明R

• RXENEQB(0/1) 信号を Low に駆動する こ と によ り 、 RX リ ニア イ コ ラ イザをオンにし ます。

• RXEQMIX(0/1)[1:0] を 11 に設定し ます。

• PMA_COM_CFG[44:37] の値を RocketIO GTP Transceiver Wizard で自動的に設定されたデ

フ ォル ト 値か ら 10101010 に変更し ます。 PMA_COM_CFG[44:37] を こ の値に設定する の

は、 ループバッ ク のみです。 通常のデータの場合、 パフ ォーマン スに影響し ます。

PMA_COM_CFG[44:37] 属性は、 UCF フ ァ イル内で値を指定する こ と によ ってス タ テ ィ ッ ク に変

更するか、 DRP ア ド レ ス 26[6:3] の内容を 1111 に変更する こ と によ ってダ イナ ミ ッ ク に変更でき

ます。ループバッ ク モード から通常動作に切 り 替える と きは、RocketIO GTP Transceiver Wizard で

自動的に設定されたデフ ォル ト 値に戻す必要があ り ます。

遠端 PMA ループバッ ク

こ のモード では、 も近接し た ソース を使用し てテス ト データ を生成し、 チェ ッ ク し ます。 ループ

バッ クは、PMA のシ リ アル - パラ レル コ ンバータの前に実行されます。 こ のモード では、シ リ アル

- パラ レルおよびパラ レル - シ リ アル変換を含む PMA セ ク シ ョ ン全体がテス ト されます。 また、RX シ リ アル入力が TX シ リ アル出力にループバッ ク されるため、 PCS の大部分がバイパス されます。 図 9-4 にこ のモード のコ ンフ ィ ギュ レーシ ョ ンを示し ます。

限界条件および制限

遠端 PMA ループバ ッ ク モード を使用する場合、 PLL_RXDIVSEL_OUT に関わらず、 PLL_ TXDIVSEL_COMM_OUT および PLL_TXDIVSEL_OUT の両方を 1 に設定する必要があ り ま

す。 TX 分周器の詳細は、 68 ページの 「共有 PMA PLL」 を参照し て く ださい。

遠端 PMA ループバッ ク モード では、 TX バッ フ ァ がパラ レル ループバッ ク パスに使用され、 TX および RX パラ レル ク ロ ッ ク間で発生の可能性があ る位相差を補正し ます。 し たがって、 こ のモー

ド の使用時は、 TX_BUFFER_USE を TRUE に設定する必要があ り ます。 また、 ク ロ ッ ク に制限が

あ るため、 こ のモード を同時に使用でき る ト ラ ンシーバは各タ イルで 1 つのみです。

GTP_DUAL タ イルのチャネルの一方を遠端 PMA ループバ ッ ク モード にする場合、 も う 一方の

チャネルの TX 側の動作は安定し ません。 チャネルの 1 つを遠端 PMA ループバッ ク に設定し てい

る と きは、 も う 1 つのチャネルは安定し てない こ と に留意し て く ださ い。

図 9-4 : 遠端 PMA ループバッ ク

GTP

UG196_c9_04_112907

RX-PMA RX-PCS

TX-PMA TX-PCS

Page 211: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 211UG196 (v1.8.1) 2008 年 12 月 1 日

第 9 章 : ループバッ ク R

遠端 PCS ループバッ ク こ のモード では、 外部ソース を使用し てテス ト データ を生成し、 チェ ッ ク し ます。 ループバッ クは

PCS セ ク シ ョ ンで実行され、 受信されたデータはユーザー ロジ ッ ク に送信されます。

こ のモード が有効な場合、ユーザー ロ ジ ッ クの送信データは送信されません。 これは、PCI Express 仕様に準拠し たループバッ ク モード で、 受信データは送信側に伝え られます。 図 9-5 に、 こ のモー

ド のコ ンフ ィ ギュ レーシ ョ ンを示し ます。

図 9-5 : 遠端 PCS ループバッ ク

GTP

UG196_c9_05_082906

RX-PMA RX-PCS

TX-PMA TX-PCS

Page 212: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

212 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

説明R

Page 213: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 213UG196 (v1.8.1) 2008 年 12 月 1 日

R

第 10 章

GTP およびボー ド間のイ ン ターフ ェ イス

アナログ デザイ ンのガイ ド ラ イ ン

はじめに

GTP ト ラ ンシーバを備えた FPGA のデザイ ンでは、通信 リ ン ク のシステム パフ ォーマンス全体は、

両エン ド ポイ ン ト におけ る電源の特性およびク ロ ッ ク設計に大き く 依存し ます。 本章では、 これら

を考慮し た設計のガイ ド ラ イ ンおよび推奨設計法について説明し ます。

こ こ では、 ボード での消費電力およびス イ ッチング ノ イ ズを 小限にする ため、 Virtex-5 FPGA PCB デザイナーズ ガイ ド に記載されている設計ガイ ド ラ イ ンに従 う こ と を前提条件と し ていま

す。 また、 次のウ ェブ サイ ト で説明し ている POL (Point-of-Load) 電力分配手法の使用する よ う に

し て く ださ い。

http://japan.xilinx.com/publications/xcellonline/xcell_57/xc_pdf/p105-107_57-bellinix.pdf

追加的なガイ ド ラ イ ンは、 『EMC and the Printed Circuit Board』 [参照 7] (Mark I. Montrose 著、

IEEE Electromagnetic Compatibility Society 監修) を参照し て く ださ い。

これらのガイ ド ラ イ ンに従って設計する こ と は、 システム マージンを向上させるだけでな く 、 FCC (連邦通信委員会) および VDE (電子技術者連盟) が EMC (電磁両立性)、 EMI (電磁妨害)、 そし て

RFI (無線周波妨害) に関し て定義し ている規制に準拠する ための必須条件です。

ポー ト および属性

表 10-1 で、 アナロ グ ピンについて説明し ます。

表 10-1 : アナログ ピン

ピン 方向 説明

MGTAVCC 入力 GTP_DUAL タ イルの内部アナロ グ回路のアナロ グ電源

MGTAVCCPLL 入力共有 PMA PLL のアナロ グ電源で、 GTP_DUAL タ イルの ク ロ ッ

ク配線およびネ ッ ト ワーク接続用電源

MGTAVTTRX 入力 GTP_DUAL タ イルのレシーバ回路および終端用アナロ グ電源

MGTAVTTRXC 入力デバイ ス全体の抵抗キ ャ リ ブレーシ ョ ンおよびス タ ンバイ回路の

アナロ グ電源

MGTAVTTTX 入力GTP_DUAL タ イルの ト ラ ン ス ミ ッ タ終端用および分周回路のア

ナロ グ電源

Page 214: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

214 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

アナログ デザイ ンのガイ ド ラ イ ンR

表 10-2 で、 アナロ グ属性について説明し ます。

説明

Virtex-5 LXT または SXT デバイ スでは、PCB 上に 50Ω の外部精密 (1%) 抵抗 (MGTRREF ピンお

よび も近接する MGTAVTTTX ピンに直接接続し ている) が 1 つ必要です。

使用し ている各 GTP_DUAL タ イルにあ る次のアナロ グ電源ピンには、 フ ィ ルタ回路が必要です。

• MGTAVCCPLL

• MGTAVTTTX

• MGTAVTTRX

• MGTAVCC

高周波数ノ イ ズの抑制には、 これら フ ィ ルタの適切なイ ンプ リ メ ンテーシ ョ ンおよび配置が重要と

な り ます。

図 10-1 に、 外部精密抵抗とデバイ スの MGTRREF および MGTAVTTTX ピ ンの接続を示し ます。

名目上の 50Ω は、 1% の許容誤差で 49.9Ω の抵抗と一致させる こ と ができ ます。 LXT または SXT デバイ スの MGTAVTTRXC ピンにはフ ィ ルタ回路が必要であ り 、 これがデバイ スの抵抗キ ャ リ ブ

レーシ ョ ン回路に電源供給し ます。

MGTREFCLKP

MGTREFCLKN入力

GTP_DUAL タ イルの リ フ ァ レ ン ス ク ロ ッ ク用差動ク ロ ッ ク入力

ピン ペア(1)

MGTRREF 入力 デバイ ス全体の参照抵抗入力

メ モ :

1. こ の ク ロ ッ ク には FPGA ロ ジ ッ ク か ら REFCLKOUT ポー ト を介し た と きのみア ク セスでき ます。

表 10-2 : アナログ属性

属性 説明

TERMINATION_CTRL[4:0] 内部終端キ ャ リ ブレーシ ョ ン回路を制御し ます。 エン コー ド に

ついては、 219 ページの表 10-3 を参照し て く だ さい。

TERMINATION_IMP_0

TERMINATION_IMP_1

TX ド ラ イバおよび RX レシーバの終端イ ンピーダン ス を選択し

ます。

図 10-7 のイ ンピーダン ス値のキ ャ リ ブレーシ ョ ンを参照し て く

ださ い。 常に 50 に設定し、 50Ω の終端イ ンピーダン ス を選択し

ます。

TERMINATION_OVRDMGTRREF ピ ンに接続 さ れた 50Ω の外部精密抵抗を使用する

か、 TERMINATION_CTRL[4:0] で定義さ れた優先値を使用す

るかを選択し ます。

表 10-1 : アナログ ピン (続き)

ピン 方向 説明

Page 215: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 215UG196 (v1.8.1) 2008 年 12 月 1 日

第 10 章 : GTP およびボー ド間のイン ターフ ェ イス R

図 10-2 に、 こ の抵抗を回路図で示し ます。

メ モ : GTP ト ラ ンシーバが列に含まれる場合は、キ ャ リ ブレーシ ョ ン回路を含む GTP_DUAL タ イ

ル、 バン ク 112 を次の図に示すよ う に接続する必要があ り ます。

図 10-1 : 抵抗キャ リ ブレーシ ョ ン回路

MGTAVTTTX

RREF

MGTRREF

UG196_c10_01_102208

図 10-2 : RREF 抵抗の回路設計図

MGTTXP1_112

MGTRXN1_112

MGTRXN0_112

MGTRREF_112

MGTREFCLKP_112MGTREFCLKN_112 MGTAVCCPLL_112

MGTAVCCPLL

MGTRXP0_112

MGTRXP1_112MGTTXN0_112MGTTXP0_112MGTTXN1_112

MGTAVTTTX2_112

MGTAVTTRX_112

MGTAVTTRX

MGTAVCC

MGTAVTTTX

MGTAVCC1_112MGTAVCC2_112

MGTAVTTTX1_112

FF1136Bank 112

112_MGTVTTTX

U2

R1

P1

V4

P4P3 T3

N1

T1N2

T2M2

U3

N3

R3R4

UG196_c10_02_110208

M3

U1

112_MGTVTTRX112_MGTVTTTX

112_MGTAVCC_PLL112_MGTREFCLKN112_MGTREFCLKP

112_MGTRX0N112_MGTRX0P112_MGTRX1N112_MGTRX1P112_MGTTX0N112_MGTTX0P112_MGTTX1N112_MGTTX1P

112_MGTAVCC

R13549.9Ω

1%, 1/10W

Page 216: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

216 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

アナログ デザイ ンのガイ ド ラ イ ンR

図 10-3 に、 未使用の GTP_DUAL タ イル、 バン ク 112 を含む GTP_DUAL タ イルのケース を示し

ます。

『Virtex-5 データ シー ト : DC 特性およびス イ ッ チ特性』 には、 厳密な電圧仕様レベル と それらのア

ナロ グ電源の耐性範囲が記載されています。 また、図 10-4 に示すよ う に、仕様を満たすフ ィ ルタ処

理が必要です。 ザイ リ ン ク スでは、LXT、SXT、および FXT プラ ッ ト フ ォーム間のマイ グレーシ ョ

ンを実行する際、 電源回路それぞれに調整可能な電圧レギュ レータ を使用する こ と を推奨し ます。

メ モ : 図 10-4 での電圧レベルは公称値です。 動作条件、 特に電圧および温度に基づいた正確な値

は、 『Virtex-5 データ シー ト : DC 特性およびス イ ッ チ特性』 の GTP ト ラ ンシーバのページを参照

し て く ださ い。

図 10-3 : 未使用の GTP_DUAL タ イル (バン ク 112)

UG196_c10_12_110208

MGTAVTTTX_112: MGTAVCCPLL_112:

MGTAVCC_112:

MGTAVCC_114: MGTAVCCPLL_114: MGTAVTTTX_114: MGTAVTTRX_114:

GTP_DUAL_X0Y2 : 114

GTP_DUAL_X0Y1 : 118

GTP_DUAL_X0Y3 : 112

Page 217: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 217UG196 (v1.8.1) 2008 年 12 月 1 日

第 10 章 : GTP およびボー ド間のイン ターフ ェ イス R

図 10-5 に、 MGTAVTTRXC ピンの電源フ ィ ルタ ネ ッ ト ワーク を示し ます。

図 10-4 : 電源のフ ィ ルタ処理方法

図 10-5 : MGTAVTTRXC の電源フ ィ ルタ ネ ッ ト ワーク

114_MGTVTTRX

114_MGTVTTTX

114_MGTAVCC

114_MGTAVCC_PLL

FERRITE-220 (220Ω @ 100 MHz)

1.2VAVTTRX(1)

1.2VAVTTTX(1)

1.0VAVCC(1)

1.2VAVCC_PLL(1)

0.22 μF10VX7R

FERRITE-220 (220Ω @ 100 MHz)

0.22 μF10VX7R

FERRITE-220 (220Ω @ 100 MHz)

0.22 μF10VX7R

FERRITE-220 (220Ω @ 100 MHz)

0.22 μF10VX7R

UG196_c10_03_041907

メ モ :

1. これら のアナロ グ電源は、 専用のレ ギ ュ レータ から直接供給される必要があ り ます。 その他の電源または抵抗電圧分周器か ら供給された電圧は使用でき ません。

MGTAVTTRXC

1.2VAVTTRX(1)

L33

UG196_c10_04_112007

FERRITE-220 (220Ω @ 100 MHz)

X7R 10V0.22 μF

C62

メ モ :

1. これら のアナロ グ電源は、 専用のレギ ュ レータか ら直接供給される必要があ り ます。 その他の電源または抵抗電圧分周器から供給された電圧は使用でき ません。

Page 218: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

218 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

アナログ デザイ ンのガイ ド ラ イ ンR

抵抗キャ リ ブレーショ ン回路のキャ リ ブレーショ ン値の算出結果は、デバイ ス のすべての GTP_DUAL プ リ ミ テ ィ ブで共有されます (図 10-6 を参照)。

抵抗キ ャ リ ブレーシ ョ ンは、 コ ンフ ィ ギュ レーシ ョ ン中に一回、 自動で実行されます。 すべてのア

ナロ グ電源電圧が供給され、 『Virtex-5 データ シー ト : DC 特性およびス イ ッ チ特性』 で指定された

電圧条件を満た し ている必要があ り ます。

メ モ :

♦ GTP_DUAL タ イル 112 には、 GTP_DUAL 列全体に対する キ ャ リ ブレーシ ョ ン回路が含

まれています。

♦ GTP_DUAL タ イル 112 と任意のイ ン ス タ ンシエー ト されている タ イル間にあ るすべての

GTP_DUAL タ イルで MGTAVCC 電源をオンにする必要があ り ます。

図 10-6 : すべての GTP_DUAL タ イル間でのキャ リ ブレーシ ョ ン結果の共有

メ モ :

1. こ のアナロ グ電源は、 も近接し た MGTAVTTTX デバイ ス ピ ンから直接供給される必要があ り ます。

MGTAVTTTX(1)

RREF 50Ω

MGTRREF

UG196_c10_05_102208

(BRcal)

rCtrl[0:4]

RX/TX

RX/TX

Page 219: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 219UG196 (v1.8.1) 2008 年 12 月 1 日

第 10 章 : GTP およびボー ド間のイン ターフ ェ イス R

♦ 未使用 GTP_DUAL タ イルでの MGTAVCC のフ ィ ルタ処理は不要です。

こ の算出されたキ ャ リ ブレーシ ョ ン値は、TERMINATION_CTRL および TERMINATION_OVRD 属性を使用する こ と で ト ラ ンシーバご と に個別に上書きでき ます。 こ の機能は、 システム評価での

使用のみを目的と し ています。

キ ャ リ ブレーシ ョ ンに基づ く 制御値よ り も外部精密参照抵抗を優先する場合、 個別の上書きは不可

と な り ます。 その結果、半導体プロセスの抵抗許容誤差によ って終端値の許容誤差が ± 25% と な り

ます。

表 10-3 に、 TERMINATION_CTRL 属性のエン コード を示し ます。

表 10-3 : TERMINATION_CTRL 属性のエン コー ド

TERMINATION_CTRL [4:0] 標準抵抗 [Ω] +25% 抵抗 [Ω] -25% 抵抗 [Ω]

0 0 0 0 0 103.0 128.8 77.3

0 0 0 0 1 97.8 122.3 73.4

0 0 0 1 0 93.2 116.4 69.9

0 0 0 1 1 88.9 111.1 66.7

0 0 1 0 0 85.0 106.3 63.8

0 0 1 0 1 81.5 101.9 61.1

0 0 1 1 0 78.2 97.8 58.7

0 0 1 1 1 75.2 94.0 56.4

0 1 0 0 0 72.4 90.5 54.3

0 1 0 0 1 69.8 87.3 52.4

0 1 0 1 0 67.4 84.2 50.5

0 1 0 1 1 65.1 81.4 48.9

0 1 1 0 0 63.0 78.8 47.3

0 1 1 0 1 61.1 76.3 45.8

0 1 1 1 0 59.2 74.0 44.4

0 1 1 1 1 57.5 71.8 43.1

1 0 0 0 0 55.8 69.8 41.9

1 0 0 0 1 54.3 67.8 40.7

1 0 0 1 0 52.8 66.0 39.6

1 0 0 1 1 51.4 64.3 38.6

1 0 1 0 0 50.1 62.6 37.6

1 0 1 0 1 48.8 61.0 36.6

1 0 1 1 0 47.6 59.5 35.7

1 0 1 1 1 46.5 58.1 34.9

1 1 0 0 0 45.4 56.8 34.1

1 1 0 0 1 44.4 55.5 33.3

1 1 0 1 0 43.4 54.2 32.5

Page 220: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

220 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

REFCLK ガイ ド ラ イ ンR

REFCLK ガイ ド ラ イ ン

はじめに

このセ ク シ ョ ンでは、リ フ ァ レ ンス ク ロ ッ ク ソース またはオシレータの選択に焦点を当てて説明し

ます。 オシレータは、 次のよ う な特長で評価されます。

• 周波数範囲

• 出力電圧幅

• ジ ッ タ (予測可能、 ラ ンダム、 Peak-to-Peak)

• 立ち上が り および立ち下が り 時間

• 電源電圧および電流

• ノ イ ズ仕様

• デューテ ィ サイ クルおよびデューテ ィ サイ クル耐性

• 周波数の安定性

これらの特長は、GTP ト ラ ンシーバを含むデザイ ンで使用するオシレータ を決定する際の選択基準

です。

図 10-7 に、『Virtex-5 データシー ト : DC 特性およびス イ ッチ特性』 の GTP ト ラ ンシーバ セク シ ョ

ンに記載されている シングルエン ド ク ロ ッ ク入力の Peak-to-Peak 電圧幅を示し ます。

1 1 0 1 1 42.5 53.1 31.8

1 1 1 0 0 41.5 51.9 31.2

1 1 1 0 1 40.7 50.9 30.5

1 1 1 1 0 39.9 49.8 29.9

1 1 1 1 1 39.1 48.8 29.3

表 10-3 : TERMINATION_CTRL 属性のエン コー ド (続き)

TERMINATION_CTRL [4:0] 標準抵抗 [Ω] +25% 抵抗 [Ω] -25% 抵抗 [Ω]

図 10-7 : シングルエン ド ク ロ ッ ク入力の Peak-to-Peak 電圧幅

0

+V MGTREFCLKP

MGTREFCLKNVISE

UG196_c10_06_110206

Page 221: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 221UG196 (v1.8.1) 2008 年 12 月 1 日

第 10 章 : GTP およびボー ド間のイン ターフ ェ イス R

図 10-8 には、 MGTREFCLKP - MGTREFCLKN と し て定義された、 差動ク ロ ッ ク入力の Peak-to- Peak 電圧幅を示し ます。

図 10-9 には、 リ フ ァ レ ン ス ク ロ ッ ク の立ち上が り および立ち下が り 時間を示し ます。

図 10-10 に、 IBUFDS 内部の詳細図を示し ます。 専用の差動 リ フ ァ レ ンス ク ロ ッ ク入力ペア、

MGTREFCLKP/MGTREFCLKN が 100Ω の差動イ ンピーダンスで内部終端されています。 こ の差

動 リ フ ァ レ ン ス ク ロ ッ ク入力ペアの同相電圧は MGTAVCCPLL の 2/3 です。

駆動し ている ク ロ ッ ク ソース と差動 リ フ ァ レ ンス ク ロ ッ ク入力ペアの同相電圧が異なる場合、デバ

イ スの劣化やその他の損傷を回避するため、 AC カ ッ プ リ ング キ ャパシ タの使用が必須です。

図 10-8 : 差動ク ロ ッ ク入力の Peak-to-Peak 電圧幅

0

+V

–V

MGTREFCLKP – MGTREFCLKN

VIDIFF

UG196_c10_07_110206

図 10-9 : 立ち上がりおよび立ち下がり時間

図 10-10 : IBUFDS 詳細図

UG196_c10_08_100506

80%

20% T FCLK

T RCLK

UG196_c10_09_042807

REFCLK

MGTREFCLKP

MGTREFCLKN

MGTAVCCPLL23

50Ω(1)

50Ω(1)

メ モ :

1. 公称値です。 厳密な仕様はVirtex-5 データ シー ト : DC 特性およびス イ ッ チ特性 を参照して く ださ い。

Page 222: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

222 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

REFCLK ガイ ド ラ イ ンR

GTP のリ フ ァ レンス ク ロ ッ クに関するチ ェ ッ ク項目

GTP ト ラ ンシーバを含むデザイ ンで使用するオシレータ を選択する と きに、次の条件を満た し てい

る必要があ り ます。

• オシレータの出力ピン と GTP_DUAL 専用ク ロ ッ ク入力ピン間の AC カ ッ プ リ ングを提供

• リ フ ァ レ ン ス ク ロ ッ クの差動電圧幅が、 『Virtex-5 データ シー ト : DC 特性およびス イ ッチ特

性』 で指定されている範囲内であ る (標準範囲は 200mV ~ 2000mV、 標準値は 1200mV)。

• 『Virtex-5 データ シー ト : DC 特性およびス イ ッ チ特性』 で指定された リ フ ァ レ ンス ク ロ ッ ク特

性以上であ る。

• GTP ト ラ ンシーバが物理レ イヤ サポー ト を提供する場合の、 標準的な リ フ ァ レン ス ク ロ ッ ク

特性以上であ る。

• 電源、ボード配置、およびノ イ ズ仕様に関するオシレータ ベンダーの規定要件を満た し ている。

• オシレータ と GTP_DUAL の ク ロ ッ ク入力ピン間には、専用の Point-to-Point 接続を使用する。

• 差動送信ラ イ ン上のイ ンピーダン スの断続を 小限に保つ (イ ンピーダン スによ り 断続する

ジ ッ タが発生)。

• リ フ ァ レ ン ス ク ロ ッ クのソース と なる GTP_DUAL タ イルはイ ンス タ ンシエー ト し て、

REFCLKPWRDNB を High にアサー ト する必要があ る。

説明

オシレータの選択

オシレータ を選択し て ク ロ ッ ク分配システムを設計する際、 システム全体の要件を満たすには、 コ

ンポーネン ト を注意深 く 選択し、 適切なボード配置を行 う 必要があ り ます。

GTP ト ラ ンシーバを使用するデザイ ンにおける ク ロ ッ ク システムの設計では、実装されている規格

(イーサネ ッ ト 、OC-48、SDI など) の仕様および 『Virtex-5 データシー ト : DC 特性およびス イ ッチ

特性』 の GTP ト ラ ンシーバ セ ク シ ョ ンに記載されている仕様を満た さ なければな り ません。 ただ

し、 リ フ ァ レ ン ス ク ロ ッ クは、 『Virtex-5 データシー ト : DC 特性およびス イ ッチ特性』 で指定の仕

様以上の必要があ り ます。 GTP ト ラ ンシーバは、これらの条件下で 『Virtex-5 データシー ト : DC 特

性およびス イ ッチ特性』 に記載のよ う に特性評価されま し た。

GTP_DUAL プ リ ミ テ ィ ブの差動ク ロ ッ ク入力は、 オシレータ出力ピ ン と Virtex-5 デバイ スの専用

ク ロ ッ ク入力ピンの間に AC カ ッ プ リ ング キ ャパシタが必要です。

オシレータからの 2 つ以上の差動ク ロ ッ ク入力ペアを ソース とする場合

Virtex-5 デバイ スの GTP_DUAL プ リ ミ テ ィ ブ、8 個以上でク ロ ッ ク を共有する必要があ る場合、2 つ以上の差動ク ロ ッ ク入力ペアが必要です。 出力が複数あ るオシレータ または 1 つのオシレータ と

複数の出力を持つク ロ ッ ク バッ フ ァ を使用し て く だ さい。

GTP_DUAL プ リ ミ テ ィ ブの専用ク ロ ッ ク入力ピ ン ペア と オシレータ またはバッ フ ァの出力は、 ポ

イ ン ト 間接続にする必要があ り ます。 分岐送信ラ イ ン、 T ス タブ、 ブラ ンチ、 あ るいはデイ ジー

チェーン接続は使用でき ません。

2 つの異なる リ フ ァ レンス ク ロ ッ ク間での切り替え

HD-SDI ビデオ アプ リ ケーシ ョ ンなどのよ う に 2 つの異なる ク ロ ッ ク レー ト を要するデザイ ンの

イ ンプ リ メ ン ト には、 次の 2 つの方法があ り ます。

Page 223: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 223UG196 (v1.8.1) 2008 年 12 月 1 日

第 10 章 : GTP およびボー ド間のイン ターフ ェ イス R

1. 2 つの異なる GTP_DUAL リ フ ァ レ ン ス ク ロ ッ ク ピ ンから GTP_DUAL 列の専用ク ロ ッ ク配

線に供給される 2 つの ク ロ ッ ク を DRP を使用し て切 り 替えます。

2. 出力が 1 つ以上の、 外部ク ロ ッ ク マルチプレ ク サを使用し ます。

初の方法は、 大 4 個の GTP_DUAL プ リ ミ テ ィ ブに制限されているため、GTP ト ラ ンシーバ数

にする と 8 個まで使用でき ます。 こ の構成の場合、1 つの ク ロ ッ クは 4 個の GTP_DUAL プ リ ミ テ ィ

ブの う ち上部にあ る ものを、 も う 1 つの ク ロ ッ クは下部にあ る ものを ク ロ ッ ク ソース (これらは直

接隣接し ている) と し ます。

2 番目の方法は、 大 7 個の GTP_DUAL に使用でき るため、 出力が 1 つの外部マルチプレ ク サを

使用する と、GTP ト ラ ンシーバ数で 14 個まで使用でき ます。 この構成の場合、 ク ロ ッ ク を ソース と

する GTP_DUAL プ リ ミ テ ィ ブは、互いに直接隣接し ている 7 個の GTP_DUAL の中央に位置し ま

す。 ク ロ ッ ク マルチプレ ク サのどの出力も使用されていない と き、 こ のソ リ ューシ ョ ンは n × 7 倍

個の GTP_DUAL プ リ ミ テ ィ ブ、 し たがって、 n ×14 個の GTP ト ラ ンシーバまで拡張可能です。

AC カ ッ プ リ ング

オシレータ リ フ ァ レン ス ク ロ ッ ク出力から GTP_DUAL リ フ ァ レ ンス ク ロ ッ ク入力間の AC カ ッ

プ リ ングは、 次のよ う ない く つかの役割を果た し ます。

• オシレータ と GTP_DUAL 専用ク ロ ッ ク入力ピン間の DC 電流をブロ ッ ク し ます (これによ

り 、 両方の消費電力が削減されます)。

• 同相電圧を独立させます。

• AC カ ッ プ リ ング キ ャパシ タがオンチ ッ プ終端を持つハイ パス フ ィ ルタ と な り 、 リ フ ァ レ ン

ス ク ロ ッ クのワ ンダーを低下させます。 (1)

ノ イ ズおよび消費電力を 小限にするため、 ソース と なっているオシレータおよび GTP_DUAL 専

用 リ フ ァ レ ン ス ク ロ ッ ク入力ピ ンの間に AC カ ッ プ リ ング キ ャパシ タが必要です。

未使用リ フ ァ レ ンス ク ロ ッ ク入力のク ロ ッ ク転送

未使用の差動入力ピンのク ロ ッ ク ペア (MGTREFCLKP および MGTREFCLKN) は共に、グ ラ ン ド

に接続するか、 フ ローテ ィ ングのま まにする こ と を推奨し ます。

ベンダーおよびデバイス一覧

表 10-4 に、ベンダーおよびデバイ ス をベンダーのアルフ ァベッ ト 順に記載し ます。製品を検討する

際の参考と し て く ださ い。

メ モ : この表は、 推奨、 公認、 または保証するデバイ ス を示すものではあ り ません。

1. ワ ンダー と は、 低周波数のジ ッ タです。

表 10-4 : ベンダーおよびデバイス例

ベンダー ウ ェ ブ サイ ト 製品 例 その他

Analog Devices

http://www.analog.com 電圧レギュ レータ

Epson http://www.eea.epson.com オシレータ EG-2121CA (53.125~ 500MHz オシレータ)

Page 224: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

224 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

REFCLK ガイ ド ラ イ ンR

Integrated Systems

http://www.icst.com オシレータ、

バ ッ フ ァ、 PLLHiPer ク ロ ッ ク フ ァ ミ リ

Linear Technology

http://www.linear.com 電圧レギュ レータ LTC3026 (1.5A、 0.4 ~ 2.6V で

調整可能)

一 部 の レ ギ ュ レ ー タ は

SPICE モード に対応。無償の

シ ミ ュ レーシ ョ ン ツール も

提供

Maxim http://www.maxim-ic.com 電圧レギュ レータ

Micrel http://www.micrel.com オシレータ、

ク ロ ッ ク バッ フ ァ

SY100EP14U (2:1 MUX 付き の 1:5 ド ラ イバ)

Murata http://www.murata.com EMI の抑制、

フ ェ ラ イ ト ビーズ、

チ ッ プ キ ャパシタ

S パラ メ ータ、シグナル イ ン

テ グ リ テ ィ のデザ イ ン ラ イ

ブラ リ 、 それらのコ ンポーネ

ン ト 用 の 無 償 シ ミ ュ レ ー

シ ョ ン ツールを提供

(http://www.murata.com/designlib/index.html)

National Semiconductor

http://www.national.com 電圧レギュ レータ LP-3878-ADJ

ON Semiconductor

http://www.onsemi.com 電圧レギュ レータ NCP5663 (3.0A、

小 0.9V、 調整可能)

Silicon Laboratories

http://www.silabs.com オシレータ、

ク ロ ッ ク 乗 算 器、

ジ ッ タ減衰器

Si530 フ ァ ミ リ (10 ~ 1400MHz)

TDK http://www.component.tdk.com

フ ェ ラ イ ト ビーズ、

キ ャパシ タ

シ ミ ュ レ ーシ ョ ン を サポー

ト す る コ ン ポーネ ン ト S パ

ラ メ ータ、シグナル イ ンテグ

リ テ ィ ツールのデザイ ン ラ

イブラ リ を提供

(http://www.component.tdk.com/tvcl_sparam.php)

Texas Instruments

http://www.ti.com 電圧レ ギ ュ レー タ、

PLL、 バッ フ ァ

Vectron http://www.vectron.com オシレータ

X2Y Attenuators, LLC

http://www.x2y.com 低 イ ン ダ ク タ ン ス

キ ャパシ タ

表 10-4 : ベンダーおよびデバイス例 (続き)

ベンダー ウ ェ ブ サイ ト 製品 例 その他

Page 225: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 225UG196 (v1.8.1) 2008 年 12 月 1 日

第 10 章 : GTP およびボー ド間のイン ターフ ェ イス R

電源供給

はじめに

こ のセ ク シ ョ ンでは、 GTP_DUAL プ リ ミ テ ィ ブのアナロ グ電源ピンの 1 つに接続されている専用

フ ィ ルタ ネ ッ ト ワーク それぞれを直接ソース とする電圧レギュ レータの選択について重点的に説

明し ます。

電圧レギュ レータは、 次のよ う な特徴で評価されます。

• 入力電圧範囲

• 出力電圧範囲

• 出力電圧電流

• 出力電圧耐性

• 出力ノ イ ズ電圧

• 電源電圧の リ ッ プル除去比 (PSRR)

これらの特徴は、GTP ト ラ ンシーバを含むデザイ ンで使用する電圧レギュ レータ を決定する際の選

択基準と な り ます。 出力電圧ノ イ ズおよび対周波数の PSRR は軽視されがちですが、 重要な基準項

目です。

大まかな目安と し て、 周波数範囲が 1MHz 以上の場合に電源ラ イ ンで発生する大き な ノ イ ズは、

ジ ッ タの原因と な り ます。 周波数の範囲および幅によ って異な り ますが、こ のよ う な ノ イ ズは、シス

テム パフ ォーマンス全体を低下させる可能性があ り ます。 特に、 ト ラ ンシーバの内部アナロ グ回路

を ソース とする AVCC 電源ピン、および GTP_DUAL プ リ ミ テ ィ ブの共有 PMA PLL を ソース とす

る AVCC_PLL 電源ピンは、 電源ノ イ ズの影響を受けやす く なっています。

電力分配システム (PDS) を設計する場合、システム全体および各レギュ レータの PSRR は負荷電流

と周波数によ って異な り ます。

説明

リ ニア レギュ レータの選択基準

リ ニア レギュ レータ を選択する際の基準は、 次の とお り です。

• 『Virtex-5 データ シー ト : DC 特性およびス イ ッ チ特性』 で指定されている特性以上を満たし て

いる。

• リ ニア レギュ レータの PSRR は、 電源またはレギュ レータによ って ノ イ ズが発生する周波数

範囲を減衰でき る。 調整可能なレギュ レータ を使用し、 必要に応じ て電圧を変更する。

Page 226: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

226 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

電源供給R

リ ニア レギュ レータにおける PSRR と周波数の関係 (図 10-11) は、温度および負荷電流に依存し ま

す。 こ の例に示すレギュ レータの PSRR は、局部的に 小 リ ッ プル除去が 300KHz と なっている た

め、 こ の電圧範囲でソース電源に大き なゆれや高い振幅があ る場合、 特に注意が必要です。

ソース を供給し ている電源が変更不可または別の電源が選択不可の と き、 ソース電源の出力と リ ニ

ア レギュ レータの入力間に追加のフ ィ ルタ ネ ッ ト ワークが必要と な り ます。 これによ り 、 小限の

注意を払った設計を行 う こ と で大き な ノ イ ズが リ ニア レギュ レータに渡されるのを回避でき ます。

レギュ レータの出力にあ る キ ャパシタは、 レギュ レータ制御ループの一部であ るため、 レギュ レー

タの安定性だけでな く PSRR がこ のキ ャパシ タの影響を受けます。

レギュ レー タ設計のガイ ド ラ イ ン

レギュ レータ を設計する際の基準は、 次の とお り です。

• VOUT + VDROPOUT をわずかに上回る VIN を使用し てレギ ュ レータ を動作させない。

• ド ロ ッ プアウ ト 電圧は、 負荷に大き く 依存し ている こ と に注意する。

• レギュ レータの安定性およびパフ ォーマン スは、 次の場合にのみ保証されている こ と に注意す

る。 レギュ レータの入力、出力、およびバイパス ピンに適切なデカ ッ プ リ ング キ ャパシタ (値、

ESR、 誘電体が適切) を使用し ている。

• レギュ レータのデータ シー ト に記載されている配置規則に厳密に従 う 。

• レギュ レータの出力電流は 大値で使用し ない (あ らゆる動作条件下、 特に高温での動作に余

裕を持たせる)。

• 可能な場合は、 レギュ レータ を フ ィ ルタ ネ ッ ト ワークの側に配置する。

• フ ィ ルタ ネ ッ ト ワークは、 ソースであ る アナロ グ電源ピ ンに可能なかぎ り 近接配置する。

• レギュ レータの PSRR は、 出力負荷電流および周波数に依存する こ と に注意する。

図 10-11 : リ ニア レギュ レータ における PSRR と周波数の関係

(Hz)UG196_c10_10_100506

リップル除去率

(dB

)

Page 227: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 227UG196 (v1.8.1) 2008 年 12 月 1 日

第 10 章 : GTP およびボー ド間のイン ターフ ェ イス R

フ ェ ラ イ ト 選択のガイ ド ラ イ ン

フ ェ ラ イ ト を選択する際の基準は、 次の とお り です。

• DC 抵抗が低いフ ェ ラ イ ト を選択する。

• フ ェ ラ イ ト 電流定格は 大値で使用し ない。 (1)

• ゆれまたは ノ イ ズ レベルが も高 く なる と考え られる周波数範囲におけ る イ ンピーダン スが

高いフ ェ ラ イ ト を選択する。

図 10-12 に、 異なる フ ェ ラ イ ト における イ ンピーダン ス と周波数の関係を示し ます。

フ ェ ラ イ ト の製造元は同じで、フ ッ ト プ リ ン ト も同一です。また、100MHz で 220Ω の標準イ ンピー

ダン スがあ り ます。 負荷電流の変化が GTP_DUAL 電源ピンの電源電圧に与え る影響を 小限に抑

え るには、低い DC イ ンピーダンスが必要です。 一部の メ ーカーは、フ ェ ラ イ ト およびキ ャパシタ用

のモデル ラ イブラ リ によ り 、 S パラ メ ータ、 登録商標権のないシ ミ ュ レーシ ョ ン ツール、 あ るいは

サード パーテ ィ のシ ミ ュ レーシ ョ ン ツールのサポー ト を提供し ています。 これら を使用する こ と

で、 フ ィ ルタ回路の 適化が可能と な り ます。

リ ニア レギュ レータの出力ピンに残っている ノ イ ズのスペク ト ラ ムに応じ て、 適な特性を持つ

フ ェ ラ イ ト を選択し て く ださ い。 1MHz 以上の高周波数範囲におけ る振幅の大き なゆれには、 特に

注意が必要です。

1. フ ェ ラ イ ト の電流定格を 大値で使用する と、 フ ェル ト 本体の磁性材が飽和し、 高周波数の抑制性能に影響を与え

ます。

図 10-12 : 異なる フ ェ ラ イ ト における インピーダンス と周波数の特性

1000

750

500

250

01 10 100

Frequency (MHz)

BLM15AG221SN1BLM15BB221SN1BLM15BD221SN1BLM15EG221SN1BLM15HB221SN1

1000

UG196_c10_11_112107

Page 228: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

228 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

電源供給R

キャパシ タ選択のガイ ド ラ イ ン

キ ャパシタ を選択する際の基準は、 次の とお り です。

• 低イ ンダ ク タ ン ス キ ャパシ タ

• 温度係数が低い誘電材質

• 周波数係数が低い誘電材質

フ ィ ルタ ネ ッ ト ワーク設計のガイ ド ラ イ ン

フ ィ ルタ ネ ッ ト ワーク を選択する際の基準は、 次の とお り です。

• フ ィ ルタ ネ ッ ト ワークは、 デバイ スの電源ピ ンに可能な限 り 近接させて配置する。

• キ ャパシタ と電源ピン間は、 低いイ ンピーダン スで接続する。

• 可能な場合は、 フ ィ ルタ回路のシ ミ ュ レーシ ョ ンを行い、 その回路を 適化する。

• フ ィ ルタおよび FPGA ピン間のアナロ グ電源プレーンを絶縁する。こ の電源に容量結合または

誘導結合する信号がないこ と を確認する。

バウンダ リ スキャ ン テス ト のガイ ド ラ イ ン

製品検証の一部と し てバウ ンダ リ ス キ ャ ンを使用する場合は、デバイ スの GTP_DUAL タ イルすべ

てのアナロ グ供給電圧ピン MGTAVCC を必ずオンにし て く だ さい。 未使用の GTP_DUAL タ イル

すべてのアナロ グ供給電圧ピン MGTAVCC は VCCINT に供給する供給 と接続する必要があ り ます。

VCCINT は内部コ ア ロジ ッ ク用の電力供給ピ ンです。

未使用または一部使用の GTP_DUAL 列のガイ ド ラ イ ン

全体または一部未使用の GTP_DUAL 列が、 次のよ う な条件に当てはま る こ と があ り ます。

• 完全に未使用の GTP_DUAL 列

まれに Virtex-5 LXT または SXT デバイ ス内の GTP_DUAL タ イルがまった く 使用されない、つ

ま り GTP_DUAL 列全体が未使用な場合があ り ます。 このよ う な状態のと きは、 GTP_DUAL タ

イルの次のピンまたはピン ペア を表 10-5 に示すよ う に接続する必要があ り ます。

表 10-5 : 未使用の GTP_DUAL 列での GTP_DUAL 電源供給接続およびキャ リ ブレーシ ョ ン抵抗

入力

ピンまたはピン ペア 接続先

MGTRXP/MGTRXN GND

MGTTXP/MGTTXN フ ローテ ィ ング、 接続な し

MGTREFCLKP/MGTREFCLKN フ ローテ ィ ング、 接続な し

MGTAVTTTX GND

MGTAVTTRX GND

MGTAVTTRXC フ ローテ ィ ング、 接続な し

MGTAVCCPLL GND

MGTAVCC (1) VCCINT またはGND

MGTRREF GND

メ モ :

1. バウ ンダ リ スキ ャ ンが製品検証の一部 と し て使用される場合は、 すべての GTP_DUAL タ イルのアナロ グ供給電圧ピ ン MGTAVCC ピ ン を フ ィ ルタせずに直接 VCCINT ピ ンに接続する必要があ り ます。バウ ンダ リ ス キ ャ ンが製品検証の一部ではない場合、 MGTAVCC ピ ン を GND に接続し ます。

Page 229: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 229UG196 (v1.8.1) 2008 年 12 月 1 日

第 10 章 : GTP およびボー ド間のイン ターフ ェ イス R

• 一部を使用し ている GTP_DUAL 列

未使用 GTP_DUAL タ イル 1 列を ク ロ ッ ク転送に使用する場合、MGTAVCC ピンに電源を供給

する 必要があり ま すが、 フィ ルタ 処理は不要です。 それ以外のピンは、 表 10-6 に示すガイ ド ラ

イ ンに従う 必要があり ま す。

未使用の GTP_DUAL タ イルが ク ロ ッ ク転送に使用されていない と き、 未使用の GTP_DUAL タ イルのピンまたはピン ペアは次の表に示すよ う に接続する必要があ り ます。

SelectIO と GTP のク ロス ト ークのガイ ド ラ イ ン

SelectIOTM を多用する環境では、 GTP ト ラ ンシーバのパフ ォーマン スが低下する可能性があ る た

め、GTP ト ラ ンシーバのパフ ォーマンスに与え る影響を 小にする SelectIO の使用ガイ ド ラ イ ンを

持つこ と が重要です。

Virtex-5 FPGA のパッ ケージでは、 パッ ケージに関連し た ク ロ ス ト ーク の問題はほ と んど発生し ま

せんが、デバイ スのピン配置によ っては、カス タマ デザイ ンが PCB と ビアのク ロ ス ト ーク の問題の

影響を受けやす く なる こ と があ り ます。 SelectIO 信号 (Aggressor) と GTP ト ラ ンシーバのアナロ グ

電源 (Victim) が近接し ている こ と で、PCB と ビア も近接し た構造と な り ます。 こ のよ う な接近し た

ボール配置によ ってビアが近接する こ と で、SelectIO 信号および GTP ト ラ ンシーバのアナロ グ電源

(ボード上の電源フ ィ ルタ リ ングでフ ィ ルタ されない) の間にビア カ ッ プ リ ング領域が生じ ます。

Aggressor 回路によ って Victim 回路上に発生する ク ロ ス ト ーク電圧の量は、 Aggressor におけ る回

路の変化率と 2 つの回路間で共有される相互イ ンダ ク タ ンス を乗算し た ものにな り ます。 多様なビ

ア構成での、 ビ アの ク ロ ス ト ー ク および相互イ ンダ ク タ ン ス の算出に関する考察を深め る 際は、

『High-Speed Signal Propagation: Advanced Black Magic』 (Howard Johnson and MartinGraham 共

著) [参照 6] を参照し て く ださ い。 GTP ト ラ ンシーバのアナロ グ電源が PCB のカ ッ プ リ ング され

た ノ イ ズの影響を受けやすい と、 GTP ト ラ ンシーバのパフ ォーマン スが低下し ます。 カ ッ プ リ ング

された ノ イ ズに対し て も敏感な電源は、 MGTAVCC および MGTAVCCPLL です。

GTP ト ラ ンシーバのパフ ォーマンスへの影響を 小にするには、 次の BGA 隣接ガイ ド ラ イ ンに従

います。

メ モ : BGA 隣接 ガイ ド ラ イ ンは、 表 10-7 ~ 表 10-13 に リ ス ト されていないパッ ケージ デバイ ス

の組み合わせでも従 う 必要があ り ます。

• SelectIO ネ ッ ト は、 GTP ト ラ ンシーバのアナロ グ電源ピ ンから 1.0mm (平行または垂直方向) あ るいは 1.4mm (対角線方向) 以上離れた位置では使用し ないで く ださ い。 PCB でのこれらの

表 10-6 : 一部未使用の GTP_DUAL 列に対する GTP_DUAL電源供給接続

ピンまたはピン ペア 接続先

MGTRXP/MGTRXN GND

MGTTXP/MGTTXN フ ローテ ィ ング、 接続な し

MGTREFCLKP/MGTREFCLKN フ ローテ ィ ング、 接続な し

MGTAVTTTX GND

MGTAVTTRX GND

MGTAVCCPLL GND

MGTAVCC (1, 2) VCCINT

メ モ :

1. すべての未使用の GTP_DUAL タ イルのアナロ グ供給電圧ピ ン MGTAVCC ピ ンは VCCINT ピ ンへの供給元に接続する必要があ り ます。 VCCINT ピ ンは、 内部コ ア ロ ジ ッ ク用の電源供給ピ ンです。 VCCINT は、『Virtex-5 FPGA PCB デザイナーズ ガイ ド 』 のガ イ ド ラ イ ンに従 う よ う にし て く だ さ い。

2. GTP ト ラ ンシーバが列内で使用される場合は、 キ ャ リ ブレーシ ョ ン ブロ ッ ク を含む GTP_DUAL 112 タ イルtを 215 ページの図 10-2 に示すよ う に接続する必要があ り ます。

Page 230: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

230 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

SelectIO と GTP のク ロス ト ークのガイ ド ラ イ ンR

SelectIO 位置はグ ラ ン ド に接続し、 SelectIO 出力を も高い駆動強度および強制的に Low に

なる よ う 設定し ます。 これらの SelectIO 出力を使用し なければな ら ない場合は、 差動信号送信

のアプ リ ケーシ ョ ンで使用するか、低速かつ低駆動能力のス タ テ ィ ッ ク制御/ステータ ス信号に

使用し て く ださ い。

• GTP ト ラ ンシーバ近辺の I/O バン クでは、 多数の SelectIO 信号を使用し ないよ う にし て く だ

さ い。 表 10-7 に、 特定の Aggresive I/O バン ク と GTP ト ラ ンシーバのペア リ ングを示し ます。

• 高駆動能力/高速のアプ リ ケーシ ョ ンで SelectIO ピンを使用する必要があ る場合、 ボード の

上部から数層下にあ るプレーンまたは幅の広いバスで、GTP ト ラ ンシーバのアナロ グ電源を供

給し て く ださ い。 GTP ト ラ ンシーバのアナロ グ電源には、 スルー ビア よ り ブラ イ ン ド ビアの

使用が適し ています。 こ のよ う な電源プレーン またはバスの上下は、 グ ラ ン ド プレーンで保護

し て く ださ い。

• GTP ト ラ ンシーバのアナロ グ電源ピ ンへの電源供給にスルー ビアが必要な場合、 これらのビ

アへの信号配線には、 FPGA に も近接し た層を使用し て く ださ い。 なお、 GTP ト ラ ンシーバ

の高速信号およびアナロ グ電源配線のイ ンプ リ メ ン ト 後に、 上位層にあ る SelectIO ネ ッ ト を

配線し て く ださ い。

• ボード の下部から GTP ト ラ ンシーバの電源を供給する と きは、 利用可能な配線層で も上部

にあ る SelectIO ネ ッ ト を配線し ます。

確実にワース ト ケースであ る と考え られるのは、GTP ト ラ ンシーバのアナロ グ電源にボード下部か

ら電源供給し、すべての SelectIO 出力を高駆動能力かつ高速で動作させた上、下位の配線層に配線

し ている場合です。 SelectIO ネ ッ ト を GTP ト ラ ンシーバのアナロ グ電源ピンに隣接させない場合

の詳細は、 264 ページの 「BGA エス ケープの例」 を参照し て く ださい。

GTP ト ラ ンシーバのパフ ォーマン スに も大き な影響を与え る SelectIO 信号は、そのはんだボール

が GTP ト ラ ンシーバのアナロ グ電源のはんだボール と近接し ている (BGA 近接) 信号です。表 10-8 ~ 表 10-12 に、 SelectIO ス イ ッチングがあ る と きに 大の GTP パフ ォーマン ス を実現する ための

ピンに関する推奨ガイ ダン ス を示し ます。 これらの表では、 特に、 GTP ト ラ ンシーバのアナロ グ電

源ピンおよび REFCLK ピンから 1.0mm または 1.4mm 離れている ピンを特定し ています。 あ る ピ

表 10-7 : Aggressive I/O バン ク

GTP_DUAL FF665 FF1136 FF1738

MGT112 12 12 12

MGT114 12 18 18

MGT116 12/16 12 12

MGT118 12/18 18 18/26

MGT120 12/20 12/20

MGT122 22 18/26

MGT124 20

MGT126 22 26

MGT128 20/24

MGT130 34

MGT132 24

MGT134 34

Page 231: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 231UG196 (v1.8.1) 2008 年 12 月 1 日

第 10 章 : GTP およびボー ド間のイン ターフ ェ イス R

ンから 2 つの異なる GTP ト ラ ンシーバ アナロ グ電源ピ ンまでの距離が 1.0mm および 1.4mm であ

る と きは、 1.0mm 列にのみ記載されています。

また、 アナロ グ電源ビアを SelectIO 信号からのアナロ グ電源の電源フ ィ ルタ ネ ッ ト ワーク に接続

し ている ト レース またはプレーンを適切に保護する こ と が重要です。このための 良の方法 と し て、

アナロ グ ト レース またはプレーンを含む層の上下に GND プレーンを配置する よ う にし ます。

表 10-8 : アナログ電源に近接する SelectIO ネ ッ ト (FF665 パッ ケージ)

GTP_DUAL タ イル 1mm 1.4mm

MGT116 E5 D5、 F5、 G4

MGT112 L5 K5

MGT114 U5 T5、 W4

MGT118 AD4 AB5、 AD5

表 10-9 : MGTCLK に近接する SelectIO ネ ッ ト (FF665 パッ ケージ)

GTP_DUAL タ イル 1mm 1.4mm

116_REFCLK D5 E5

112_REFCLK K5 J5、 L5

114_REFCLK T5 R5、 U5

118_REFCLK AB5 AA5

表 10-10 : アナログ電源に近接する SelectIO ネ ッ ト (FF1136 パッ ケージ)

GTP_DUAL タ イル 1mm 1.4mm

MGT124(1) E7 E8、 E6

MGT120 F5 E6、 G5

MGT116 J5 H5、 L4

MGT112 P5

MGT114 AA5 AB5、 AC4

MGT118 AG5 AF5、 AH5

MGT122 AK6 AH5、 AJ6

MGT126(1) AK8 AK7、 AK9、 AL10

メ モ :

1. GTP_DUAL タ イ ルは、 XC5VSX95T、 XC5LX110T、 およ び XC5VLX155T デバイ ス でのみ使用可能です。

表 10-11 : MGTCLK に近接する SelectIO ネ ッ ト (FF1136 パッ ケージ)

GTP_DUAL タ イル 1mm 1.4mm

124_REFCLK(1) E8 E7、 E9

120_REFCLK F5 -

116_REFCLK H5 G5、 J5

112_REFCLK P5 N5

114_REFCLK AA5

118_REFCLK AF5 AG5

Page 232: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

232 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

SelectIO と GTP のク ロス ト ークのガイ ド ラ イ ンR

122_REFCLK AK6

126_REFCLK(1) AK7 AK6、 AK8

メ モ :

1. GTP_DUAL タ イ ルは、 XC5VSX95T、 XC5LX110T、 およ び XC5VLX155T デバイ ス でのみ使用可能です。

表 10-12 : アナログ電源に近接する SelectIO ネ ッ ト (FF1738 パッ ケージ)

GTP_DUAL タ イル 1mm 1.4mm

MGT132(1) E15 E14、 D13

MGT128(1) E9 E10、 E8、 D7

MGT124 E5

MGT120 F5、 H5

MGT116 N5 P5、 R4

MGT112 W5 V5

MGT114 AE5 AD5、 AF5、 AG4

MGT118 AL5 AK5、 AN4

MGT122 AT5、 AV5

MGT126 AV5 AV6

MGT130(1) AV10 AV9、 AV11、 AW12

MGT134(1) AV16 AV15、 AW18

メ モ :

1. GTP_DUAL タ イルは、 XC5LX330T デバイ スでのみ使用可能です。

表 10-13 : MGTCLK に近接する SelectIO ネ ッ ト (FF1738 パッ ケージ)

GTP_DUAL タ イル 1mm 1.4mm

132_REFCLK(1) E17、 E15

128_REFCLK(1) E10 E9

124_REFCLK

120_REFCLK F5 E5

116_REFCLK L5、 N5

112_REFCLK V5 W5

114_REFCLK AD5 AC5、 AE5

118_REFCLK AK5 AJ5、 AL5

122_REFCLK AT5 AR5

126_REFCLK AV5

130_REFCLK(1) AV9 AV8、 AV10

134_REFCLK(1) AV15 AV14、 AV16

メ モ :

1. GTP_DUAL タ イルは、 XC5LX330T デバイ スでのみ使用可能です。

表 10-11 : MGTCLK に近接する SelectIO ネ ッ ト (FF1136 パッ ケージ) (続き)

GTP_DUAL タ イル 1mm 1.4mm

Page 233: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 233UG196 (v1.8.1) 2008 年 12 月 1 日

R

セクシ ョ ン 2 : ボー ド レベルの設計

こ のセ ク シ ョ ンでは、 イ ン ターコネ ク ト が伝送ラ イ ンの動作を示すシステムおよびボード を設計す

る際の一般的なガイ ド ラ イ ンについて説明し ます。 こ の状態は、 信号の立ち上が り 時間または立ち

下が り 時間が 、イ ン ターコネ ク ト の一端から も う 一端までのフ ラ イ ト タ イ ムの 2.5 倍よ り 短い場合

に発生し ます。 これらの設計ガイ ド ラ イ ンは、 高速 ト ラ ンシーバを使用するすべてのデザイ ンに適

用されます。

これらのガイ ド ラ イ ンは、10Gb/s を超え る転送レー ト でも適切に動作するボード を設計する ために

使用されてき ま し た。 アプ リ ケーシ ョ ンの速度要件が低速な場合、10Gb/s で動作させる よ う な設計

は不要だ と思われるかも しれませんが、 必要に応じ て制約を緩和でき る こ と を知った上で低速デザ

イ ンを設計する方が望ま しいものです。 また、高速 メ モ リ イ ン ターフ ェ イ スなど、 シグナル イ ンテ

グ リ テ ィ 要件が厳しいその他のイ ン ターフ ェ イ スでも 10Gb/s デザイ ンの設計方法に従 う こ と でパ

フ ォーマン ス を向上させる こ と ができ ます。

こ のセ ク シ ョ ンは、 次の章で構成されています。

「デザイ ン制約の概要」

「PCB 材質と ト レース」

「 ト ラ ンジシ ョ ンの設計」

「ガイ ド ラ イ ンおよび例」

Page 234: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

234 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

R

Page 235: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 235UG196 (v1.8.1) 2008 年 12 月 1 日

R

第 11 章

デザイ ン制約の概要

図 11-1 に、 2 個の ト ラ ンシーバ間の一般的な物理的相互接続 ト ポ ロジを示し ます。 2 つの高速シ リ

アル ト ラ ンシーバをポ イ ン ト 接続し ている任意の物理的 リ ン クがチャネル と し て定義されます。

チャネルは、 ダ イにあ る ト ラ ン ス ミ ッ タのはんだバンプを起点と し、 レシーバのはんだバンプを終

点と し ます。

チャネルは、図 11-1 に示すよ う に、FPGA パッ ケージ、伝送ラ イ ン、コネ ク タ、および ト ラ ンジシ ョ

ンで構成されます。

ト ラ ンジシ ョ ンは、 マルチギガビ ッ ト チャネルで、 信号が伝送ラ イ ンから 3 次元構造になる、 また

は 3 次元構造から伝送ラ イ ンに入る任意の部分と し て定義し ます。 ビア、コ ネ ク タ、およびカ ッ プ リ

ング キ ャパシ タが、 この構造の例 と し て挙げられます。

ト ラ ンジシ ョ ンについては、第 13 章 の 「 ト ラ ンジシ ョ ンの設計」 で詳細に説明されていますが、一

般的な ものは次の とお り です。

• BGA (ボール グ リ ッ ド アレ イ ) から PCB マイ ク ロ ス ト リ ッ プ

• マイ ク ロ ス ト リ ッ プから ス ト リ ッ プラ イ ンのビア

• DC ブロ ッ キング キ ャパシ タ

• コネ ク タ

• ト レースの屈曲や曲が り 角

パフ ォーマン ス を 適にするには、 チャネル内で次の 2 つを 小限に抑え る必要があ り ます。

• 伝送媒体での損失によ る信号減衰

• 各 ト ラ ンジシ ョ ンでのイ ンピーダン ス遷移によ って発生し得る信号の反射、 リ ンギングなどの

マイナスの副作用的動作

ギガヘルツ単位の速度で信号を送信する場合、周波数が上がるに従って信号減衰が大き く なる ため、

伝送媒体によ る損失も顕著にな り ます。高周波数コ ンポーネン ト の減衰によ ってエッ ジが低速化し、

図 11-1 : リ ン ク を形成し ている 2 個の ト ラ ンシーバ

TX

BGA

TXP

TXN

UG196_c11_01_051406

Page 236: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

236 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ト ラ ンシーバの消費電力R

電圧幅が減少するため、 ア イが狭 く な り ます。 ス タ ッ ク ア ッ プおよび特性 ト レース イ ンピーダン ス

デザイ ンのガイ ド ラ イ ンは、 第 12 章 の 「PCB 材質 と ト レース」 を参照し て く だ さい。

各チャ ンネルには複数の ト ラ ンジシ ョ ンがあ り ますが、 そのほ と んど、 あ るいはすべてがパフ ォー

マン スへのマイナス影響を 小にし て設計可能です。 一般的に、 適化されていない PCB 構造は、

周波数がギガヘルツになる と容量が大き く なる傾向にあ るため、 過剰容量が ト ラ ンジシ ョ ンの便宜

的な指数と な り ます。 理想的な ト ラ ンジシ ョ ンには過剰な容量やイ ンダ ク タ ン スはあ り ません。

一般的な ト ラ ンジシ ョ ンでは、 過剰な容量およびイ ンダ ク タ ン スは 初のパスに堅牢なチャネルを

構築する こ と で抑制でき ます。 こ のよ う な場合の設計ルール、 手法、 および例は、 第 13 章 の 「 ト ラ

ンジシ ョ ンの設計」 に記載されています。これらは、チャネル全体におけ る イ ンピーダン スの変動を

厳密に制御する こ と を目的と し ています。

通常、 高速シ リ アル PCB ト レースにおけ る コ ンポーネン ト 数およびレ イ ヤ変更を 小限に抑え る

こ と が 大の効果を も た ら し ます。 ギガヘルツの速度で動作するデザイ ンでは、 ト レース、ビア、お

よびコネ ク タ パッ ド の慎重な設計が求められます。

ト ラ ンシーバの消費電力

低い リ ン ク エラー率と信頼性の高いシステム レベル動作を実現するには、ノ イ ズのない電源を ト ラ

ンシーバに供給する こ と が重要な要素です。 こ のセク シ ョ ンでは、 ト ラ ンシーバの電源設計時に従

う べき一般的な原則について説明し ます。

電源を ソースから直接供給するには、 リ ニア レギ ュ レータが必要です。 高い電力効率を要する アプ

リ ケーシ ョ ンでは、 レギュ レータ を切 り 替え る と い う 代替オプシ ョ ンがあ り ます。 しかし、 レギュ

レータは ノ イ ズを除去する よ う 注意を払って設計し た場合でも、ス イ ッ チング ノ イ ズを引き起こす

可能性があ るため、 こ のオプシ ョ ンは、 ト ラ ンシーバがソースから直接電源供給されている と きは

適切ではあ り ません。

電力分配アーキテ クチャ

システム レベルの設計では、 通常、 ボード上にあ るデバイ スへの電源供給に複数の電圧レベルが必

要です。 先端のプロセス テ ク ノ ロジを採用し ているデバイ スの電源は、 一般的に約 1V の低電圧

で、 こ のよ う な低電圧では、 電源の ノ イ ズ レベルを 小限に抑え る こ と が重要と な り ます。

こ のため、 ザイ リ ン ク スでは POL (Point-of-Load) 電源分配手法の使用を推奨し ています。 POL で

は、 そ の 名 前 の 由 来 ど お り 、 電 源 を 電 源 投 入 す る デ バ イ ス の 側 に 置 き ま す。 詳 細 は、

http://japan.xilinx.com/publications/xcellonline/xcell_57/xc_pdf/p105-107_57-bellinix.pdf を参照

し て く ださ い。 こ の方法は、 一連の ト ラ ンシーバに別々の リ ニア レギュ レータ を使用する場合にも

拡張でき、 次のよ う な利点があ り ます。

• エラー発生を回避する こ と で、 システムの信頼性が向上する

• 異なる リ ン ク イ ン ターフ ェ イ スの要件を満たすよ う 、一連の ト ラ ンシーバの電源電圧を個別に

調節でき る

• 各レギュ レータの電源要件を下げる こ と で物理的なサイ ズを縮小し、ボード レ イ アウ ト を簡潔

にするだけでな く 、 ボード のホ ッ ト スポ ッ ト を削除する

図 11-2 に、POL 電力分散手法が、どのよ う に ト ラ ンシーバの電源供給に適用されるかを示し ます。

Page 237: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 237UG196 (v1.8.1) 2008 年 12 月 1 日

第 11 章 : デザイン制約の概要 R

ほ と んどの場合、 リ ニア レギ ュ レータは電源を切 り 替え る こ と によ って駆動されます。 レギュ レー

タやフ ィ ルタ回路によ り 、 リ ッ プルおよびその他のス イ ッ チング ノ イ ズの悪影響が確実に削除され

る よ う に考慮し た設計を行って く ださ い。 LDO (Low Drop Out) リ ニア レギュ レータ を使用する場

合は、 入力での電圧ヘッ ドルームに制限があ り 、 レギュ レータによ る ノ イ ズ コ ンポーネン ト の除去

機能が低下するために通常以上の注意が必要です。

レギュ レータの選択

特定のレシーバに対する電圧レギュ レータの選択ガイ ド ラ イ ンに従 う 必要があ り ます。 ト ラ ンシー

バのパフ ォーマン スに関する問題の多 く は、 電源ノ イ ズが原因と なっています。

フ ィ ルタ処理

指定されたパフ ォーマン ス を達成するには、 特定のレシーバに対する電力分配システムのガイ ド ラ

イ ンに厳密に従 う 必要があ り ます。

リ フ ァ レンス ク ロ ッ ク

ク ロ ッ ク ソース

優れたパフ ォーマン スの実現には、 高品質の ク リ ス タル オシレータが不可欠です。 オシレータ製造

メ ーカーの電源設計ガイ ド に従 う 必要があ り ます。

別のク ロ ッ ク ソース を選択する場合、 も う 一方のオシレータ も ト ラ ンシーバのデータ シー ト で指定

されている仕様以上を満たす必要があ り ます。

アプ リ ケーシ ョ ンおよびそのパフ ォーマン ス目標によ っては、 ク ロ ッ ク ソースが仕様外であ る こ と

も可能ですが、 そのよ う な場合の ト ラ ンシーバのパフ ォーマン スは保証されません。

ク ロ ッ ク ト レース

ト ラ ンシーバのパフ ォーマン スは、その リ フ ァ レ ン ス ク ロ ッ クの質 と直接関係し ます。し たがって、

オシレータから FPGA への ク ロ ッ ク ト レースで優れたシグナル イ ンテグ リ テ ィ が実現される よ う

な設計が必要です。 これらの ク ロ ッ ク ト レースには、 第 13 章 の 「 ト ラ ンジシ ョ ンの設計」 で説明

し ている 10Gb/s の ト レース 設計と同じ手法を適用し て く ださ い。

図 11-2 : POL 電力分散アーキテ クチャ

UG196_c11_02_092006

Page 238: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

238 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

カ ッ プ リ ングR

2 つ以上の ク ロ ッ ク ソースが 1 つの リ フ ァ レ ンス ク ロ ッ ク差動ペアを駆動し ている場合、 高速ス

イ ッチが必要です。 複数の ク ロ ッ ク ソースが 1 つの接続上でバス と なっている と ス タブが存在する

ため、ク ロ ッ クのシグナル イ ンテグ リ テ ィ は 適にな り ません。 高速ス イ ッチの使用によ り 、1 つの

ド ラ イバおよび 1 つのレシーバですべての ク ロ ッ ク パス をポイ ン ト でつなぐ こ と ができ ます。

未実装のオシレータ と別のク ロ ッ ク ソースが、 ク ロ ッ ク入力ピンへ同一 ト レース を共有し ている場

合が こ の例 と し て挙げられます。 パ ッ ド か ら ジ ャ ン ク シ ョ ン までの一部の ト レースがス タブです。

パッ ド がオープン エン ド であ り 、 イ ンピーダン スが異なる こ と から、 その部分に向 う すべての信号

が反射されます。

1 つの リ フ ァ レ ン ス ク ロ ッ ク ソースが複数の入力を駆動する アプ リ ケーシ ョ ンでは、ク ロ ッ ク ラ イ

ンでのス タブおよび反射を削除するため、高速な ク ロ ッ ク バッ フ ァ を使用し て ク ロ ッ ク を分配させ

る必要があ り ます。

カ ッ プ リ ング

DC カ ッ プ リ ング

内部接続さ れたデバイ ス の同相範囲が同じ 場合は DC カッ プリ ングを使用でき ます。 同相範囲にずれ

がある と 、 差動電圧幅のマージンがなく なる だけでなく 、 デバイ ス を損傷さ せる 可能性が生じ ます。

AC カ ッ プ リ ング

AC カ ッ プ リ ングは 2 つのデバイ スの同相を分離する、ホ ッ ト プラ グ アプ リ ケーシ ョ ンによ く 使用

される コ ンフ ィ ギュ レーシ ョ ンです。 キ ャパシ タは、 接続されたデバイ ス間に DC 電流が流れない

よ う にし ます。

一部の ト ラ ンシーバは、バイパスする よ う にプロ グ ラ ム可能な DC ブロ ッ キング キ ャパシタ を内蔵

し ています。 システム レベルのパフ ォーマンス を満たすには、通常、外部に DC ブロ ッ キング キ ャ

パシタが必要です。

外部キャパシ タ値の選択

外部に DC ブロ ッ キング キ ャパシタが必要な場合、 適切なキ ャパシタ値を選択する こ と が重要で

す。 キ ャパシタ値の選択は、 次のよ う に相反する基準間の ト レード オフ と な り ます。

• ラ ン レ ングスが長いエン コード方法ほど、PDJ (パターン依存ジ ッ タ) を削減するために大き な

容量値が必要

• データ レー ト が高いほど、 エッ ジ レー ト の低下を抑え る ために小さ な容量値が必要

PDJ は DC バラ ン ス を 維持する ラ イ ン コ ーディ ン グを 使用する プロ ト コ ルでは問題ではあり ま

せん。 DC バラ ンス と は、 伝送さ れる 1 と 0 の平均数が等し い場合の特性です。 8B/10B は、 DC バラ ンス を 実現する ラ イ ン コ ーディ ン グ法の一例です。 8B/10B エン コ ード を 使用する 場合、

3.125Gb/s の AC カッ プリ ングには、 0402 (EIA) パッ ケージの 0.01µF のキャ パシタ が適し てい

ま す。

DC バラ ン スが保証されていないラ イ ン コーデ ィ ング法では、 一層注意を払った解析が必要です。

こ のよ う な方法の一例には SONET が含まれます。 SONET では十分なシンボルが確実に伝送され

ますが、DC バラ ン スは実現されません。 これ以降は、アプ リ ケーシ ョ ンに適し たブロ ッ キング キ ャ

パシタ値の選択に必要な理論について説明し ます。

Page 239: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 239UG196 (v1.8.1) 2008 年 12 月 1 日

第 11 章 : デザイン制約の概要 R

PCI Express および SATA などのプロ ト コルでは、 アプ リ ケーシ ョ ンにおけるブロ ッ キング キ ャパ

シタの範囲が指定されています。 これによ り 、仕様への準拠を容易にするだけでな く 、これらの仕様

に含まれる リ ン ク検出機能を適切に動作させる こ と ができ ます。

ブロ ッ キング キ ャパシ タ を終端抵抗 と共に使用する と、 ハイ パス フ ィ ルタ と し て機能し ます。 図 11-3 に、 リ ン ク の回路モデルの簡略図を示し ます。 133 ページの 「RX 終端およびイ コ ラ イゼー

シ ョ ン」 で説明し た よ う に、 内部のブロ ッ キング キ ャパシタは外部 リ ン クからの DC 電流をブロ ッ

クする役割を果た し ていないため、 こ のモデルでは図示し ていません。

ラ イ ンが一定時間以上、 オン状態に保持される と問題が発生し ます。 こ の際、 ブロ ッ キング キ ャパ

シタに電荷が蓄積し、 DC オフセ ッ ト が V2 に加算または V2 から減算されます。 こ のオフセ ッ ト

は、 ベース ラ イ ン変動と し て知られています (図 11-4 を参照)。 図 11-4 の VTH は、 し きい値電圧

です。

ベース ラ イ ン変動の影響と し て、 レシーバのし きい値地点に対し て信号がシフ ト し ます。 これによ

り 、信号での遷移が認識される時間に順を追ってずれが発生し ます。こ のずれの結果が PDJ です。 図

11-5 に、図 11-4 でジ ッ タが 大の範囲におけ る V1 および V2 のオーバーレ イ、およびい く つかの

重要なパラ メ ータ を示し ます。

表 11-1 : PCI Express および SATA のブロ ッキング キャパシ タ値

仕様 範囲要件

PCI Express Base Specification、 Revision 1.1 75nF ~ 200nF

Serial ATA Specification、 Revision 2.5 0nF ~ 12nF

図 11-3 : リ ン ク回路モデルの簡略図

図 11-4 : ベースラ イ ン変動および PDJ

RTERM

CIN

CIN RTERM

VREF

RXP

RXN

+

+

V1

+

–V2

+

TXP

–TXN

UG196_c11_03_112007

VTH

V1

V2

VTH

PDJ UG196_c11_04_091906

Page 240: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

240 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

カ ッ プ リ ングR

ブロ ッ キング キ ャパシ タ値を算出するには、 次の要素の値が必要です。

• tr : 信号の立ち上が り 時間

• T : ビ ッ ト 周期

• NCID : CID (Consecutive Identical Digits) の 大数

• PDJ : システムで許容可能な PDJ 量

図 11-5 から、 PDJ は次の式で求められる こ と がわか り ます。

式 11-1

電圧降下は、 式 11-2 を使用し て算出でき ます。

式 11-2

説明 :

• τ は RC 時間定数 (C は AC カ ッ プ リ ング キ ャパシ タ、 R = 2 x RTERM)。

• t は総放電時間で、 NCIDT に等しい。

傾きは式 11-3 のよ う に定義されます。

式 11-3

式 11-2 および式 11-3 を式 11-1 に代入する と、 C を求める式は次のよ う にな り ます。

式 11-4

式 11-4 を使用し て実際に値を求めるには、 8B/10B ラ イ ン コーデ ィ ングを使用し て 3.125 Gb/s で

動作する シ リ アル リ ン クに必要なブロ ッ キング キ ャパシタ値の算出が必要です。 こ の例では、次を

前提と し ています。

図 11-5 : PDJ の詳細図

• ビ ッ ト 周期 (T) 3.200 x 10-10 (3.125 Gb/s)

• 信号の立ち上が り 時間 (tr) 6.400 x 10-11 (0.2 UI)

• パターン依存ジ ッ タ (PDJ) 3.200 x 10-12 (0.01 UI)

• Consecutive Identical Digits (NCID) 5 (8B/10B で保証)

• 終端抵抗 (RTERM) 50Ω

VTH

UG196_c11_05_092006

V2V1

ΔV

PDJ

20%

80%

tr

PDJ VΔslope---------------=

VΔ 0.5VPP 1 e t– τ⁄–( )=

slope VPP0.6tr

-------×=

CT– NCID×

2 RTERM× 11.2PDJ

tr-------------------–⎝ ⎠

⎛ ⎞ln×-----------------------------------------------------------------------------=

Page 241: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 241UG196 (v1.8.1) 2008 年 12 月 1 日

第 11 章 : デザイン制約の概要 R

これらの値を式 11-4 に代入する と、 次のよ う にな り ます。

式 11-5

式 11-4 は、 問題のデータ パターンが 1 シーケン スの CID で構成されている場合にのみ有効です。

ブロ ッ ク コーデ ィ ング法やス ク ラ ンブルを使用するプロ ト コルでは、 よ り 複雑かつ問題と なる場合

が出てき ます。

一例と し て、 デジ タル ビデオの伝送に使用される SDI (シ リ アル デジタル イ ン ターフ ェ イ ス) では

こ のよ う な現象が発生する可能性があ り ます。 図 11-6 に、 イ コ ラ イゼーシ ョ ン テス ト パターン と

呼ばれる こ のパターンを示し ます。

波形は 20 ビ ッ ト の長さ で、 1 個の 1 ビ ッ ト の後に 19 個の 0 ビ ッ ト が続いています。 1 個の 0 ビ ッ

ト に 19 個の 1 ビ ッ ト が続 く 波形でも同様です。 EQ 波形は、ビデオ ラ イ ンの有効な部分全体の長さ

で繰 り 返すこ と ができ ます。 標準解像度のビデオ (SD-SDI) では、 大 720 回連続反復する 20 ビ ッ

ト のパターンで構成可能で、 高解像度ビデオ (HD-SDI) では 1920 回まで反復可能です。

こ の と き、 19 と い う NCID 値は DC イ ンピーダン スがラ イ ンに適用される総時間を反映し ないた

め、 ブロ ッ キング キ ャパシ タ値は式 11-4 から算出でき ません。 ブロ ッ キング キ ャパシタに累積さ

れる電荷を適切に解析するには、 このユーザー ガイ ド で説明する範囲以上の厳密な解析が必要と な

り ます。

SelectIO と シ リ アル ト ラ ンシーバのク ロス ト ークのガイ ド ラ イ ン

ト ラ ンシーバのアナロ グ電源ピンおよび REFCLK ピンに隣接する SelectIO 信号を分配させる こ と

も重要です。 229 ページの 「SelectIO と GTP の ク ロ ス ト ークのガ イ ド ラ イ ン」 に記述し た よ う に、

SelectIO 要件を考慮し た設計を行わない と、 ト ラ ンシーバのパフ ォーマン スに影響を与え る可能性

があ り ます。 SelectIO はんだボールが ト ラ ンシーバのアナロ グ電源または REFCLK はんだボール

に隣接し、それらに対応する PCB ビア も隣接し ている場合、パッ ケージおよびボード にカ ッ プ リ ン

グ作用が発生し て、このよ う な状態にな り ます。パッ ケージの一部であ るはんだボールは一部のカ ッ

プ リ ングを生じ させ、 近接し た PCB ビアはその 2 ~ 4 倍のカ ッ プ リ ングを生じ させます。 シ ミ ュ

レーシ ョ ンでは、隣接し た PCB ビアによ る カ ッ プ リ ングの全体量は、SelectIO エスケープがあ る層

の位置およびアナロ グ電源がどのよ う に ト ラ ンシーバに供給されるかによ って影響を受け る こ と が

わか り ます。 さ らに、シ ミ ュ レーシ ョ ンからは、SelectIO 信号の配線に上部の PCB 配線層を使用す

る場合または ト ラ ンシーバ アナロ グ電源の分配に上部層を使用する場合、あ るいはその両方が該当

する場合に、カ ッ プ リ ングを削減でき る こ と が予想されます。BGA が ト ラ ンシーバのアナロ グ電源

ピンに隣接する SelectIO 信号を高駆動能力/高速アプ リ ケーシ ョ ンで使用するデザイ ンでは、 次の

ガイ ド ラ イ ンに従います。

図 11-6 : SDI EQ の波形

C 3.20 1010–×( )– 5×

2 50× 11.2 3.20 10

12–×( )

6.40 1011–×

--------------------------------------------–⎝ ⎠⎜ ⎟⎛ ⎞

ln×

---------------------------------------------------------------------------------------- 0.26nF==

UG196_c11_06_091906

EQ

Page 242: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

242 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

カ ッ プ リ ングR

• ボード上部から数層下にあ るプレーン または広いバスで ト ラ ンシーバのアナロ グ電源を供給し

ます。 ト ラ ンシーバのアナロ グ電源には、 スルー ビア よ り ブラ イ ン ド ビアの使用が適し てい

ます。 電源プレーンの上下は GND プレーンで保護し ます。

• ト ラ ンシーバのアナロ グ電源ピンへの電源供給にスルー ホール タ イプのビアを使用する必要

があ る場合、 上部にあ る層を用い、 ビアにアナロ グ電源を供給し ます。 SelectIO ネ ッ ト は、 ト

ラ ンシーバの信号およびアナロ グ電源の配線のイ ンプ リ メ ン ト 後に使用可能な配線層で 上部

にあ る もので配線し ます。

• ボード の下部から ト ラ ンシーバの電源を供給する場合、 SelectIO ネ ッ ト は使用可能な配線層で

上部にあ る もので配線し ます。

• REFCLK ピンは同一タ イルまたは別のタ イルにあ る ト ラ ンシーバへの リ フ ァ レ ンス ク ロ ッ ク

ソースに使用されるため、 こ のピンに隣接する SelectIO ブロ ッ クは使用し ないよ う にし ます。

図 11-7 に、SelectIO 信号が隣接し た BGA のカ ッ プ リ ング部分を示し ます。 カ ッ プ リ ングの主な作

用は相互誘導性のカ ッ プ リ ングで、 これはア ク テ ィ ブな信号パス と電源ビア間のエ リ アで発生し ま

す。 2 番目の作用は、 同様に図 11-7 に示す容量性のカ ッ プ リ ングです。 第 1 のカ ッ プ リ ング作用の

方が非常に大きいため、 こ の影響を 小限に抑制する設計が推奨されます。

図 11-7 : SelectIO 信号が隣接する BGA のビア構造

RocketIO 電源ピンSelectIO 信号

RocketIO電源プレーン

SelectIO単一パス

UG196_c11_07_112007

Page 243: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 243UG196 (v1.8.1) 2008 年 12 月 1 日

R

第 12 章

PCB 材質と ト レース

使用する PCB 材質およびケーブル タ イプは、 システムのパフ ォーマン スに大き な影響を与え る可

能性があ り ます。 どのよ う な伝送媒体でも、 周波数がギガヘルツになる と損失が発生しやす く な り

ますが、 本章では、 アプ リ ケーシ ョ ンのパフ ォーマン ス を 適にする よ う に信号の減衰を管理する

際のガイ ド ラ イ ンを示し ます。

高速とは

信号のエ ッ ジには、調波と呼ばれる周波数コ ンポーネン ト が含まれます。 各調波は、あ る周波数の整

数倍の周波数で、 式 12-1 から求められる周波数までの大き な振幅を持ちます。

f ≈ 0.35 / T 式 12-1

説明 :

f = 周波数 (GHz) T = 信号の立ち上が り 時間(Tr) または立ち下が り 時間 (Tf ) の小さい値の方 (ns)

PCB におけ る誘電損失は周波数に依存し ているため、 問題と なっているバン ド幅は PCB での総損

失が特定される値に指定する必要があ り ます。 周波数は、動作周波数から開始し、 式 12-1 で求めら

れる周波数まで拡張し ます。 た と えば、 立ち上が り 時間が 10ps の 10Gb/s の信号のバン ド幅は、

10GHz ~ 35GHz と な り ます。

誘電損失

誘電体へ失われる信号のエネルギー総量は、材質特性の関数です。材質の記述に使用されるパラ メ ー

タには、 比誘電率 εr (電媒定数と も言 う ) および損失正接が含まれます。 また、 表皮効果も ギガヘル

ツ範囲のラ イ ン速度でエネルギー損失に加担する要素です。

比誘電率

比誘導率は、コ ンダ ク タの誘電性容量を示す尺度です。 こ の値が高いほど、ト レース上の信号は低速

にな り 、その ト レース形状における イ ンピーダンスは低 く な り ます。 εr 値は、常に低い方が適し てい

ます。

比誘導率 εr は、いかなる材質でも周波数によ って増減し ますが、FR4 では周波数によ って大き く 変

動し ます。 εr はイ ンピーダンスに直接影響を与え る ため、 FR4 ト レースでは、 周波数の増加に伴っ

てイ ンピーダン ス値が変化し ます。 こ のよ う な値の拡散は、 動作速度が 3.125Gb/s ではそれほど重

要であ り ませんが、 10Gb/s で動作させる際は問題と なる可能性が出てき ます。

Page 244: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

244 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

誘電損失R

損失正接

損失正接と は、 伝送ラ イ ンの通過時に、 どの く らいの電磁エネルギーが誘電体に失われるかを示す

尺度です。 損失正接が低いほど、信号の減衰が少ない状態で、よ り 多 く のエネルギーがデステ ィ ネー

シ ョ ンまで到達し ます。

周波数の上昇に伴ってエネルギー損失も大き く な り 、 も周波数が高い と きの信号エ ッ ジでの調波

が も大き く 減衰する こ と にな り ます。 これは、 立ち上が り および立ち下が り 時間の低速化と い う

形で現れます。

表皮効果および抵抗損失

ザイ リ ン ク スは、Dr. Howard Johnson の協力のも と、 ト ラ ンシーバのシグナル イ ンテグ リ テ ィ と損

失管理に関する 2 つの DVD チュー ト リ アルを提供し ています ([参照 5])。

表皮効果と は、 電流がコ ンダ ク タ を流れる と きに表面側を優先的に流れる傾向のこ と です。 こ の主

な理由は、 高周波数信号の大き な磁場によ り 、 電流がコ ンダ ク タの周囲に向って垂直方向に流れる

ためです。

電流密度は表面近 く で高 く な り 、電流が通過でき る横断エ リ アが減少し ます。 こ のよ う に、コ ンダ ク

タにおけ る有効な横断エ リ アが小さ く なるため抵抗が増加し ます。 表皮効果は周波数が増加するに

従って一層顕著になるため、 抵抗損失は信号レー ト に伴って増加し ます。

抵抗損失は、信号に対し て損失正接と同様の影響を与えます。 高周波数調波の振幅が減少する ため、

立ち上が り 時間および立ち下が り 時間は長 く な り 、 こ の影響は周波数が も高い と きに 大と な り

ます。 FR4 を使用し ている と、 10Gb/s 信号は基本周波数の場合でさ えあ る程度減衰し ます。

た と えば、1MHz で 8 ミ ル幅の ト レースの抵抗は約 0.06Ω/inch ですが、10Gb/s の場合は 1Ω/inch を

少し上回る値と な り ます。 ト レースが 10 イ ンチで、 電圧幅が 1.6V の場合、 基本周波数の抵抗損失

(調波および誘電体での損失は含まない) によ って電圧は 160mV 降下し ます。

基板材質の選択

材質は、 特定のアプ リ ケーシ ョ ンのパフ ォーマン スおよびコ ス ト の両方を 適にする こ と を目的と

し て選択し ます。

も頻繁に使用される FR4 は、慎重なシステム設計を行 う こ と で優れたパフ ォーマン ス を提供し ま

す。 ト レース長が長いまたは信号レー ト が高い場合、誘電損失が少な く 、よ り 高価な材質を使用し て

く ださ い。

Nelco などの材質は誘電損失が少な く 、 GHz 範囲における信号の減衰も大幅に削減される ため、

PCB の 大バン ド幅が大き く な り ます。 3.125Gb/s の場合、FR4 と比較し た Nelco の メ リ ッ ト と し

て、電圧幅のマージンが追加される こ と と ト レース長が長 く なる こ と が挙げられます。 10Gb/s の場

合は、 高速 ト レースが非常に短い場合を除き、 Nelco の使用が必須と な り ます。

基板材質の選択は、 高速 ト レースの長さ全体と信号伝送レー ト に依存し ます。

HSPICE シ ミ ュ レーシ ョ ンで What-if 解析を実行し、 さ まざ まな基板材質を評価でき ます。 こ の解

析は、 PCB 基板材質の誘電定数、 損失正接、 その他のパラ メ ータ を変化させて実施し ます。 高価な

材質の使用が適し ているかは、データ ア イの品質への影響をシ ミ ュ レーシ ョ ンする こ と で検証でき

ます。 また、 銅の厚さ などほかのパラ メ ータの影響も検証可能です。

Page 245: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 245UG196 (v1.8.1) 2008 年 12 月 1 日

第 12 章 : PCB 材質と ト レース R

ト レース

ト レースの形状

どのよ う な ト レースでも、 その特性イ ンピーダン スは、 ス タ ッ ク ア ッ プの形状および ト レースの形

状に依存し ます。 差動 ト レースの場合、 密接にカ ッ プルされたペア間の誘導性および容量性カ ッ プ

リ ング も ト レースの特性イ ンピーダン ス を決定する要因です。

ト レースのイ ンピーダン スは、 コ ンダ ク タに近接する誘導性および容量性カ ッ プ リ ングによ って決

定されます。 このよ う なコ ンダ ク タ と し て、 プレーン、 ビア パッ ド、 コネ ク タ、 およびその他の ト

レースが考え られ、 差動ペアにあ る近密にカ ッ プ リ ング された別の ト レース も含まれます。 基板の

特性、コ ンダ ク タの特性、フ ラ ッ ク スの接合部分、および近接し た コ ンダ ク タ と の距離によ ってカ ッ

プ リ ング量が決定し、 終的なイ ンピーダン スへ与え る影響が決ま り ます。

これらの複雑な相互作用の分析には 2D フ ィ ール ド ソルバが必要であ り 、 これは ト レースの 終的

なイ ンピーダン スの算出にも役立ちます。 また、 既存 ト レースの形状を検証する際にも有用なツー

ルです。

2 つの 50Ω シングルエン ド ト レース を並べて配線する こ と で、100Ω 差動イ ンピーダン スのペアを

構成でき る と誤解される こ と がよ く あ り ます。 これは、 ト レースのカ ッ プ リ ングが緩い場合には当

てはま る こ と があ り ますが、 そのよ う なカ ッ プ リ ングでは、 差動モード信号送信の ノ イ ズ耐性が

大にな り ません。

すべての高速 GTP ト レースは、低速信号よ り も ノ イ ズの影響を受けやすいため、堅 く カ ッ プ リ ング

された差動ペアが必要です。一般に、差動ペアの間隔が ト レース 4 つ分未満と なる よ う にする と カ ッ

プ リ ングは密にな り ます。

ト レース幅が広い と、 電流が流れる横断エ リ アが大き く なって抵抗損失が減少し ます。 スペースの

制限内で、 も幅の広い ト レース を使用し て く だ さい。 ト レース幅の許容範囲は確定し ているので、

幅の広い ト レースほど製造後の ト レースでの変動比率が小さ く 、 イ ンピーダン スおよび伝送ラ イ ン

の長さ を厳密に制御し ます。

ト レースの両側にあ る参照プレーンは放射線遮へいを も た らすため、 マイ ク ロ ス ト リ ッ プよ り も ス

ト リ ッ プラ イ ンがよ り 適し ています。マイ ク ロ ス ト リ ッ プは 上位または 下位の層にあ る ため、一

端が (参照プレーンによ って) 遮断され、 も う 一端は露出し ています。

良の結果を出すには、 検証に 2D フ ィ ール ド ソルバを使用する こ と を推奨し ます。

ト レースの特性イ ンピーダンス設計

ト ラ ンシーバでは差動信号送信が使用されるため、端がカ ッ プ リ ング され、中央にあ る差動ス ト リ ッ

プラ イ ンおよび差動マイ ク ロ ス ト リ ッ プの ト レース コ ンフ ィ ギュ レーシ ョ ンが も実用的です。 一

部のバッ ク プレーンでは、ボード側がカ ッ プ リ ング された差動ス ト リ ッ プラ イ ンを使用し ますが、こ

れは P および N 側のビアが非対称で、 同相モー ド の非理想効果を引き起こすため、 10Gb/s の場合

には推奨し ていません。

ほ と んど例外な く 、チャネルの伝送ラ イ ンには 50Ω の特性イ ンピーダンス (Z0) が使用されます。 一

般に、幅/間隔 (W/S) 比が 0.4 (8mil ト レース幅、20mil 間隔) 以上の場合、P および N 信号間のカ ッ

プ リ ングは ト レースのイ ンピーダン スに影響を与えます。 こ のよ う な と き、 奇数モード のイ ンピー

ダン ス (Z0O) が 50Ω と な り 、 ZDIFF = 2 x Z0O であ る こ と から、 結果と し て差動イ ンピーダン ス

(ZDIFF) が 100Ω と なる よ う に設計する必要があ り ます。

Page 246: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

246 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ト レースR

また、同じ W/S 比は 0.8 未満にする必要があ り ます。そのよ う にし ない と、強いカ ッ プ リ ングには、

よ り 狭い ト レース幅が必要と な り 、 Z0O も 50Ω にな り ません。 つま り 、 Z0O を 50Ω,にするには、 偶

数モード のイ ンピーダン ス (Z0E) が 60Ω 以下であ る こ と が望まれます。

図 12-1 ~ 図 12-4 に、 差動構造の断面図例を示し ます。

図 12-1 : カ ッ プ リ ングされたペアが中央にあるス ト リ ッ プラ イン

図 12-2 : カ ッ プ リ ングされたペアが中央にあるオフセ ッ ト ス ト リ ッ プラ イン

図 12-3 : カ ッ プ リ ングされたペアが上下にあるス ト リ ッ プラ イン

図 12-4 : 差動マク ロス ト リ ッ プ

UG196_c12_01_051406

h

h

w wsEr

td=2h+t

h

h

w ws

Er

t

td=3h+2t

h

UG196_c12_02_051406

t

t

Er

h

h w

2hd=4h+2t

UG196_c12_03_051406

w wst

Er

Er = 1

h

UG196_c12_04_051406

Page 247: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 247UG196 (v1.8.1) 2008 年 12 月 1 日

第 12 章 : PCB 材質と ト レース R

優れた PCB メーカーは制御された イ ンピーダンス を理解し てお り 、 ラ イ ン幅を細か く 調整し て、

50Ω の Z0O を実現し ます。 また、 PCB メーカーは、 特定の PCB レ イ アウ ト に必要なパラ メ ータ も

提供し ます。 パラ メ ータの一部は、 例に概略を示し たガイ ド ラ イ ンから算出またはシ ミ ュ レーシ ョ

ン可能です。 通常、 Z0O には ±10% の許容範囲があ り 、 こ の範囲で十分なパフ ォーマン スが実現で

き ますが、 許容範囲を狭 く する こ と によ ってチャネルのパフ ォーマン スはさ らに向上し ます。

ト レース配線

使用可能なパスの う ち 適な ものを重要な ト レースに使用するため、 高速のシ リ アル差動 ト レース

を 優先で配線し ます。 これによ って湾曲およびビアの使用が減 り 、 イ ンピーダン ス遷移が発生す

る可能性が 小限と な り ます。 ト レースは直線状で短 く 、 層の変化が 少と なる よ う にする必要が

あ り ます。 ビアの影響については、 256 ページの 「差動ビア デザイ ン例」 を参照し て く ださ い。

高速 ト レースは、 ほかの ト レース近辺または ノ イ ズの原因と な り え る ソース近辺は避けて配線し て

く ださ い。 近接し た信号プレーン上の ト レースは、ク ロ ス ト ーク を 小限にする ため、垂直に配線す

る必要があ り ます。

また、 上部または 下部のス ト リ ッ プラ イ ン層は、 ビア ス タブを 小にするために可能な限 り ス

ト リ ッ プラ イ ンを使用し て く ださ い。 ス タ ッ ク ア ッ プを使用する予定があ る と きは、 これらの層は

上部または下部に一番近い位置に配置し て く ださ い。

デザイ ン制約によ っては、 BGA の Exit パス またはビアから コ ネ ク タ ラ ンチか SMT パッ ド までに

マイ ク ロ ス ト リ ッ プが必要な場合あ り ます。 こ のよ う な場合、 マイ ク ロ ス ト リ ッ プ ト レースはでき

る限 り 短 く する必要があ り ます。

ト レースは直角に曲げないよ う にし て く ださ い。 代わ り に、 45 度の角度に留め継ぎ された ものを使

用し て く ださ い。 直角に曲がっている場合、ト レースの有効な幅が変化し、参照プレーンへの追加コ

ンダ ク タ部分の容量性カ ッ プ リ ングによ ってイ ンピーダン スが不連続と な り ます。

差動ペアの 2 つの ト レースは、 ス キ ューを削減する ために長さ を一致させる必要があ り ます。 ス

キ ューは、 同相モード での不一致の原因と な り 、 結果と し て差動電圧幅を縮小させます。

プレーンの分離

信号の参照プレーンには、 ノ イ ズの発生しやすい電源プレーンではな く 、 グ ラ ン ド プレーンを使用

し ます。 分離し たプレーン上で配線する と イ ンピーダン スが不連続と なるため、 各参照プレーンは

ト レース長分連続し ている必要があ り ます。 プレーンが分離し ている場合、 その分離部分で参照プ

レーン と のカ ッ プ リ ングが突然変化するため、 ト レースのイ ンピーダン スが変化し ます。

リ ターン電流

分離し たプレーンでの配線は、 リ ターン電流の問題も引き起こ し ます。 高速信号は、 「誘電損失」 で

説明し た表皮効果によ って ト レース表面付近で送信されます。 こ の間、 リ ターン電流も堅 く カ ッ プ

リ ング された参照プレーンの表面付近を流れます。

カ ッ プ リ ングがし っか り と し ているため、 リ ターン電流は、 本来信号が送信される ト レースの近 く

を流れる傾向にあ り ます。 リ ターン電流はプレーンの分離部分で、 ト レース と並行なそのパス を流

れる こ と ができ な く な り 、 代わ り の配線を見つけて流れます。

こ のよ う に、プレーンの分離によ って次善的な電流 リ ターン パスができ、電流のループ エ リ アが増

加し ます。 このため、 分離部分で ト レース イ ンダ ク タ ン スが増加し て ト レースのイ ンピーダン スが

変化し ます。

Page 248: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

248 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ケーブルR

損失が発生しやすい伝送ラ イ ンのシ ミ ュ レーシ ョ ン

多様な回路シ ミ ュ レータ (周波数ド メ イ ン対時間ド メ イ ン テ ク ニ ッ ク ) では別々のモデ リ ング イ ン

プ リ メ ンテーシ ョ ンが使用されるため、 モデルが実際の損失を正確に反映させてるかを確認する こ

と が重要です。 その方法の 1 つと し て、 モデル と既に公と なっている コ ンフ ィ ギュ レーシ ョ ンを比

較する方法があ り ます。

ケーブル

ケーブル と は、 コ ンダ ク タ、 誘電体、 およびケーブルの長さ と い う 決められた物理的要因によ って

イ ンピーダン スが制御された伝送ラ イ ンです。 高品質のケーブルの場合、 これらの要素はほ と ん

ど変動がな く 、 高周波数でも損失が少ない、 幅の広いバスがあ り ます。

コネク タ

ケーブルに装着された コネ ク タは、 高バン ド幅での動作時、 寄生イ ンダ ク タ ン スおよびキ ャパシタ

ン スが低 く なければな り ません。

コ ンダク タ間のスキュー

ケーブル選択時は、 ケーブルのコネ ク タ間のスキ ュー仕様を確認し て く ださ い。 コ ンダ ク タの長さ

が一致し ない と、 同相モード でスキ ューが発生し、 ア イの高さ を直接低減させる こ と にな り ます。

Page 249: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 249UG196 (v1.8.1) 2008 年 12 月 1 日

R

第 13 章

ト ラ ンジシ ョ ンの設計

チャネル内の各 ト ラ ンジシ ョ ンは、 リ ン ク のパフ ォーマン スに与え るマイナスの影響が 小と なる

よ う 設計する必要があ り ます。 こ のセク シ ョ ンでは、 伝送ラ イ ンの終端におけ る イ ン ターフ ェ イ ス

について説明し ます。

伝送ラ イ ンには、その長さに従って確定し、定義される特性イ ンピーダン スがあ り ます。 ただし、そ

れらがイ ン ターフ ェ イ スする 3 次元構造では、 信号パスによ って定義される、 あ るいは一定のイ ン

ピーダン スはあ り ません。 伝送ラ イ ンの特性イ ンピーダン スは 2D フ ィ ール ド ソルバーで十分計算

でき ますが、 3 次元構造におけ る信号の通過速度が 10Gb/s になる と、 イ ンピーダンスの計算には、

3D フ ィ ール ド ソルバーなどのソ フ ト ウ ェ ア ツールが必要です。

PCB 設計者は、本章に記載の解析および例を使用し てチャネルなどの設計時間を大き く 短縮でき ま

す。 こ こ で説明し ていないデザイ ンでは、 追加のシ ミ ュ レーシ ョ ンやボード の再設計が必要と なる

場合があ り ます。

過剰な容量およびインダク タ ンス

差動 ト ラ ンジシ ョ ンは、 全般的に容量が過度になっています。 P および N パスは対にする こ と で容

量を増加させます。 ト ラ ンジシ ョ ンの多 く には、 広範な周波数上で 1 つの固ま り と なっている キ ャ

パシタ と同様の周波数応答があ り ます。

デザイ ンでイ ンダ ク タ ン スが追加される と、集積度の問題や物理的制約の影響を受ける場合を除き、

たいていは過剰な容量が相殺されます。 ブラ イ ン ド ビア、 広いピ ッチ上のはんだボール、 および非

常に小さ いビア パッ ド などの手法によ って容量は削減されますが、 これらの手法は必ずし もデザイ

ンに適し ている と は限 り ません。

時間領域反射率 (TDR) 測定法によ るシ ミ ュ レーシ ョ ンまたは計測を通し、ト ラ ンジシ ョ ンの過剰容

量または過剰イ ンダ ク タ ン ス を確認でき ます。

時間領域反射率測定

TDR 測定を実施するには、 ステ ッ プ入力信号を イ ン ターコネ ク ト に送 り 込みます。 電圧ステ ッ プ信

号がイ ン ターコ ネ ク ト を通過する と きの過剰な容量またはイ ンダ ク タ ン スの位置および大き さ は、

反射信号を観測する こ と で測定でき ます。

並列容量 (図 13-1) はイ ンピーダンス を瞬間的に低下させ、 直列イ ンダ ク タ ン ス (図 13-2) は信号が

戻る と きにイ ンピーダン スの不連続を発生させます。 Td は、左側にあ る 初の伝送ラ イ ンを通過す

る と きの伝搬遅延と し ます。 イ ンピーダン スの不連続によ る反射波形が TDR ポー ト に戻るには、

2 * Td の遅延が必要です。 信号が伝送ラ イ ンを伝搬する速度がわかっている と きは、 チャネルにお

け る過剰容量またはイ ンダ ク タ ン スの位置を特定でき ます。

Page 250: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

250 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

時間領域反射率測定R

ト ラ ンジシ ョ ンの TDR 応答を正規化し た部分を積分する こ と によ り 、 こ の過剰容量 (C) または過

剰イ ンダ ク タ ン ス (L) の大き さ も TDR 波形から抽出でき ます。 容量およびイ ンダ ク タ ン ス を求め

る式は、 それぞれ次の とお り です。

式 13-1

式 13-2

図 13-1 : 並行容量の場合の TDR

図 13-2 : 直列インダク タ ンスの場合の TDR

Td

2Td

C 50Ω

UG196_c13_01_051406

50Ω

UG196_c13_02_051406

C 2Z0------–

Vtdr t( ) Vstep–

Vstep------------------------------------ dt

t1

t2

∫=

L 2Z0

Vtdr t( ) Vstep–

Vstep------------------------------------ dt

t1

t2

∫=

Page 251: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 251UG196 (v1.8.1) 2008 年 12 月 1 日

第 13 章 : ト ラ ンジシ ョ ンの設計 R

図 13-3 に、 正規化し た TDR 部分の積分を図示し ます。

これらの式を使用し て求めた結果は立ち上が り 時間の変動に左右されず、 伝送ラ イ ンの始め と終わ

り が 50Ω に近い場合、シ ミ ュ レーシ ョ ン された TDR 測定に対し て有効です。 ただし、実際の計測で

の正確さは Z0 に大き く 依存し ます。

BGA パッ ケージ

BGA パッ ケージ内の ト ラ ンシーバ信号パスは、 3D 全波形ソルバーを使用し て 適化されます。 は

んだボールおよびバンプ領域は 50Ω に調整されますが、 パッ ケージ ト レースは 50Ω の高速伝送ラ

イ ン と なる よ う に設計されます。

10Gb/s 信号の場合、 フ リ ッ プチ ッ プ パッ ケージの ト ラ ンジシ ョ ンは事実上、 目では確認でき ませ

ん。 長のパッ ケージ パスには、 あ る程度 (ワース ト ケースで 1dB 未満) の挿入損失があ り ます。

パッ ケージの影響を完全にシ ミ ュ レーシ ョ ンするため、 Virtex-5 FPGA RocketIO ト ラ ンシーバ シ

グナル イ ンテグ リ テ ィ シ ミ ュ レーシ ョ ン キ ッ ト では、 パッ ケージの S パラ メ ータ を提供し ていま

す。 詳細は、『Virtex-5 FPGA RocketIO Transceiver Signal Integrity Simulation Kit User Guide』[参

照 15] を参照し て く ださ い。

SMT パッ ド

ト ラ ン ス ミ ッ タ と レシーバ間に AC カ ッ プ リ ングが必要なアプ リ ケーシ ョ ンでは、 カ ッ プ リ ング

キ ャパシタ を実装するため、 チャネル内に SMT パッ ド を使用し ます。 標準的な SMT パッ ド には、

近接し た参照プレーンへのプレー ト 容量によ る過剰容量があ り ます。 次に、ト ラ ンジシ ョ ンの Z0 が

50Ω の 5 ミ ル ト レースおよび 28 ミ ル幅の 0402 SMT パッ ド の例を示し ます。と もに 3 ミ ルの FR4 を使用し ています。

図 13-3 : 正規化し た TDR 部分の積分

t2

UG196_c13_03_051406

t1

Page 252: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

252 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

SMT パッ ドR

こ のよ う な寸法で 2D フ ィ ール ド ソルバーを使用する こ と で、5 ミ ル ト レースの Z0 を 50Ω にでき

ます。パッ ド は容量が非常に大き く 、イ ンダ ク タ ン スが小さ いため、0402 パッ ド の Z0 は 16Ω と なっ

てイ ンピーダン スが 50Ω 未満にな り ます。 こ の ト ラ ンジシ ョ ンのパフ ォーマンスは、次のいずれか

の方法で 適化でき ます。

初の方法では、 ト レース をパ ッ ド幅と同じにし、 グ ラ ン ド プレーンを ス タ ッ ク ア ッ プの表面から

遠い位置に移動し て ト ラ ンジシ ョ ンの Z0 を 50Ω に維持し ます。 こ の場合、特別な解析は不要です

が、SMT キ ャパシ タ本体の二次的な容量によ ってエラーが発生する可能性があ り ます。 ト レースが

28 ミ ル幅になるため、 その密度に制限が生じ ます。

2 つ目の方法では、パッ ド の真下にあたる グラ ンド プレ ーンは使用せずにク リ ア (空) にし ま す。こ れ

によ り 、パッ ド と グラ ンド プレ ーン間のプレ ート 容量を原因と する 過剰容量が削減さ れま す。 こ の方

法では、 初の方法よ り も ト レ ース の密度を高く でき ま すが、タ ーゲッ ト と する パフォ ーマンス を実

現する ために 3D フィ ールド ソ ルバー解析ま たは計測、 およ びボード 設計の反復が必要です。

2D フ ィ ール ド ソルバーの例は、パッ ド フ ッ ト プ リ ン ト 下部にあたる グ ラ ン ド プレーンを ク リ アな

状態にする こ と で 50Ω に近い値が実現でき る こ と を示し ます。 その後、3D フ ィ ール ド ソルバーを

使用し て結果を検証し、 よ り 正確な値になる よ う にし ます。

図 13-6 に、2D シ ミ ュ レーシ ョ ンの場合と ま った く 同様に ク リ アにし たグ ラ ン ド プレーンを示し ま

す。 この手法を使用する場合、HFSS で周波数ド メ イ ン解析から リ ターン ロ スが 20dB (10x) 改善さ

れている こ と がわか り ます。

図 13-4 : 5 ミ ル ト レースおよび 28 ミ ル パッ ドの 2D フ ィ ールド ソルバー解析

図 13-5 : ト ラ ンジシ ョ ンの 適化

ライン- - L = 288 nH/m- C = 116 pF/m- Zo = 50Ω

5

パッド- - L = 98 nH/m- C = 404 pF/m- Zo = 16Ω

28

UG196_c13_04_051406

- L = 241 nH/m- C = 89 pF/m- Zo = 52Ω

28

UG196_c13_05_051406

Page 253: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 253UG196 (v1.8.1) 2008 年 12 月 1 日

第 13 章 : ト ラ ンジシ ョ ンの設計 R

図 13-8 に示すよ う に、約 -40dB/decade の傾きは集中キ ャパシタの周波数応答にち ょ う ど適し てい

ます。

図 13-6 : パッ ド下部が未使用な Ansoft HFSS モデル

図 13-7 : 0402 パッ ド構造でのリ ターン ロスの比較

UG196_c13_06_051406

Z

Y

X

0

-20

-40

-60

0 2 4 6 8 10

dB(S

(3,3

))dB

(S(1

,1))

UG196_c13_07_051406

Page 254: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

254 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

SMT パッ ドR

次に、HFSS でモデル化された同じ ト ラ ンジシ ョ ンでシ ミ ュ レーシ ョ ン し た計測を使用し て、先ほど

の周波数ド メ イ ン解析の S パラ メ ータ結果に基づ く TDR を実施する こ と によ り 、 こ の ト ラ ンジ

シ ョ ンの時間ド メ イ ンのパフ ォーマン スが計測でき ます。

図 13-9 および図 13-10 では、 容量値の下降が大きい赤色の曲線が、 パ ッ ド直下のグ ラ ン ド プレー

ンがク リ アになっていない SMT パッ ド に相当し ます。 青色の曲線は、 グ ラ ン ド プレーンを ク リ ア

する こ と で、 過剰容量の多 く が除去される こ と を示し ています。 どの程度改善されたかは、 式 13-1 および式 13-2 を使用し て算出でき ます。

図 13-8 : 0402 パッ ド構造でのリ ターン ロスの比較 (Log 、 周波数)

図 13-9 : 0402 バッ ド構造での TDR 結果の比較

0

-20

-40

-60

1E8 1E9 1E10

dB(S

(3,3

))dB

(S(1

,1))

UG196_c13_08_051406

0

100

200

300

400

500

600

0.0 0.5 1.0 1.5

Time, ns

2.0 2.5 3.0

Vtd

rPla

neC

lear

ed, m

VV

tdrP

lane

Not

Cle

ared

, mV

UG196_c13_08_051406

Page 255: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 255UG196 (v1.8.1) 2008 年 12 月 1 日

第 13 章 : ト ラ ンジシ ョ ンの設計 R

図 13-11 および図 13-12 に示すよ う に、 SMT パッ ド下方のグ ラ ン ド プレーンを ク リ アにする こ と

で、SMT パッ ド ト ラ ンジシ ョ ンのパフ ォーマン スは大き く 改善されます。 過剰容量は 15 分の 1 と

なって リ ターン ロ スは 20dB 向上し ます。

図 13-10 : 0402 バッ ド構造での TDR 結果の比較

図 13-11 : 840fF の過剰容量 (グラ ン ド プレーンがそのままの場合)

0.60 0.65 0.70 0.75 0.80 0.85 0.90 0.95 1.000.55 1.05

350

400

450

500

300

550

Time, ns

Vtd

rPla

neN

otC

lear

ed, m

VV

tdrP

lane

Cle

ared

, mV

UG196_c13_10_051406

550

500

450

400

350

300

0.55 0.60 0.65 0.70 0.80 0.90 0.95 1.000.850.75

Time, ns

m1

Vtd

rPla

neN

otC

lear

ed, m

VV

tdrP

lane

Cle

ared

, mV

UG196_c13_11_051406

m2

Page 256: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

256 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

差動ビアR

差動ビア

も頻繁に使用される ト ラ ンジシ ョ ンは差動ビアで、上層部のス ト リ ッ プラ イ ン/ 上部マイ ク ロ ス

ト リ ッ プから下層部のス ト リ ッ プ ラ イ ン/ 下部のマイ ク ロ ス ト リ ッ プ信号のペアがこ こ で遷移し

ます。

図 13-13 に、GSSG (Ground-Signal-Signal-Ground) タ イプの差動ビアを示し ます。 信号層には入力

および出力層のパッ ド のみが含まれますが、 ス タ ッ ク ア ッ プでは、 グ ラ ン ド ビアが各グ ラ ン ド プ

レーンに接続されています。

GSSG ビアの重要な メ リ ッ ト は、対応する信号ビア付近にあ る グ ラ ン ド ビアを信号の リ ターン電流

が流れる よ う にでき、 過剰なイ ンダ ク タ ン スが削減される こ と です。 こ の信号パスは差動信号の P

図 13-12 : 57fF の過剰容量 (グラ ン ド プレーンがそのままの場合)

550

500

450

400

350

300

0.55 0.60 0.65 0.70 0.80 0.90 0.95 1.000.850.75

Time, ns

m1

Vtd

rPla

neN

otC

lear

ed, m

VV

tdrP

lane

Cle

ared

, mV

UG196_c13_12_051406

m2

図 13-13 : 差動ビア デザイ ン例

UG196_c13_13_051406

= 12 (0.012 )

パッド直径 = 22

= 5

GSSG = 40

= 55 x 95 、

Page 257: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 257UG196 (v1.8.1) 2008 年 12 月 1 日

第 13 章 : ト ラ ンジシ ョ ンの設計 R

と N で対称であ り 、こ のこ と は P/N の不均衡によ る同相モード の不適切な影響を制御する際に非常

に重要です。

よ り 大き な長方形のアンチパッ ド によ り 、ビア本体と周辺のプレーン エ ッ ジ間に発生する二次的な

過剰容量が削減されます。 また、 未使用パッ ド は削除されます。

設計を開始する際には、 80 ミ ル ボード の差動ビア デザイ ン例 と し て図 13-13 に示し た寸法を使用

する と よいでし ょ う 。 集積度または散在度の制約を満たすため、 対応する各寸法は相対的な比率を

維持する よ う に調整でき ます。 これによ り 、 特定のアプ リ ケーシ ョ ンに適する よ う に全体のサイ ズ

が調整でき る一方で、差動ビア イ ンピーダン スのパフ ォーマン ス を維持でき ます。 終的な寸法は、

製造しやすさや集積度に対する制約によ って制限されます。

例に示し た 80 ミ ルのボード よ り 薄いまたは厚いボード に合 う よ う にするため、 ビアの長さは細か

い単位で変更でき ますが、 その他の寸法に対する ビアの長さ の比率を変化させる こ と はビアのイ ン

ピーダン スに影響を与えます。 こ の例を含む差動ビアのコ ンフ ィ ギュ レーシ ョ ンで、 パフ ォーマン

ス ターゲ ッ ト を確実に満たすよ う にするには、 3D フ ィ ール ド ソルバー ツールを使用し たシ ミ ュ

レーシ ョ ンが 適です。

一般的な規則と し て、ト ラ ンジシ ョ ンでの P および N パスの長さ が等し く なっている必要があ り ま

す。 可能であれば、 信号がビアの長さ全体を通る よ う にし、 ビアのス タブ長を 短にし て く だ さい。

図 13-15 に示す解析では、同相モード (SCC11) および差動 (SDD11) 応答に対する S パラ メ ータの

リ ターン ロ ス を比較し ます。

図 13-14 : 16 層 PCB の差動 GSSG (ピン L11 およびピン L6 からの場合)

UG196_c13_14_051406

Page 258: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

258 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

P/N ク ロスオーバー ビアR

図 13-15 のグ ラ フから、 リ ターン ロ スの点では、 同相モード応答が 20dB 劣っている こ と がわか り

ます。 差動応答と比較する と、同相モード の応答の方が大き く 劣っている ため、信号が ト ラ ンジシ ョ

ンに入る前に P/N ス キ ューを削減させる こ と が適当です。 60/40 ルール と は、 1GHz での リ ターン

ロ スが 40dB、 し たがって、 過剰容量が 60fF と なる こ と です。 過剰容量は一極応答であ る ため、 単

純な外挿法が使用でき ます。 た と えば、 リ ターン ロ スが 34dB にシフ ト する と、 過剰容量は 2 倍に

な り ます。 GSSG ビアのパフ ォーマン ス特性が優れている ため、 長いビアのス タブでさ え、 大で

差動ビアの容量の 2 倍にしかな り ません。

第 14 章 の 「ガイ ド ラ イ ンおよび例」 に、 差動ビアのその他の例を示し ています。

P/N ク ロスオーバー ビア

一部の ト ラ ンシーバは、 ト ラ ン ス ミ ッ タおよびレシーバの信号ペアの極性を独立し て切 り 替え る こ

と ができ ます。 この機能によ り 、 ボード レベルで P/N 信号を ク ロ ス オーバーする必要がな く な り 、

シグナル イ ンテグ リ テ ィ が大幅に向上し ます。 P/N ク ロ スオーバー ビアの使用はでき るだけ避け、

ト ラ ンシーバで極性を切 り 替えて く ださ い。

SMA コネク タ

適宜に設計された SMA コ ネ ク タはデバッ グ時間を短縮し、設計を反復する こ と な く 、適切な高性能

チャネルを作成でき ます。 10Gb/s で適切に動作する SMA コネ ク タは、 パフ ォーマン ス目標を満た

すためにシ ミ ュ レーシ ョ ン、 設計、 そし て製造する必要があ り ます。 また、 特定のボード上でコネ

ク タが確実に動作する よ う にするためのデザイ ン サービ ス を提供し ているベンダーも あ り ます。指

定されたパフ ォーマン ス を実現するには、 コネ ク タ と ボード の適合プロセス を確実かつ適切に制御

するためにアセンブ リ ガ イ ド ラ イ ンが重要です。

ザイ リ ン ク スでは、その優れた性能と上述の観点から、ほぼ Rosenberger SMA コネ ク タのみを使用

し ています。

バッ ク プレーン コネク タ

バッ ク プレーン コ ネ ク タに関連し たシグナル イ ンテグ リ テ ィ の問題が多数あ り 、それらには次が含

まれます。

• P/N 信号のス キ ュー

図 13-15 : 差動および同相モー ドのリ ターン ロスの比較シ ミ ュ レーシ ョ ン (L11 および L6 GSSG ビアの場合)

UG196_c13_15_051406

1E91E8 1E10

-60

-40

-20

-80

0

dB(S

cc11

_L11

)dB

(Scc

11_L

6)dB

(Sdd

11_L

11)

dB(S

dd11

_L6)

Page 259: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 259UG196 (v1.8.1) 2008 年 12 月 1 日

第 13 章 : ト ラ ンジシ ョ ンの設計 R

• ク ロ ス ト ーク

• コネ ク タ ピ ンによ る ス タブ

第 14 章 の 「ガ イ ド ラ イ ンおよび例」 に、使用頻度の高い HM-Zd コネ ク タ を採用し たデザイ ン例が

記載されています。

コネ ク タ メーカーによ っては、 コネ ク タの S パラ メ ータ、 モジ ュール、 配置ガイ ド ラ イ ンだけでな

く 、 設計サポー ト 、 セ ミ ナ、 および ト レーニング も提供し ます。

マイ ク ロス ト リ ッ プ/ス ト リ ッ プ ラ イ ンの屈折

PCB ト レースにおけ る屈折は ト ラ ンジシ ョ ンです。 差動 ト レース をを 90° に曲げて配線する場合、

外側の ト レースが内側よ り 長 く な り 、P/N の不均衡を引き起こ し ます。1 本の ト レースでも、信号の

流れは曲が り 角では内側を通る傾向にあ り 、 屈折部分を通る実際の遅延を更に減少させます。

P および N パス間のスキ ューを 小にするには、 マイ ク ロ ス ト リ ッ プまたはス ト リ ッ プラ イ ンで

90°屈折させる際に 45°ずつ 2 回曲げて配線し ます。 また、湾曲を追加する こ と で ト レース長を一

致させる こ と ができ ます。 図 13-16 に、 この例を示し ます。

90°の曲が り 角での ト レースは 41% 広 く なるため、 ト レース を曲げる こ と によ って容量は増加し

ます。 こ の差は、 45°ずつ曲げる こ と によ って 8% まで削減されます。 さ らに、 プレーンを深さ 30 ミ ルまで遮断する こ と で、 こ の過剰容量分が削減されます。 プレーンの適当な位置に遮断を入れた

場合、 50Ω を維持する ため ト レース幅が広がる こ と はあ り ませんでし た。

湾曲および遮断を使用し た屈折 ト レース をシ ミ ュ レーシ ョ ンする と、 過剰容量が減少し、 P/N 長お

よび位相がよ り 一致し ています。遮断し ない場合の P/N 長の不一致は 16 ミ ルです。FR4 材質では、

16 ミ ルの差があ る と、 位相不一致が 5GHz で 4.8°、 10Gb/s で 2.68ps (0.0268UI) と い う こ と にな

り ます。

図 13-17 から図 13-19 に示すとお り 、位相の不一致は、プレーンの遮断があ る場合は 0.75°、遮断お

よび湾曲があ る場合は 0.3°です。湾曲およびプレーンの遮断を組み合わせる こ と によ り 、過剰容量

が 65fF まで削減された こ と を示すシ ミ ュ レーシ ョ ン結果を得る こ と ができ ます。

ラ イ ンが離れてカ ッ プ リ ングが弱 く な るのに伴って増加する特性イ ンピーダン ス を相殺する ため、

ラ イ ンを広 く し よ う と い う 設計がよ く 見られます。 しかし、 ラ イ ンを広 く し な く て も、 角と湾曲部

の容量を組み合わせる と十分以上の容量があ り ます。 し たがって、 カ ッ プ リ ング されていない湾曲

部の幅を広 く する必要はあ り ません。

図 13-16 : ト レースを 90°屈折させる場合のデザイ ン例

45°

UG196_c13_16_051406

Page 260: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

260 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

マイ ク ロス ト リ ッ プ/ス ト リ ッ プ ラ イ ンの屈折R

図 13-17 : 45°に屈折させた部分の TDR シ ミ ュ レーシ ョ ン (湾曲を使用し た場合)

図 13-18 : 45°に屈折させた部分のリ ターン ロス シ ミ ュ レーシ ョ ン (湾曲を使用し た場合)

2.5

2.0

1.5

1.0

0.5

0.0

-0.5

0.0 0.2 0.4 0.6 0.8 1.0

vtdr

_dut

p, V

vtdr

_dut

n, V

vtdr

_dut

n2, V

vtdr

_dut

p2, V

UG196_c13_17_051406

-10

-20

-30

-40

-50

-60

1E8 1E9 1E10 5E10

dB(S

dd11

x)dB

(Sdd

11)

UG196_c13_18_051406

Page 261: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 261UG196 (v1.8.1) 2008 年 12 月 1 日

第 13 章 : ト ラ ンジシ ョ ンの設計 R

図 13-20 に示すよ う に、 ト レース幅が広い場合、 カーブし た配線が有益です。

図 13-19 : 45°に屈折させた部分の位相応答シ ミ ュ レーシ ョ ン (湾曲を使用し た場合)

図 13-20 : ト レースを 45°に屈折させた と きの TDR の計測 (湾曲を使用する場合と使用し ない場合の比較)

-75

-76

-77

4.95 5.00

Pha

se(S

(4,2

))P

hase

(S(3

,1))

Pha

se(S

(8,6

))P

hase

(S(7

,5))

UG196_c13_19_051406

UG196_c13_20_051406

り50 mV, 200 ps Per Div.

10 mV, 100 ps Per Div.

Page 262: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

262 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

マイ ク ロス ト リ ッ プ/ス ト リ ッ プ ラ イ ンの屈折R

Page 263: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 263UG196 (v1.8.1) 2008 年 12 月 1 日

R

第 14 章

ガイ ド ラ イ ンおよび例

本章では、高レベルな PCB ガ イ ド ラ イ ンおよび設計ス ト ラ テジについて説明し ます。デザイ ン例で

はガイ ド ラ イ ンがどのよ う に適用され、特定のアプ リ ケーシ ョ ン要件を満たすために、ト ラ ンジシ ョ

ンがどのよ う に修正されるかを示し てし ます。

ガイ ド ラ インの概要

こ の概要セ ク シ ョ ンでは、 これまでに説明し たガイ ド ラ イ ンの一部について簡潔に述べ、 高速シ リ

アル チャネルを設計する際の一般的なス ト ラ テジについて説明し ます。

ス タ ッ ク ア ッ プを定める場合、 高速ス ト リ ッ プラ イ ン層はボード底面の近 く に位置付けます。 すべ

ての高速 ト レースが上部および底部、またはその一方のマイ ク ロ ス ト リ ッ プ層で配線でき る と きは、

ス ト リ ッ プラ イ ン層の使用は不要です。 ト レース幅はよ り 広いものが望ま し く 、6 ミ ル~ 12 ミ ルが

一般的です。

ト レース間隔に対する制約が厳し く ない限 り 、差動 ト レース ペアのカ ッ プ リ ングを近接させる必要

はあ り ません。 た と えば、 5 ミ ル幅の ト レース を 5 ミ ル間隔で使用し た場合の ト レース イ ンピーダ

ン スは、 7 ミ ル幅の ト レース を 12 ミ ル間隔で使用する こ と で実現でき ます。

高速差動ペアおよび ト ラ ンジシ ョ ンは、 ク ロ ス ト ーク を抑制するため、 近接し たチャネルに広 く 拡

散させて く ださ い。 これはパスが長 く なる と きでも同様です。 ほ と んどの場合は、 終的にコネ ク

タ ピ ンの間隔 と一致する よ う に拡散する必要があ り ます。

ト ラ ンジシ ョ ンの場合、 過剰な容量を制限するため、 その周囲および下部に位置するプレーンに大

き な空のスペース (ク リ ア ラ ン ス) が必要です。 ト ラ ンジシ ョ ンは、 同じチャネル内に広がって位置

し ます。 た と えば、 差動ビアは通常、 DC ブロ ッ キング キ ャパシタやコネ ク タの隣 り には配置され

ません。 ただし、 こ のよ う に配置する こ と でパフ ォーマン ス要件が満た される よ う な特別なケース

も あ り ます。

ビアにおけ る過剰容量を さ らに制限するには、 ビア上の未使用パッ ド を除去し、 ビアのス タブ長を

小限にする必要があ り ます。 上部のマイ ク ロ ス ト リ ッ プから底部のマイ ク ロ ス ト リ ッ プへ配線す

る こ と によ り 、 ビアのス タブが削除でき ます。 また、 上部のマイ ク ロ ス ト リ ッ プから も下部にあ

る ス ト リ ッ プラ イ ン層へ配線する と、 ビアのス タブはわずかにな り ます。 よ り 下部にあ る層が高速

ス ト リ ッ プラ イ ンに使用でき ない場合は別のス ト リ ッ プラ イ ンを使用でき ますが、 ビアの後側から

穴を空けてビア ス タブを削除する必要があ り ます。

パッ ド のク リ ア ラ ン ス を 5 ミ ルにする など、 間隔およびク リ ア ラ ン ス を 小限にする設計ルールの

使用は避けます。 こ のよ う な ク リ ア ラ ン スでは、 低ギガ ビ ッ ト のレー ト で動作する場合でも、 間隔

が狭いこ と によ る過剰容量がパフ ォーマン ス を低下させる可能性があ り ます。

こ の文書で示す ト ラ ンジシ ョ ンの多 く には、 40fF ~ 200fF の過剰容量があ り ます。 例外は、 プレ ス

フ ィ ッ ト コネ ク タです。こ のコ ネ ク タ を PCB ピ ンアレ イに配置し た場合、ビア ス タブが 10 ミ ル未

満で、 これら のガ イ ド ラ イ ンに従った と きの過剰容量が約 500fF ~ 800fF です。 開口部がよ り 小さ

Page 264: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

264 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

BGA エスケープの例R

い と き、 またはビア ス タブがよ り 長い と き、 過剰容量は一層大き く な り ます。 ト ラ ンシーバには

500fF ~ 600fF のダ イ容量があ る ため、 たいていの ト ラ ンジシ ョ ンは、 レー ト が 10Gb/s までのパ

フ ォーマン スにはわずかな影響しか与えないよ う に設計でき ます。

10Gb/s 以下のデザイ ンでも、 これらのガ イ ド ラ イ ンに従 う こ と が推奨されます。 それによ って、 低

速で多 く のマージンが確保でき、 出力信号の幅を小さ く でき ます。 また、 10Gb/s で動作可能なチャ

ネルを設計する こ と によ り 、 次世代製品に対応する よ う バン ド幅をア ッ プグレード でき るオプシ ョ

ンを持つこ と にな り ます。

BGA エスケープの例

ト ラ ンシーバの信号ペアは、 フ リ ッ プチ ッ プ BGA のエ ッ ジに沿って配線されてマイ ク ロ ス ト リ ッ

プがエスケープに使用されます。BGA から十分なスペースがあ る場合は、必要に応じ て 適化され

た GSSG 差動ビアを使用し て層を変更し ます。 電源およびグ ラ ン ド プレーンでのス ロ ッ ト 形成を

小限にするため、 ビアは、 図 14-1 に示すよ う に位置をずら し て使用する こ と を推奨し ます。

ト ラ ンシーバ信号の丸い BGA パッ ド では、その下に位置する均一な PCB グ ラ ン ドへの容量はわず

かです。 し たがって、 信号パッ ド以下に位置する グ ラ ン ド プレーンに、 信号パッ ド と直径が一致す

るボイ ド を開け る と い う こ と が考え られます。 ただし、 シ ミ ュ レーシ ョ ン結果から、 ボイ ド によ っ

て削減される容量は 30fF のみであ る こ と がわかっています。

HM-Zd デザイ ン例

バッ ク プレーン アプ リ ケーシ ョ ンの場合、 図 14-2 に示すよ う な、 イ ン ラ イ ン コネ ク タが も頻繁

に使用されます。 これらのコネ ク タの通常の実装方法はプレ ス フ ィ ッ ト ですが、SMT コネ ク タの方

が優れたパフ ォーマン ス を実現し ます。

図 14-1 : BGA のエスケープのデザイン例

UG196_c14_01_051406

Page 265: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 265UG196 (v1.8.1) 2008 年 12 月 1 日

第 14 章 : ガイ ド ラ インおよび例 R

図 14-3 に示すよ う に、 直角のコ ネ ク タでは信号パスの P/N 長に差があ る ため、 PCB ト レース長を

調整し てスキ ューを補正する必要があ り ます。

図 14-4 に、コネ ク タ本体での P/N 長の不一致を補正する よ う 、スキ ューがあ らかじめ調整されてい

る ト レースのデザイ ン例を示し ます。

図 14-2 : Tyco Z-PACK HM-Zd プレスフ ィ ッ ト コネク タ

図 14-3 : Tyco Z-PACK HM-Zd プレスフ ィ ッ ト コネク タの内部

UG196_c14_02_051406

UG196_c14_03_051406

Page 266: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

266 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

HM-Zd デザイ ン例R

プレ ス フ ィ ッ ト コネ ク タでは、 コネ ク タ ピ ンを挿入する ための大き なビアが必要です。 これらのビ

アは、 コネ ク タ ピンのピ ッチ と一致する よ う にピ ッチが固定されています。 大き なビアを狭いピ ッ

チで使用する と、 過剰容量が発生し ます。

こ の過剰容量を削減するため、 ビアのス タブは短 く し て く ださ い。 コネ ク タ ピ ンは約 95 ミ ルなの

で、 ビアはこ の深さ までしかバッ ク ド リ ルでき ません。 下部層での配線はビアのス タブ長の短縮に

役立ちます。

差動ビア周囲の開口部を可能な限 り 大き く する こ と で、容量が 小にな り ます。図 14-4 に示すよ う

に、 開口部サイ ズが 大になる と、 ト レースのグ ラ ン ド リ フ ァ レ ン スがス ト リ ッ プラ イ ンの端を越

え、 約 3 ミ ル拡張されます。

ス ト リ ッ プラ イ ンまたはマイ ク ロ ス ト リ ッ プへのイ ンピーダン ス リ フ ァ レ ン ス を提供し ないすべ

ての電源およびグ ラ ン ド プレーンは削除し て く だ さい。ビアは、グ ラ ン ド プレーンを合わせる よ う

にコネ ク タの周囲に分散させる必要があ り ます。

幅の広いマイ ク ロ ス ト リ ッ プまたはス ト リ ッ プラ イ ンは、コネ ク タのフ ッ ト プ リ ン ト に入るに従い、

徐々に細 く なる よ う に設計する こ と が推奨されます。 しかし、 こ の技術はまだ正式に認証されてい

ません。 ト レース幅を細 く する と イ ンピーダン ス変動が大き く なる こ と から 、追加のラ イ ン ロ スお

よび ISI (Inter-Symbol Interference) が生じ る原因と な り ます。 これらの影響は、 開口部を広 く し て

過剰容量を削減し、 パフ ォーマン ス を向上させる こ と で相殺でき ます。

図 14-4 : Tyco Z-PACK HM-Zd プレスフ ィ ッ ト コネク タのデザイン例

UG196_c14_04_051406

Page 267: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 267UG196 (v1.8.1) 2008 年 12 月 1 日

R

セクシ ョ ン 3 : 付録

「MGT から GTP ト ラ ンシーバ デザイ ンへの移行」

「OOB/ ビーコ ン信号」

「8B/10B で有効なキャ ラ ク タ」

「GTP_DUAL タ イルのア ド レス マ ッ プ」

「低レ イテンシのデザイ ン」

「ア ドバンス ク ロ ッ キング」

Page 268: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

268 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

R

Page 269: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 269UG196 (v1.8.1) 2008 年 12 月 1 日

R

付録 A

MGT から GTP ト ラ ンシーバ デザイ ンへの移行

概要

こ こ では、 Virtex-II Pro および Virtex-4 のマルチギガビ ッ ト ト ラ ンシーバ (MGT) から Virtex-5 FPGA RocketIO GTP ト ラ ンシーバへデザイ ンを移行させる際に重要な相違点について説明し ま

す。 これらのデバイ スの機能および性能すべてについてではな く 、 デザイ ン移行に関連し た PCB、

電源、 および リ フ ァ レ ン ス ク ロ ッ クの差に焦点を当てて説明し ます。 Virtex-II Pro および Virtex-4 FPGA の詳細情報は、 『Virtex-II Pro/Virtex-II Pro X データ シー ト 』 [参照 10]、 『RocketIO ト ラ ン

シーバ ユーザー ガイ ド』 [参照 11]、 および 『Virtex-4 RocketIO マルチギガビ ッ ト ト ラ ンシーバ

ユーザー ガ イ ド』 [参照 12] を参照し て く だ さい。

主要な違い

Virtex-5 LXT および SXT FPGA は、Virtex-II Pro および Virtex-4 と異なるデバイ ス フ ァ ミ リ です。

これらの旧世代のデバイ ス と はピンの互換性があ り ませんが、これらのフ ァ ミ リ の MGT と GTP ト

ラ ンシーバには、 多 く の類似点があ り ます。 フ ァ ミ リ 間の主要な違いは、 次の とお り です。

• デバイ ス当た り の MGT および GTP ト ラ ンシーバ数

• ク ロ ッ キング

• シ リ アル レー ト および範囲

• エン コード規格 : 8B/10B、 64B/66B、 SONET など

• ク ロ ッ ク逓倍器設定および PLL 範囲

• パーシャル リ コ ンフ ィ ギュ レーシ ョ ン、 PMA プロ グ ラ ミ ング バス、 DRP (ダ イナ ミ ッ ク リ コ

ンフ ィ ギュ レーシ ョ ン ポー ト ) によ って も た ら される柔軟性

• ボード デザイ ンのガ イ ド ラ イ ン

デバイス当た りの MGT 数Virtex-5 FPGA の場合、各デバイ スに多数の GTP ト ラ ンシーバが搭載されています。 表 A-1 に、利

用可能な ト ラ ンシーバ数をデバイ ス フ ァ ミ リ 別に示し ます。

表 A-1 : デバイス当た りの ト ラ ンシーバ数

Virtex デバイス ト ラ ンシーバ数

Virtex-II Pro FPGA 4、 8、 12、 16、 20

Virtex-4 FPGA 8、 12、 16、 20、 24

Page 270: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

270 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

主要な違いR

ク ロ ッ キング

Virtex デバイ スでは、 い く つかの ク ロ ッ ク入力が使用でき ます。 表 A-2 に、 各フ ァ ミ リ のク ロ ッ ク

およびそれらのシ リ アル ス ピード を示し ます。

MGT の 初の 3 世代間では、ク ロ ッ キングにほどんど差があり ま せん。 こ れに対し て、GTP_DUAL タ イ ルでは、 専用ク ロ ッ ク 配線およ び MUX リ ソ ース を追加する こ と によ ってク ロ ッ キング機能が

向上し ていま す。 図 A-1 に、 各デバイ ス でリ ファ レ ンス ク ロ ッ ク がど のよ う に選択さ れる かを示し

ま す。

Virtex-5 FPGA(1) 8、 12、 16、 24

メ モ :

1. GTP_DUAL タ イルでは、 2 つの GTP ト ラ ンシーバが共有 PLL リ ソース を使用する ため、 ト ラ ンシーバの ク ロ ッ ク設定が共通と なっていないアプ リ ケーシ ョ ンでは、 両方の ト ラ ンシーバを使用でき ない場合があ り ます。 こ のよ う なアプ リ ケーシ ョ ンでは、 利用可能な ト ラ ンシーバの総数が減少し ます。

表 A-1 : デバイス当た りの ト ラ ンシーバ数 (続き)

Virtex デバイス ト ラ ンシーバ数

表 A-2 : 使用可能なク ロ ッ ク入力

フ ァ ミ リ ク ロ ッ ク名 差動 (内部) 専用配線

大シ リ アル スピー ド (Gb/s)

ダイナ ミ ッ ク スイ ッ チング

パッ ケージの

入力電圧 (V)(1)

デバイス当たり

の入力数

デバイス当たり

のク ロッ ク数

Virtex-IIPro FPGA

BREFCLK あ り 3.125 あ り (2) 2.5 8(3) 2(3)

BREFCLK2 あ り 3.125 あ り (2) 2.5 8(3) 2(3)

REFCLK 2.5 あ り (2) 2.5 8(3) 2(3)

REFCLK2 2.5 あ り (2) 2.5 8(3) 2(3)

Virtex-4FPGA

GREFCLK あ り あ り 1.0 あ り (4) メ モ 5 メ モ 5

REFCLK1 あ り あ り 6.5 あ り (4) 8 4

REFCLK2 あ り あ り 6.5 あ り (4) 8 4

Virtex-5FPGA

GREFCLK あ り あ り YesGTP_DUAL

につき 1

GTP_DUAL

につき 1

REFCLK あ り あ り 3.175 YesGTP_DUAL

につき 1

GTP_DUAL

につき 1

メ モ :

1. 公称値です。 厳密な値は、 データ シー ト を参照し て く だ さ い。

2. REFCLK または BREFCLK をダ イナ ミ ッ ク に選択し ます。 REFCLK および BREFCLK 間を切 り 替え るには、 リ コ ンフ ィ ギ ュ レーシ ョンが必要です。

3. BREFCLK は GCLK I/O を使用する必要があ り ます。 これによ って、 別の リ ソース用の GCLK I/O リ ソース (および各ク ロ ッ ク で 2 つのピ ン) が減少し ます。

4. リ フ ァ レ ン ス ク ロ ッ ク の切 り 替えは、 1 つの属性と RXAPMACLKSEL、 RXBPMACLKSEL、 および TXABPMACLKSEL 属性を使用する DRP によ って実行されます。 これらの属性はそれぞれ、 ビ ッ ト [13:12]、 [11:10]、 および [9:8] の DRP ア ド レ ス 0x5D にあ り ます。

5. GREFCLK はグ ローバル ク ロ ッ ク ツ リ ーか らつながってお り 、 任意の FPGA ク ロ ッ ク入力から も接続でき ます。 これは、 シ リ アル レート が 1.0Gb/s 以下の場合にのみ使用し て く だ さ い。

Page 271: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 271UG196 (v1.8.1) 2008 年 12 月 1 日

付録 A : MGT から GTP ト ラ ンシーバ デザインへの移行 R

サポー ト されるシ リ アル レー ト

ザイ リ ン ク スが提供する ト ラ ンシーバの移行に伴い、サポー ト される シ リ アル レー ト も変化し てい

ます。 表 A-3 に、 MGT および GTP ト ラ ンシーバでサポー ト される レー ト を示し ます。

エン コー ドのサポー ト およびク ロ ッ ク逓倍器

プロ ト コル エン コードおよびク ロ ッ ク逓倍器のサポー ト は、 ト ラ ンシーバの世代によ って大き く 異

な り ます。 表 A-4 に、 MGT および GTP ト ラ ンシーバでサポー ト されているエン コード方法を示し

ます。

図 A-1 : 各デバイスでのリ フ ァ レンス ク ロ ッ クの選択

0

01

1

1.5V

2.5V

REFCLKSEL REFCLK_OUT

REFCLK

REFCLK2

BREFCLK

BREFCLK2

REF_CLK_V_SEL

Virtex-II Pro FPGA

0

1

PMA CLKSEL

REFCLK_OUT

REFCLK1

REFCLK2

Virtex-4 FPGA

GREFCLK

UG196_a_01_112107Virtex-5 FPGA

clkoutnorth

clkinnorth

clkinsouth

clkoutsouth

GREFCLKGTP_DUAL タイル

PLL

IBUFDS

clkin

表 A-3 : サポー ト されるシ リ アル レー ト

Virtex-II Pro MGT

Virtex-4 MGT

Virtex-5 FPGA GTP ト ラ ンシーバ

0.622 ~ 3.125Gb/s 0.622 ~ 6.5Gb/s 0.100(1) ~ 3.175Gb/s

メ モ :

1. 100Mb/s ~ 500Mb/s (オーバーサンプ リ ングを行 う 場合) です。

表 A-4 : サポー ト されるエン コー ド方法

エン コー ド方法Virtex-II Pro

MGTVirtex-4

MGTVirtex-5 FPGA

GTP ト ラ ンシーバ

8B/10B あ り あ り あ り

64B/66B あ り (1) あ り あ り (1)

SONET あ り (1) あ り あ り

Page 272: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

272 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

主要な違いR

逓倍値選択が制限される世代から Virtex-4 デバイ ス以降の完全なプロ グ ラ マブル ソ リ ューシ ョ ン

に至る まで ト ラ ンシーバには リ フ ァ レ ン ス ク ロ ッ クの逓倍が関係し ています。 表 A-5 に、 Virtex-II Pro デバイ スでサポー ト されている ク ロ ッ ク逓倍値を示し ます。

Virtex-4 および Virtex-5 デバイ スでは、図 A-2 に示す回路を使用し、 リ フ ァ レン ス ク ロ ッ ク を逓倍

し ます。

表 A-6 に、 ク ロ ッ ク逓倍回路の動作およびサポー ト された分周値を コ ンフ ィ ギュ レーシ ョ ンする際

に使用されるパラ メ ータ を示し ます。 Virtex-4 デバイ スでは送信と受信に異なる逓倍比が使用され

ますが、 Virtex-5 デバイ スの場合、 送受信に同じ逓倍値が使用されます。

その他 あ り (2) あ り (2) あ り (2)

メ モ :

1. エン コー ド およびク ロ ッ ク逓倍は、 FPGA ロ ジ ッ ク で実行し て く だ さ い。

2. エン コー ド によ っては、 機能のい く つかを FPGA ロ ジ ッ ク で構築する必要があ り ます。

表 A-5 : Virtex-II Pro ク ロ ッ ク逓倍器

サポー ト されている ク ロ ッ ク逓倍値

Virtex-II Pro FPGA 20

図 A-2 : Virtex-4 および Virtex-5 のク ロ ッ ク逓倍回路

表 A-4 : サポー ト されるエン コー ド方法 (続き)

エン コー ド方法Virtex-II Pro

MGTVirtex-4

MGTVirtex-5 FPGA

GTP ト ラ ンシーバ

Ref Clk

PLL

Clk Out

UG196_a_02_080606

表 A-6 : Virtex-4 の Virtex-5 FPGA のク ロ ッ ク逓倍パラ メ ータ

Virtex-4 MGT

Virtex-5 FPGAGTP ト ラ ンシーバ

分周 M パラ メ ータ TXPLLNDIVSEL、 RXPLLNDIVSEL PLL_DIVSEL_FB

分周 M 値 8、 10、 16、 20、 32、 40 1、 2、 3、 4、 5

分周 N パラ メ ータ TXOUTDIV2SEL、 RXOUTDIV2SEL PLL_DIVSEL_REF

分周 N 値 1、 2、 4、 8、 16、 32 1、 2

Page 273: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 273UG196 (v1.8.1) 2008 年 12 月 1 日

付録 A : MGT から GTP ト ラ ンシーバ デザインへの移行 R

柔軟性

Virtex-II Pro デバイ スの場合、 属性の変更にはパーシャル リ コ ンフ ィ ギュ レーシ ョ ンが必要です。

Virtex-4 および Virtex-5 デバイ スでは、 DRP からすべての属性を変更でき、 デフ ォル ト 値は HDL 自体で設定可能です。

ボー ド ガイ ド ラ イ ン

電源フ ィ ルタ リ ング

Virtex-5 FPGA GTP ト ラ ンシーバでは、以前の世代のデバイ ス と比較し、 次の 2 つの点で電源設計

が簡潔になっています。

1. ト ラ ンシーバに電源を入れるために必要な電源電圧は 2 つのみです。

2. 1 つのタ イルにあ る 2 つの ト ラ ンシーバが電源ピンを共有し ているため、 電源フ ィ ルタ リ ング

コ ンポーネン ト 数が減少し ます。

表 A-7 にすべての Virtex フ ァ ミ リ の電源ピン電圧を示し、 図 A-3 にすべての Virtex フ ァ ミ リ の電

源フ ィ ルタ リ ングを示し ます。

表 A-7 : 電源ピンの電圧

ピンVirtex-II Pro

MGTVirtex-4

MGTVirtex-5 FPGA

GTP ト ラ ンシーバ(1)

AVCCAUXRX 2.5V 1.2V -

AVCCAUXTX 2.5V 1.2V -

AVCCAUXMGT N/A 2.5V -

VTTX 1.8 ~ 2.5V(2) 1.5V -

VTRX 1.5 ~ 2.5V(2) 0.25 ~ 2.5V(2) -

MGTAVCCPLL - - 1.2V

MGTAVCC - - 1.0V

MGTAVTTTX - - 1.2V

MGTAVTTRX - - 1.2V

MGTAVTTRXC - - 1.2V

メ モ :

1. 公称値です。 正確な値および動作条件は、 デバイ スのデータ シー ト を参照し て く だ さ い。

2. AC/DC カ ッ プ リ ング または終端オプシ ョ ンに依存し ます。 詳細は、 デバイ スのユーザー ガ イ ド を参照して く だ さ い。

Page 274: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

274 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

主要な違いR

図 A-3 : Virtex-II、 Virtex-II Pro、 Virtex-4、 Virtex-5 FPGA GTP 電源フ ィ ルタ処理

Virtex-II Pro FPGA

AVCCAUXTX

AVCCAUXRX

VTTX

VTRX

AVCCAUXTX

AVCCAUXTX

AVCCAUXRXA

AVCCAUXRXB

AVCCAUXMGT

RTERM

MGTVREF

AVCCAUXRX

VTTX

VTRX

VTT

VTR

2.5V 2.5V

VTT

VTR

GNDA

GNDA

Virtex-4 FPGA

1.2V

1.5V

2.5V

VTTXA

VTTXB

VTRXA

VTRXB

1 kΩ

6.2 kΩ

0 kΩ

0 kΩ

2.5V

LM385VREF = 1.235V

R5 =(2.5V - VREF)/100 µA

1.5V Typical (0 - 2.5V)

Virtex-5 FPGA GTP

MGTRREF49.9Ω 1%

MGTVTTTX

1.2V(1)

MGTAVCCPLL

MGTAVTTRX

1.2V(1)

MGTAVCCA1

MGTAVCCA2

1.0V(1)

1.2V(1)

MGTAVTTTX1

MGTAVTTTX2

1.2V(1)

MGTAVTTRXC

UG196_aa_03_112907

Per Device

Per GTP_DUAL Tile

Page 275: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 275UG196 (v1.8.1) 2008 年 12 月 1 日

付録 A : MGT から GTP ト ラ ンシーバ デザインへの移行 R

その他の違い

終端

Virtex-II Pro デバイ スの場合、 ト ラ ンシーバにはオンチ ッ プ終端および VTTX と VTRX の参照電

圧があ り ます。 Virtex-4 デバイ スでは、 MGT で参照抵抗が使用され、 各 MGT 列の終端回路が作成

されます。 また、 Virtex-5 FPGA GTP ト ラ ンシーバでは、 50Ω の補正済み終端を提供する こ と で終

端回路が簡潔になっています。 表 A-8 に、 各 FPGA の終端オプシ ョ ンを示し ます。

FPGA ロジ ッ ク イ ン ターフ ェ イス

サポー ト される FPGA ロ ジ ッ ク イ ン ターフ ェ イ ス幅は ト ラ ンシーバによ って異な り ます。 表 A-9 に、 すべての MGT および GTP ト ラ ンシーバでサポー ト される幅を示し ます。

CRCCRC サポー ト は、 ト ラ ンシーバの世代によ って異な り ます。 表 A-10 に、 4 つすべての ト ラ ンシー

バ フ ァ ミ リ で提供されているCRC サポー ト を世代別に示し ます。

表 A-8 : 終端オプシ ョ ン

終端Virtex-II Pro

MGTVirtex-4

MGTVirtex-5 FPGA

GTP ト ラ ンシーバ

値 50/75Ω 50Ω 50Ω

電圧ピ ン VTTX/VTRX VTTX/VTRX MGTAVTTTX/MGTAVTTRX

表 A-9 : FPGA ロジ ッ ク イン ターフ ェ イスのサポー ト

Virtex-II Pro MGTVirtex-4

MGTVirtex-5 FPGA

GTP ト ラ ンシーバ

1 バイ ト 、 2 バイ ト 、

4 バイ ト 、 8 バイ ト

1 バイ ト 、 2 バイ ト 、

4 バイ ト 、 8 バイ ト

1 バイ ト 、 2 バイ ト

表 A-10 : CRC サポー ト

Virtex-II Pro MGT

Virtex-4 MGT

Virtex-5 FPGA

GTP ト ラ ンシーバ

CRC-32 独立し た CRC-32 ブロ ッ ク

が、8 ~ 64 ビ ッ ト 幅のデー

タパス をサポー ト 。

CRC ブ ロ ッ ク は独立し、 2 つの CRC-32 で 32 ビ ッ ト 幅以上のデータパス をサポー ト し、1 つの

CRC-64 では 32 ビ ッ ト 幅以上をサポー ト 。

Page 276: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

276 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

その他の違いR

ループバッ ク

Virtex ト ラ ンシーバのループバッ ク オプシ ョ ンは、 柔軟性を向上させる よ う に改善されてき ま し

た。 Virtex-II Pro MGT には 2 つのループバッ ク モード があ り 、 Virtex-4 MGT には 4 つのループ

バッ ク モード があ り ます。 表 A-11 には、 どのよ う に改善されてき たかが示されています。

シ リ アル化

Virtex-4 デバイ ス と同様、Virtex-5 FPGA GTP ト ラ ンシーバもデータ をシ リ アル化し、LSB を 初

に送信し ます。 Virtex-II Pro デバイ スの場合は、 MSB が 初に送信されます。

ク ロ ッ ク調整およびチャネル ボンデ ィ ング シーケンスの定義

CLK_COR_SEQ および CHAN_BOND_SEQ のビ ッ ト 定義は、 エン コード機能のサポー ト を強化

する よ う に変更されています。 表 A-12 に、 デバイ ス フ ァ ミ リ での違いを示し ます。

表 A-11 : ループバッ ク オプシ ョ ン

モー ドVirtex-II Pro

MGTVirtex-4

MGT

Virtex-5 FPGAGTP

ト ラ ンシーバ

パラ レル ループバッ ク (Tx → RX) あ り あ り あ り

シ リ アル プ リ ド ラ イバ - あ り あ り

シ リ アル ポス ト ド ラ イバ あ り - –

シ リ アル デジタル レシーバ – あ り –

外部では PMA のみを使用する

パラ レル ループバッ ク– – あ り

PCI Express Repeater – – あ り

表 A-12 : CLK_COR_SEQ および CHAN_BOND_SEQ シーケンス

ビ ッ ト 定義Virtex-II Pro

MGTVirtex-4

MGTVirtex-5 FPGA

GTP ト ラ ンシーバ

8B/10B エン コー ド時の定義 00110111100(1、 4) 00110111100(2、 4) 0110111100(3、 5)

10 ビ ッ ト リ テ ラル値 10011111010(1、 4) 10011111010(2、 4) 0011111010(1、 5)

64B/66B エン コード (同期キ ャ ラ ク タ) な し 1XX (同期ヘッ ダ) な し

8 ビ ッ ト リ テ ラル値 (64B/66B およびその他の

エン コード用)な し 1XX (8 ビッ ト データ ) な し

メ モ :

1. K28.5 を定義し ます。

2. K28.5 を定義し、 CLK_COR_8B10B_DE に依存し ます (また、 10 ビ ッ ト はすべて定義する必要があ り ます)。3. Virtex-II Pro および Virtex-4 の MGT では、 11 番目のビ ッ ト (一番左側のビ ッ ト ) に よ り 8 ビ ッ ト または 10 ビ ッ ト 比較が決ま り ます。

4. Virtex-5 FPGA GTP ト ラ ンシーバでは、 RX_DECODE_SEQ_MATCH 属性によ り 一致が 8B/10B デコーダの 8 ビ ッ ト 出力に対し て起こるか (RX_DECODE_SEQ_MATCH = TRUE)、 またはデコー ド されていない入力データで起こ るか (RX_DECODE_SEQ_MATCH = FALSE) が決ま り ます。 デコード されていないデータ には 8 ビ ッ ト (INTDATAWIDTH が Low) または 10 ビ ッ ト (INTDATAWIDTH がHigh) のいずれかを使用でき ます。

Page 277: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 277UG196 (v1.8.1) 2008 年 12 月 1 日

付録 A : MGT から GTP ト ラ ンシーバ デザインへの移行 R

RXSTATUS バス

よ り わか り やす く 情報を示すよ う にバスのい く つかが変更されています。 表 A-13 に、Virtex-II Pro から Virtex-5 FPGA デバイ ス までの移行を示し ます。

プ リ エン フ ァ シス、 差動振幅、 およびイ コ ラ イゼーシ ョ ン

差動信号テ ク ニ ッ クは、 近のザイ リ ン ク ス ト ラ ンシーバでよ り 強力な もの と なっています。

Virtex-5 FPGA GTP ト ラ ンシーバでは TX 特性を制御する ポー ト が追加され、 リ コ ンフ ィ ギュ レー

シ ョ ンを簡単に実行でき ます。 表 A-14 に、Virtex-II Pro および Virtex-4 MGT から Virtex-5 FPGA GTP に至る までの属性の移行を示し ます。

表 A-13 : ステータ ス バスの変更

説明Virtex-II Pro

MGTVirtex-4

MGTVirtex-5 FPGA

GTP ト ラ ンシーバ(1)

チャネル ボンデ ィ ングの完了を示す CHBONDONE(2) RXSTATUS[5] RXCHANISALIGNED

ステータ ス、 ビ ッ ト 、 イベン ト のステータ ス を示す なし RXSTATUS[4:3] RXSTATUS[2:0]

チャネル ボンデ ィ ングまたはク ロ ッ ク調整ポイ ン

タの変更を示すRXCLKCORCNT RXSTATUS[2:0] RXCHANREALIGN

RX バ ッ フ ァがアンダーフ ロー/オーバーフ ローかを

示すRXBUFSTATUS[1] RXBUFERR RXBUFSTATUS[2:0]

メ モ :

1. 信号の 適化設定は、 GTP_DUAL タ イルの 2 つの GTP ト ラ ンシーバ間で独立し ています。 GTP0 では信号名の後に 「0」 が付いてお り 、GTP1 の場合は 「1」 が付いています (例 : RXENEQB0、 RXENEQB1 など)。

2. RXCLKCORCNT は、 チャネル ボンデ ィ ングの完了前に 3'b101 にな る必要があ り ます。

表 A-14 : 信号 適化の属性およびポー ト

説明 Virtex-II Pro

MGTVirtex-4

MGT

Virtex-5 GTP FPGA ト ラ ンシーバ(1)

属性 ポー ト

TX プ リ エンフ ァ シスおよ

びエッ ジ レー ト を制御TX_PREMPHASIS

TXPRE_PRDRV_DAC

TXPRE_TAP_PD

TXSLEWRATE

TXPOST_PRDRV_DAC

TXDAT_PRDRV_DAC

TXPOST_TAP_PD

TXPREEMPHASIS[2:0]

送信 さ れた信号の差動振

幅を制御TX_DIFF_CTRL

TXPRE_TAP_DAC

TXPOST_TAP_DAC

TXDAT_TAP_DAC

TX_DIFF_BOOSTTXBUFDIFFCTRL

TXDIFFCTRL

ア ク テ ィ ブ イ コ ラ イゼー

シ ョ ンな し RXAFEEQ -

RXENEQB

RXEQPOLE[3:0]

RXEQMIX[1:0]

Page 278: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

278 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

プ リ エン フ ァ シス、 差動振幅、 およびイ コ ラ イゼーシ ョ ンR

個別のイ コ ラ イ ゼーショ ン N/A RXEQ N/A N/A

メ モ : 1. 信号の 適化設定は、 GTP_DUAL タ イルの 2 つの GTP ト ラ ンシーバ間で独立し ています。 GTP0 では信号名の後に 「0」 が付いてお り 、

GTP1 の場合は 「1」 が付いています (例 : RXENEQB0、 RXENEQB1 など)。

表 A-14 : 信号 適化の属性およびポー ト

説明 Virtex-II Pro

MGTVirtex-4

MGT

Virtex-5 GTP FPGA ト ラ ンシーバ(1)

属性 ポー ト

Page 279: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 279UG196 (v1.8.1) 2008 年 12 月 1 日

R

付録 B

OOB/ビーコ ン信号

GTP ト ラ ンシーバは、 SATA など の規格に準拠する OOB (Out of Band) 信号およ び PCI Express 仕様に準拠する ビ ーコ ン信号がサポート さ れていま す。 OOB 信号の伝達メ カニズムは、 高速シリ

アル データ 送信がアク ティ ブと なっていない場合 (一般的にリ ンク がパワ ー ダウ ン ス テート 、 ま

たは初期化さ れていない場合) に、 ト ラ ンス ミ ッ タ と レ シーバ間に低速信号を 送信する ために使用

さ れま す。

OOB 信号は、 レシーバの差動入力上の非差動の遷移を使用し て情報を送信し ます。 OOB 信号を送

信するには、 ト ラ ン ス ミ ッ タでシ リ アル差動出力ピンを同一電圧に駆動し、 その結果と し て、 ピン

間の電圧差を小さ く し ます。 絶対電圧差が、 設定された し きい値レベル以下に降下する と、 レシー

バは信号を OOB 信号と し て検出し ます。 図 B-1 は、 こ の概念を図示し た ものです。

GTP ト ラ ンシーバの TX および RX ロ ジ ッ ク それぞれでの OOB 信号のサポー ト については、 128 ページの 「TX の OOB/ビーコ ン信号送信」 および 138 ページの 「RX の OOB/ビーコ ン信号」 で詳

細に説明し ています。こ こ では、SATA での OOB 信号および PCI Express でのビーコ ン信号につい

て、 簡潔に説明し ます。

SATA での OOB 信号

図 B-2 に示すよ う に、 SATA では、 COMWAKE、 COMINIT、 および COMRESET シーケン スの

一部と し て OOB 信号を使用し ます。これらのシーケンスは、OOB 以外の長さが一定のデータ バー

ス ト およびそれに後続する OOB 信号 (SATA ではア イ ド ル信号と呼ばれる) で構成されます。 ア イ

ド ル長によ り 、 受信される COM シーケン ス タ イプが指定されます。 COMWAKE シーケン スは

106.7ns のア イ ドルを、 COMINIT/COMRESET シーケンスは 320ns のア イ ド ルを使用し ます。

COM シーケン スは 4 回連続し て受信される と きに有効です。

図 B-1 : OOB 信号

RXP

RXN

OOB UG196_B_01_112107

V+

2V+

2V-

OOBDETECT_THRESHOLD

Vcom

V-

Page 280: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

280 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

PCI Express におけるビーコ ン信号R

エン コードおよび OOB 信号のステー ト 検出に必要なアナロ グ回路に加えて、 GTP ト ラ ンシーバに

は、OOB 信号のバース ト /ビーコ ンを、SATA (COMRESET、COMWAKE、COMINIT) 用にフ ォー

マ ッ ト およびデコードするためのステー ト マシンが含まれます。

PCI Express におけるビーコ ン信号

PCI Express 仕様では、 ビーコ ン と呼ばれるシーケン スが、 エン ド ポ イ ン ト のパワーダウ ン ステー

ト からの起動に使用されます。 ビーコ ンは K28.5 (COM) キ ャ ラ ク タの伝送です。 また、 ビーコ ン

シーケン スには、 30KHz ~ 500MHz 間の任意の周波数を使用でき ます。

PCI Express 仕様では、 ビーコ ンの メ カニズムがイ ンバン ド ウ ェ イ ク ア ッ プを示すもの と し て説明

されてお り 、個別のウ ェ イ ク信号 (WAKE#) を使用する こ と を帯域外 (OOB) メ カニズム と し て定義

されています。 SATA の用語と一貫性を保つため、こ の文書ではビーコ ンを OOB テ ク ノ ロ ジ と し て

分類し ます。

ト ラ ンシーバでは、 PIPE (PHY Interface for the PCI Express) 仕様で定義された イ ン ターフ ェ イ ス

信号を使用し、 PCI Express におけ る ビーコ ン信号をサポー ト し ます。 また、 ビーコ ン シーケン ス

の形式は、 FPGA の制御ロ ジ ッ クによ って管理されます。

図 B-2 : SATA COM シーケンス

320 ns

106.7 ns

106.7 ns

106.7 ns

COMWAKE

COMRESETCOMINIT

UG196_B_02_092606

Page 281: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 281UG196 (v1.8.1) 2008 年 12 月 1 日

R

付録 C

8B/10B で有効なキャ ラ ク タ

8B/10B エン コード にはデータ キ ャ ラ ク タおよび K キ ャ ラ ク タのセ ッ ト が含まれ、シ リ アル ラ イ ン

の DC バラ ンス を維持し ながら、8 ビ ッ ト 値が 10 ビ ッ ト 値のコード に変換されます。K キ ャ ラ ク タ

は、CHARISK で指定された特別なデータ キ ャ ラ ク タです。表 C-1に有効なデータ キ ャ ラ ク タ を示

し、 289 ページの表 C-2 に有効な K キ ャ ラ ク タ を示し ます。

表 C-1 : 有効なキャ ラ ク タ

データ バイ ト 名

ビ ッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

D0.0 000 00000 100111 0100 011000 1011

D1.0 000 00001 011101 0100 100010 1011

D2.0 000 00010 101101 0100 010010 1011

D3.0 000 00011 110001 1011 110001 0100

D4.0 000 00100 110101 0100 001010 1011

D5.0 000 00101 101001 1011 101001 0100

D6.0 000 00110 011001 1011 011001 0100

D7.0 000 00111 111000 1011 000111 0100

D8.0 000 01000 111001 0100 000110 1011

D9.0 000 01001 100101 1011 100101 0100

D10.0 000 01010 010101 1011 010101 0100

D11.0 000 01011 110100 1011 110100 0100

D12.0 000 01100 001101 1011 001101 0100

D13.0 000 01101 101100 1011 101100 0100

D14.0 000 01110 011100 1011 011100 0100

D15.0 000 01111 010111 0100 101000 1011

D16.0 000 10000 011011 0100 100100 1011

D17.0 000 10001 100011 1011 100011 0100

D18.0 000 10010 010011 1011 010011 0100

D19.0 000 10011 110010 1011 110010 0100

Page 282: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

282 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

R

D20.0 000 10100 001011 1011 001011 0100

D21.0 000 10101 101010 1011 101010 0100

D22.0 000 10110 011010 1011 011010 0100

D23.0 000 10111 111010 0100 000101 1011

D24.0 000 11000 110011 0100 001100 1011

D25.0 000 11001 100110 1011 100110 0100

D26.0 000 11010 010110 1011 010110 0100

D27.0 000 11011 110110 0100 001001 1011

D28.0 000 11100 001110 1011 001110 0100

D29.0 000 11101 101110 0100 010001 1011

D30.0 000 11110 011110 0100 100001 1011

D31.0 000 11111 101011 0100 010100 1011

D0.1 001 00000 100111 1001 011000 1001

D1.1 001 00001 011101 1001 100010 1001

D2.1 001 00010 101101 1001 010010 1001

D3.1 001 00011 110001 1001 110001 1001

D4.1 001 00100 110101 1001 001010 1001

D5.1 001 00101 101001 1001 101001 1001

D6.1 001 00110 011001 1001 011001 1001

D7.1 001 00111 111000 1001 000111 1001

D8.1 001 01000 111001 1001 000110 1001

D9.1 001 01001 100101 1001 100101 1001

D10.1 001 01010 010101 1001 010101 1001

D11.1 001 01011 110100 1001 110100 1001

D12.1 001 01100 001101 1001 001101 1001

D13.1 001 01101 101100 1001 101100 1001

D14.1 001 01110 011100 1001 011100 1001

D15.1 001 01111 010111 1001 101000 1001

D16.1 001 10000 011011 1001 100100 1001

D17.1 001 10001 100011 1001 100011 1001

D18.1 001 10010 010011 1001 010011 1001

D19.1 001 10011 110010 1001 110010 1001

表 C-1 : 有効なキャ ラ ク タ (続き)

データ バイ ト 名

ビ ッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 283: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 283UG196 (v1.8.1) 2008 年 12 月 1 日

付録 C : 8B/10B で有効なキャ ラ ク タ R

D20.1 001 10100 001011 1001 001011 1001

D21.1 001 10101 101010 1001 101010 1001

D22.1 001 10110 011010 1001 011010 1001

D23.1 001 10111 111010 1001 000101 1001

D24.1 001 11000 110011 1001 001100 1001

D25.1 001 11001 100110 1001 100110 1001

D26.1 001 11010 010110 1001 010110 1001

D27.1 001 11011 110110 1001 001001 1001

D28.1 001 11100 001110 1001 001110 1001

D29.1 001 11101 101110 1001 010001 1001

D30.1 001 11110 011110 1001 100001 1001

D31.1 001 11111 101011 1001 010100 1001

D0.2 010 00000 100111 0101 011000 0101

D1.2 010 00001 011101 0101 100010 0101

D2.2 010 00010 101101 0101 010010 0101

D3.2 010 00011 110001 0101 110001 0101

D4.2 010 00100 110101 0101 001010 0101

D5.2 010 00101 101001 0101 101001 0101

D6.2 010 00110 011001 0101 011001 0101

D7.2 010 00111 111000 0101 000111 0101

D8.2 010 01000 111001 0101 000110 0101

D9.2 010 01001 100101 0101 100101 0101

D10.2 010 01010 010101 0101 010101 0101

D11.2 010 01011 110100 0101 110100 0101

D12.2 010 01100 001101 0101 001101 0101

D13.2 010 01101 101100 0101 101100 0101

D14.2 010 01110 011100 0101 011100 0101

D15.2 010 01111 010111 0101 101000 0101

D16.2 010 10000 011011 0101 100100 0101

D17.2 010 10001 100011 0101 100011 0101

D18.2 010 10010 010011 0101 010011 0101

D19.2 010 10011 110010 0101 110010 0101

表 C-1 : 有効なキャ ラ ク タ (続き)

データ バイ ト 名

ビ ッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 284: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

284 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

R

D20.2 010 10100 001011 0101 001011 0101

D21.2 010 10101 101010 0101 101010 0101

D22.2 010 10110 011010 0101 011010 0101

D23.2 010 10111 111010 0101 000101 0101

D24.2 010 11000 110011 0101 001100 0101

D25.2 010 11001 100110 0101 100110 0101

D26.2 010 11010 010110 0101 010110 0101

D27.2 010 11011 110110 0101 001001 0101

D28.2 010 11100 001110 0101 001110 0101

D29.2 010 11101 101110 0101 010001 0101

D30.2 010 11110 011110 0101 100001 0101

D31.2 010 11111 101011 0101 010100 0101

D0.3 011 00000 100111 0011 011000 1100

D1.3 011 00001 011101 0011 100010 1100

D2.3 011 00010 101101 0011 010010 1100

D3.3 011 00011 110001 1100 110001 0011

D4.3 011 00100 110101 0011 001010 1100

D5.3 011 00101 101001 1100 101001 0011

D6.3 011 00110 011001 1100 011001 0011

D7.3 011 00111 111000 1100 000111 0011

D8.3 011 01000 111001 0011 000110 1100

D9.3 011 01001 100101 1100 100101 0011

D10.3 011 01010 010101 1100 010101 0011

D11.3 011 01011 110100 1100 110100 0011

D12.3 011 01100 001101 1100 001101 0011

D13.3 011 01101 101100 1100 101100 0011

D14.3 011 01110 011100 1100 011100 0011

D15.3 011 01111 010111 0011 101000 1100

D16.3 011 10000 011011 0011 100100 1100

D17.3 011 10001 100011 1100 100011 0011

D18.3 011 10010 010011 1100 010011 0011

D19.3 011 10011 110010 1100 110010 0011

表 C-1 : 有効なキャ ラ ク タ (続き)

データ バイ ト 名

ビ ッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 285: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 285UG196 (v1.8.1) 2008 年 12 月 1 日

付録 C : 8B/10B で有効なキャ ラ ク タ R

D20.3 011 10100 001011 1100 001011 0011

D21.3 011 10101 101010 1100 101010 0011

D22.3 011 10110 011010 1100 011010 0011

D23.3 011 10111 111010 0011 000101 1100

D24.3 011 11000 110011 0011 001100 1100

D25.3 011 11001 100110 1100 100110 0011

D26.3 011 11010 010110 1100 010110 0011

D27.3 011 11011 110110 0011 001001 1100

D28.3 011 11100 001110 1100 001110 0011

D29.3 011 11101 101110 0011 010001 1100

D30.3 011 11110 011110 0011 100001 1100

D31.3 011 11111 101011 0011 010100 1100

D0.4 100 00000 100111 0010 011000 1101

D1.4 100 00001 011101 0010 100010 1101

D2.4 100 00010 101101 0010 010010 1101

D3.4 100 00011 110001 1101 110001 0010

D4.4 100 00100 110101 0010 001010 1101

D5.4 100 00101 101001 1101 101001 0010

D6.4 100 00110 011001 1101 011001 0010

D7.4 100 00111 111000 1101 000111 0010

D8.4 100 01000 111001 0010 000110 1101

D9.4 100 01001 100101 1101 100101 0010

D10.4 100 01010 010101 1101 010101 0010

D11.4 100 01011 110100 1101 110100 0010

D12.4 100 01100 001101 1101 001101 0010

D13.4 100 01101 101100 1101 101100 0010

D14.4 100 01110 011100 1101 011100 0010

D15.4 100 01111 010111 0010 101000 1101

D16.4 100 10000 011011 0010 100100 1101

D17.4 100 10001 100011 1101 100011 0010

D18.4 100 10010 010011 1101 010011 0010

D19.4 100 10011 110010 1101 110010 0010

表 C-1 : 有効なキャ ラ ク タ (続き)

データ バイ ト 名

ビ ッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 286: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

286 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

R

D20.4 100 10100 001011 1101 001011 0010

D21.4 100 10101 101010 1101 101010 0010

D22.4 100 10110 011010 1101 011010 0010

D23.4 100 10111 111010 0010 000101 1101

D24.4 100 11000 110011 0010 001100 1101

D25.4 100 11001 100110 1101 100110 0010

D26.4 100 11010 010110 1101 010110 0010

D27.4 100 11011 110110 0010 001001 1101

D28.4 100 11100 001110 1101 001110 0010

D29.4 100 11101 101110 0010 010001 1101

D30.4 100 11110 011110 0010 100001 1101

D31.4 100 11111 101011 0010 010100 1101

D0.5 101 00000 100111 1010 011000 1010

D1.5 101 00001 011101 1010 100010 1010

D2.5 101 00010 101101 1010 010010 1010

D3.5 101 00011 110001 1010 110001 1010

D4.5 101 00100 110101 1010 001010 1010

D5.5 101 00101 101001 1010 101001 1010

D6.5 101 00110 011001 1010 011001 1010

D7.5 101 00111 111000 1010 000111 1010

D8.5 101 01000 111001 1010 000110 1010

D9.5 101 01001 100101 1010 100101 1010

D10.5 101 01010 010101 1010 010101 1010

D11.5 101 01011 110100 1010 110100 1010

D12.5 101 01100 001101 1010 001101 1010

D13.5 101 01101 101100 1010 101100 1010

D14.5 101 01110 011100 1010 011100 1010

D15.5 101 01111 010111 1010 101000 1010

D16.5 101 10000 011011 1010 100100 1010

D17.5 101 10001 100011 1010 100011 1010

D18.5 101 10010 010011 1010 010011 1010

D19.5 101 10011 110010 1010 110010 1010

表 C-1 : 有効なキャ ラ ク タ (続き)

データ バイ ト 名

ビ ッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 287: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 287UG196 (v1.8.1) 2008 年 12 月 1 日

付録 C : 8B/10B で有効なキャ ラ ク タ R

D20.5 101 10100 001011 1010 001011 1010

D21.5 101 10101 101010 1010 101010 1010

D22.5 101 10110 011010 1010 011010 1010

D23.5 101 10111 111010 1010 000101 1010

D24.5 101 11000 110011 1010 001100 1010

D25.5 101 11001 100110 1010 100110 1010

D26.5 101 11010 010110 1010 010110 1010

D27.5 101 11011 110110 1010 001001 1010

D28.5 101 11100 001110 1010 001110 1010

D29.5 101 11101 101110 1010 010001 1010

D30.5 101 11110 011110 1010 100001 1010

D31.5 101 11111 101011 1010 010100 1010

D0.6 110 00000 100111 0110 011000 0110

D1.6 110 00001 011101 0110 100010 0110

D2.6 110 00010 101101 0110 010010 0110

D3.6 110 00011 110001 0110 110001 0110

D4.6 110 00100 110101 0110 001010 0110

D5.6 110 00101 101001 0110 101001 0110

D6.6 110 00110 011001 0110 011001 0110

D7.6 110 00111 111000 0110 000111 0110

D8.6 110 01000 111001 0110 000110 0110

D9.6 110 01001 100101 0110 100101 0110

D10.6 110 01010 010101 0110 010101 0110

D11.6 110 01011 110100 0110 110100 0110

D12.6 110 01100 001101 0110 001101 0110

D13.6 110 01101 101100 0110 101100 0110

D14.6 110 01110 011100 0110 011100 0110

D15.6 110 01111 010111 0110 101000 0110

D16.6 110 10000 011011 0110 100100 0110

D17.6 110 10001 100011 0110 100011 0110

D18.6 110 10010 010011 0110 010011 0110

D19.6 110 10011 110010 0110 110010 0110

表 C-1 : 有効なキャ ラ ク タ (続き)

データ バイ ト 名

ビ ッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 288: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

288 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

R

D20.6 110 10100 001011 0110 001011 0110

D21.6 110 10101 101010 0110 101010 0110

D22.6 110 10110 011010 0110 011010 0110

D23.6 110 10111 111010 0110 000101 0110

D24.6 110 11000 110011 0110 001100 0110

D25.6 110 11001 100110 0110 100110 0110

D26.6 110 11010 010110 0110 010110 0110

D27.6 110 11011 110110 0110 001001 0110

D28.6 110 11100 001110 0110 001110 0110

D29.6 110 11101 101110 0110 010001 0110

D30.6 110 11110 011110 0110 100001 0110

D31.6 110 11111 101011 0110 010100 0110

D0.7 111 00000 100111 0001 011000 1110

D1.7 111 00001 011101 0001 100010 1110

D2.7 111 00010 101101 0001 010010 1110

D3.7 111 00011 110001 1110 110001 0001

D4.7 111 00100 110101 0001 001010 1110

D5.7 111 00101 101001 1110 101001 0001

D6.7 111 00110 011001 1110 011001 0001

D7.7 111 00111 111000 1110 000111 0001

D8.7 111 01000 111001 0001 000110 1110

D9.7 111 01001 100101 1110 100101 0001

D10.7 111 01010 010101 1110 010101 0001

D11.7 111 01011 110100 1110 110100 1000

D12.7 111 01100 001101 1110 001101 0001

D13.7 111 01101 101100 1110 101100 1000

D14.7 111 01110 011100 1110 011100 1000

D15.7 111 01111 010111 0001 101000 1110

D16.7 111 10000 011011 0001 100100 1110

D17.7 111 10001 100011 0111 100011 0001

D18.7 111 10010 010011 0111 010011 0001

D19.7 111 10011 110010 1110 110010 0001

表 C-1 : 有効なキャ ラ ク タ (続き)

データ バイ ト 名

ビ ッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 289: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 289UG196 (v1.8.1) 2008 年 12 月 1 日

付録 C : 8B/10B で有効なキャ ラ ク タ R

D20.7 111 10100 001011 0111 001011 0001

D21.7 111 10101 101010 1110 101010 0001

D22.7 111 10110 011010 1110 011010 0001

D23.7 111 10111 111010 0001 000101 1110

D24.7 111 11000 110011 0001 001100 1110

D25.7 111 11001 100110 1110 100110 0001

D26.7 111 11010 010110 1110 010110 0001

D27.7 111 11011 110110 0001 001001 1110

D28.7 111 11100 001110 1110 001110 0001

D29.7 111 11101 101110 0001 010001 1110

D30.7 111 11110 011110 0001 100001 1110

D31.7 111 11111 101011 0001 010100 1110

表 C-2 : 有効な制御 K キャ ラ ク タ

特別な

コー ド名

ビ ッ ト

HGF EDCBA

現在の RD –abcdei fghj

現在の RD +abcdei fghj

K28.0 000 11100 001111 0100 110000 1011

K28.1 001 11100 001111 1001 110000 0110

K28.2 010 11100 001111 0101 110000 1010

K28.3 011 11100 001111 0011 110000 1100

K28.4 100 11100 001111 0010 110000 1101

K28.5 101 11100 001111 1010 110000 0101

K28.6 110 11100 001111 0110 110000 1001

K28.7 (1) 111 11100 001111 1000 110000 0111

K23.7 111 10111 111010 1000 000101 0111

K27.7 111 11011 110110 1000 001001 0111

K29.7 111 11101 101110 1000 010001 0111

K30.7 111 11110 011110 1000 100001 0111

メ モ :

1. テス ト および特性評価にのみ使用されます。

表 C-1 : 有効なキャ ラ ク タ (続き)

データ バイ ト 名

ビ ッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 290: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

290 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

R

Page 291: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 291UG196 (v1.8.1) 2008 年 12 月 1 日

R

付録 D

GTP_DUAL タ イルのア ド レス マ ッ プ

すべての属性は、 バイナ リ 値と し て DRP テーブルに格納され、 属性によ っては UCF/HDL 値から

バイナ リ 値へ特別なマ ッ ピングを使用する ものがあ り ます。 表 D-1 に、 これらの値のマ ッ ピングを

示し ます。

表 D-1 に記載されていない属性については、次のルールを使用し てバイナ リ マ ッ ピングを決定し て

く ださ い。

• TRUE/FALSE 値で指定する属性の場合、 TRUE に対し て 1 を、 FALSE に対し て 0 を使用し

ます。

• 整数値をバイナ リ に変換し ます。

表 D-1 : 特別な属性マ ッ ピング

属性 UCF/HDL 属性値 DRP バイナリ値

CHAN_BOND_MODE

OFF 00

MASTER 01

SLAVE 10

CLK25_DIVIDER

1 000

2 001

3 010

4 011

5 100

6 101

10 110

12 111

Page 292: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

292 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

R

OOB_CLK_DIVIDER

1 000

2 001

4 010

6 011

8 100

10 101

12 110

14 111

PLL_DIVSEL_FB

1 10000

2 00000

3 00001

4 00010

5 00011

PLL_DIVSEL_REF1 010000

2 000000

PLL_RXDIVSEL_OUT

1 00

2 01

4 10

PLL_TXDIVSEL_COMM_OUT

1 00

2 01

4 10

PLL_TXDIVSEL_OUT

1 00

2 01

4 10

RX_LOS_INVALID_INCR

1 000

2 001

4 010

8 011

16 100

32 101

64 110

128 111

表 D-1 : 特別な属性マ ッ ピング (続き)

属性 UCF/HDL 属性値 DRP バイナリ値

Page 293: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 293UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ R

属性ご との DRP ア ド レス

表 D-2 に、 属性名に従って DRP ア ド レ ス を示し ます。

RX_LOS_THRESHOLD

4 000

8 001

16 010

32 011

64 100

128 101

256 110

512 111

RX_SLIDE_MODEPCS 0

PMA 1

RX_STATUS_FMTPCIE 0

SATA 1

RX_XCLK_SELRXREC 0

RXUSR 1

TX_XCLK_SELTXOUT 0

TXUSR 1

表 D-1 : 特別な属性マ ッ ピング (続き)

属性 UCF/HDL 属性値 DRP バイナリ値

Page 294: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

294 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プR

表 D

-2 :

属性

ごと

の D

RP

アド

レス

属性

ビッ

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

AC

_CA

P_D

IS_0

49<14>

AC

_CA

P_D

IS_1

6<1>

AL

IGN

_CO

MM

A_W

OR

D_0

2b<12>

AL

IGN

_CO

MM

A_W

OR

D_1

24<3>

CH

AN

_BO

ND

_1_M

AX

_SK

EW

_0

2c<0>

2b<15>

2b<14>

2b<13>

CH

AN

_BO

ND

_1_M

AX

_SK

EW

_1

23<15>

24<0>

24<1>

24<2>

CH

AN

_BO

ND

_2_M

AX

_SK

EW

_0

2c<4>

2c<3>

2c<2>

2c<1>

CH

AN

_BO

ND

_2_M

AX

_SK

EW

_1

23<11>

23<12>

23<13>

23<14>

CH

AN

_BO

ND

_LE

VE

L_0

2c<7>

2c<6>

2c<5>

CH

AN

_BO

ND

_LE

VE

L_1

23<8>

23<9>

23<10>

CH

AN

_BO

ND

_MO

DE

_0

2c<9>

2c<8>

CH

AN

_BO

ND

_MO

DE

_1

23<6>

23<7>

Page 295: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 295UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ R

CH

AN

_BO

ND

_SE

Q_1

_1_0

2d<3>

2d<2>

2d<1>

2d<0>

2c<15>

2c<14>

2c<13>

2c<12>

2c<11>

2c<10>

CH

AN

_BO

ND

_SE

Q_1

_1_1

22<12>

22<13>

22<14>

22<15>

23<0>

23<1>

23<2>

23<3>

23<4>

23<5>

CH

AN

_BO

ND

_SE

Q_1

_2_0

2d<13>

2d<12>

2d<11>

2d<10>

2d<9>

2d<8>

2d<7>

2d<6>

2d<5>

2d<4>

CH

AN

_BO

ND

_SE

Q_1

_2_1

22<2>

22<3>

22<4>

22<5>

22<6>

22<7>

22<8>

22<9>

22<10>

22<11>

CH

AN

_BO

ND

_SE

Q_1

_3_0

2e<7>

2e<6>

2e<5>

2e<4>

2e<3>

2e<2>

2e<1>

2e<0>

2d<15>

2d<14>

CH

AN

_BO

ND

_SE

Q_1

_3_1

21<8>

21<9>

21<10>

21<11>

21<12>

21<13>

21<14>

21<15>

22<0>

22<1>

CH

AN

_BO

ND

_SE

Q_1

_4_0

2f<1>

2f<0>

2e<15>

2e<14>

2e<13>

2e<12>

2e<11>

2e<10>

2e<9>

2e<8>

CH

AN

_BO

ND

_SE

Q_1

_4_1

20<14>

20<15>

21<0>

21<1>

21<2>

21<3>

21<4>

21<5>

21<6>

21<7>C

HA

N_B

ON

D_S

EQ

_1_E

NA

BL

E_0

2f<5>

2f<4>

2f<3>

2f<2>

CH

AN

_BO

ND

_SE

Q_1

_EN

AB

LE

_1

20<10>

20<11>

20<12>

20<13>C

HA

N_B

ON

D_S

EQ

_2_1

_0

2f<15>

2f<14>

2f<13>

2f<12>

2f<11>

2f<10>

2f<9>

2f<8>

2f<7>

2f<6>

CH

AN

_BO

ND

_SE

Q_2

_1_1

20<0>

20<1>

20<2>

20<3>

20<4>

20<5>

20<6>

20<7>

20<8>

20<9>

表 D

-2 :

属性

ごと

の D

RP

アド

レス

(続

き)

属性

ビッ

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

Page 296: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

296 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プR

CH

AN

_BO

ND

_SE

Q_2

_2_0

30<10>

30<9>

30<8>

30<7>

30<6>

30<5>

30<4>

30<3>

30<1>

30<0>

CH

AN

_BO

ND

_SE

Q_2

_2_1

1f<5>

1f<6>

1f<7>

1f<8>

1f<9>

1f<10>

1f<11>

1f<12>

1f<14>

1f<15>

CH

AN

_BO

ND

_SE

Q_2

_3_0

47<9>

47<8>

47<7>

47<6>

47<5>

47<4>

47<3>

47<2>

47<1>

30<11>

CH

AN

_BO

ND

_SE

Q_2

_3_1

8<6>

8<7>

8<8>

8<9>

8<10>

8<11>

8<12>

8<13>

8<14>

1f<4>

CH

AN

_BO

ND

_SE

Q_2

_4_0

48<3>

48<2>

48<1>

48<0>

47<15>

47<14>

47<13>

47<12>

47<11>

47<10>

CH

AN

_BO

ND

_SE

Q_2

_4_1

7<12>

7<13>

7<14>

7<15>

8<0>

8<1>

8<2>

8<3>

8<4>

8<5>

CH

AN

_BO

ND

_SE

Q_2

_EN

AB

LE

_0

39<14>

39<15>

3a<0>

3a<1>

CH

AN

_BO

ND

_SE

Q_2

_EN

AB

LE

_1

16<1>

16<0>

15<15>

15<14>

CH

AN

_BO

ND

_SE

Q_2

_USE

_0

39<13>

CH

AN

_BO

ND

_SE

Q_2

_USE

_1

16<2>

CH

AN

_BO

ND

_SE

Q_L

EN

_0

39<11>

39<12>

CH

AN

_BO

ND

_SE

Q_L

EN

_1

16<4>

16<3>

表 D

-2 :

属性

ごと

の D

RP

アド

レス

(続

き)

属性

ビッ

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

Page 297: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 297UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ R

CL

K_C

OR

_AD

J_L

EN

_0

39<9>

39<10>

CL

K_C

OR

_AD

J_L

EN

_1

16<6>

16<5>

CL

K_C

OR

_DE

T_L

EN

_0

39<7>

39<8>

CL

K_C

OR

_DE

T_L

EN

_1

16<8>

16<7>

CL

K_C

OR

_IN

SER

T_I

DL

E_F

LA

G_0

39<6>

CL

K_C

OR

_IN

SER

T_I

DL

E_F

LA

G_1

16<9>

CL

K_C

OR

_KE

EP_

IDL

E_0

39<5>

CL

K_C

OR

_KE

EP_

IDL

E_1

16<10>

CL

K_C

OR

_MA

X_L

AT

_0

38<15>

39<0>

39<1>

39<2>

39<3>

39<4>

CL

K_C

OR

_MA

X_L

AT

_1

17<0>

16<15>

16<14>

16<13>

16<12>

16<11>C

LK

_CO

R_M

IN_L

AT

_0

38<9>

38<10>

38<11>

38<12>

38<13>

38<14>

CL

K_C

OR

_MIN

_LA

T_1

17<6>

17<5>

17<4>

17<3>

17<2>

17<1>

表 D

-2 :

属性

ごと

の D

RP

アド

レス

(続

き)

属性

ビッ

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

Page 298: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

298 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プR

CL

K_C

OR

_PR

EC

ED

EN

CE

_0

38<8>

CL

K_C

OR

_PR

EC

ED

EN

CE

_1

17<7>

CL

K_C

OR

_RE

PEA

T_W

AIT

_0

38<2>

38<3>

38<4>

38<5>

38<6>

CL

K_C

OR

_RE

PEA

T_W

AIT

_1

17<13>

17<12>

17<11>

17<10>

17<9>

CL

K_C

OR

_SE

Q_1

_1_0

37<8>

37<9>

37<10>

37<11>

37<12>

37<13>

37<14>

37<15>

38<0>

38<1>

CL

K_C

OR

_SE

Q_1

_1_1

18<7>

18<6>

18<5>

18<4>

18<3>

18<2>

18<1>

18<0>

17<15>

17<14>

CL

K_C

OR

_SE

Q_1

_2_0

36<14>

36<15>

37<0>

37<1>

37<2>

37<3>

37<4>

37<5>

37<6>

37<7>

CL

K_C

OR

_SE

Q_1

_2_1

19<1>

19<0>

18<15>

18<14>

18<13>

18<12>

18<11>

18<10>

18<9>

18<8>C

LK

_CO

R_S

EQ

_1_3

_0

36<4>

36<5>

36<6>

36<7>

36<8>

36<9>

36<10>

36<11>

36<12>

36<13>

CL

K_C

OR

_SE

Q_1

_3_1

19<11>

19<10>

19<9>

19<8>

19<7>

19<6>

19<5>

19<4>

19<3>

19<2>

CL

K_C

OR

_SE

Q_1

_4_0

35<10>

35<11>

35<12>

35<13>

35<14>

35<15>

36<0>

36<1>

36<2>

36<3>

CL

K_C

OR

_SE

Q_1

_4_1

1a<5>

1a<4>

1a<3>

1a<2>

1a<1>

1a<0>

19<15>

19<14>

19<13>

19<12>

表 D

-2 :

属性

ごと

の D

RP

アド

レス

(続

き)

属性

ビッ

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

Page 299: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 299UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ R

CL

K_C

OR

_SE

Q_1

_EN

AB

LE

_0

35<6>

35<7>

35<8>

35<9>

CL

K_C

OR

_SE

Q_1

_EN

AB

LE

_1

1a<9>

1a<8>

1a<7>

1a<6>

CL

K_C

OR

_SE

Q_2

_1_0

34<12>

34<13>

34<14>

34<15>

35<0>

35<1>

35<2>

35<3>

35<4>

35<5>

CL

K_C

OR

_SE

Q_2

_1_1

1b<3>

1b<2>

1b<1>

1b<0>

1a<15>

1a<14>

1a<13>

1a<12>

1a<11>

1a<10>

CL

K_C

OR

_SE

Q_2

_2_0

34<2>

34<3>

34<4>

34<5>

34<6>

34<7>

34<8>

34<9>

34<10>

34<11>

CL

K_C

OR

_SE

Q_2

_2_1

1b<13>

1b<12>

1b<11>

1b<10>

1b<9>

1b<8>

1b<7>

1b<6>

1b<5>

1b<4>

CL

K_C

OR

_SE

Q_2

_3_0

33<8>

33<9>

33<10>

33<11>

33<12>

33<13>

33<14>

33<15>

34<0>

34<1>

CL

K_C

OR

_SE

Q_2

_3_1

1c<7>

1c<6>

1c<5>

1c<4>

1c<3>

1c<2>

1c<1>

1c<0>

1b<15>

1b<14>C

LK

_CO

R_S

EQ

_2_4

_0

32<14>

32<15>

33<0>

33<1>

33<2>

33<3>

33<4>

33<5>

33<6>

33<7>

CL

K_C

OR

_SE

Q_2

_4_1

1d<1>

1d<0>

1c<15>

1c<14>

1c<13>

1c<12>

1c<11>

1c<10>

1c<9>

1c<8>

CL

K_C

OR

_SE

Q_2

_EN

AB

LE

_0

32<10>

32<11>

32<12>

32<13>

CL

K_C

OR

_SE

Q_2

_EN

AB

LE

_11d<5>

1d<4>

1d<3>

1d<2>

表 D

-2 :

属性

ごと

の D

RP

アド

レス

(続

き)

属性

ビッ

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

Page 300: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

300 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プR

CL

K_C

OR

_SE

Q_2

_US

E_0

32<9>

CL

K_C

OR

_SE

Q_2

_US

E_1

1d<6>

CL

K_C

OR

RE

CT

_USE

_0

38<7>

CL

K_C

OR

RE

CT

_USE

_1

17<8>

CL

K25

_DIV

IDE

R

26<11>

26<10>

26<9>

CL

KIN

DC

_B

4<3>

CL

KN

OR

TH

_SE

L

4<8>

CL

KS

OU

TH

_SE

L

4<7>

CO

M_B

UR

ST

_VA

L_0

32<5>

32<6>

32<7>

32<8>

CO

M_B

UR

ST

_VA

L_1

1d<10>

1d<9>

1d<8>

1d<7>

CO

MM

A_1

0B_E

NA

BL

E_0

31<11>

31<12>

31<13>

31<14>

31<15>

32<0>

32<1>

32<2>

32<3>

32<4>

CO

MM

A_1

0B_E

NA

BL

E_1

1e<4>

1e<3>

1e<2>

1e<1>

1e<0>

1d<15>

1d<14>

1d<13>

1d<12>

1d<11>

CO

MM

A_D

OU

BL

E_0

31<10>

表 D

-2 :

属性

ごと

の D

RP

アド

レス

(続

き)

属性

ビッ

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

Page 301: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 301UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ R

CO

MM

A_D

OU

BL

E_1

1e<5>

DE

C_M

CO

MM

A_D

ET

EC

T_0

31<9>

DE

C_M

CO

MM

A_D

ET

EC

T_1

1f<3>

DE

C_P

CO

MM

A_D

ET

EC

T_0

46<4>

DE

C_P

CO

MM

A_D

ET

EC

T_1

1e<7>

DE

C_V

AL

ID_C

OM

MA

_ON

LY_0

31<7>

DE

C_V

AL

ID_C

OM

MA

_ON

LY_1

1e<8>

MC

OM

MA

_10B

_VA

LU

E_0

30<13>

30<14>

30<15>

31<0>

31<1>

31<2>

31<3>

31<4>

31<5>

31<6>

MC

OM

MA

_10B

_VA

LU

E_1

1f<2>

1f<1>

1f<0>

1e<15>

1e<14>

1e<13>

1e<12>

1e<11>

1e<10>

1e<9>M

CO

MM

A_D

ET

EC

T_0

30<12>

OO

B_C

LK

_DIV

IDE

R

26<14>

26<13>

26<12>

OO

BD

ET

EC

T_T

HR

ESH

OL

D_0

3a<3>

3a<4>

3a<5>

表 D

-2 :

属性

ごと

の D

RP

アド

レス

(続

き)

属性

ビッ

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

Page 302: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

302 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プR

OO

BD

ET

EC

T_T

HR

ES

HO

LD

_1

15<12>

15<11>

15<10>

OV

ER

SA

MP

LE

_MO

DE

26<15>

PCI_

EX

PR

ES

S_M

OD

E_0

46<15>

PCI_

EX

PR

ES

S_M

OD

E_1

9<0>

PCO

MM

A_1

0B_V

AL

UE

_0

46<5>

46<6>

46<7>

46<8>

46<9>

46<10>

46<11>

46<12>

46<13>

46<14>

PCO

MM

A_1

0B_V

AL

UE

_1

9<10>

9<9>

9<8>

9<7>

9<6>

9<5>

9<4>

9<3>

9<2>

9<1>

PC

S_C

OM

_CF

G

28<11>

28<10>

28<9>

28<8>

28<7>

28<6>

28<5>

28<4>

28<3>

28<2>

28<1>

28<0>

27<15>

27<14>

27<13>

27<12>

27<11>

27<10>

27<9>

27<8>

27<7>

27<6>

27<5>

27<4>

27<3>

27<2>

27<1>

27<0>

PC

OM

MA

_DE

TE

CT

_1

9<11>

PL

L_D

IVSE

L_F

B

29<0>

28<15>

28<14>

28<13>

28<12>

PL

L_D

IVS

EL

_RE

F

4<9>

4<10>

4<11>

4<12>

4<13>

4<14>P

LL

_RX

DIV

SE

L_O

UT

_0

46<2>

46<3>

PL

L_R

XD

IVS

EL

_OU

T_1

0a<0>

9<15>

表 D

-2 :

属性

ごと

の D

RP

アド

レス

(続

き)

属性

ビッ

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

Page 303: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 303UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ R

PL

L_S

ATA

_0

46<1>

PL

L_S

ATA

_1

9<14>

PLL

_TX

DIV

SE

L_C

OM

M_O

UT

4a<9>

4a<8>

PL

L_T

XD

IVS

EL

_OU

T_0

45<15>

46<0>

PL

L_T

XD

IVS

EL

_OU

T_1

5<4>

5<3>

PM

A_C

DR

_SC

AN

_0

44<4>

44<5>

44<6>

44<7>

44<8>

44<9>

44<10>

44<11>

44<12>

44<13>

44<14>

44<15>

45<0>

45<1>

45<2>

45<3>

45<4>

45<5>

45<6>

45<7>

45<8>

45<9>

45<10>

45<11>

45<12>

45<13>

45<14>

PM

A_C

DR

_SC

AN

_1

0b<11>

0b<10>

0b<9>

0b<8>

0b<7>

0b<6>

0b<5>

0b<4>

0b<3>

0b<2>

0b<1>

0b<0>

0a<15>

0a<14>

0a<13>

0a<12>

0a<11>

0a<10>

0a<9>

0a<8>

0a<7>

0a<6>

0a<5>

0a<4>

0a<3>

0a<2>

0a<1>

PM

A_R

X_C

FG

_0

49<10>

49<11>

48<10>

48<11>

48<12>

48<13>

48<4>

48<5>

48<6>

48<7>

48<8>

49<9>

49<13>

48<15>

49<0>

49<1>

49<2>

49<3>

49<4>

49<5>

49<6>

49<7>

49<8>

48<9>

49<12>

PM

A_R

X_C

FG

_1

6<5>

6<4>

7<5>

7<4>

7<3>

7<2>

7<11>

7<10>

7<9>

7<8>

7<7>

6<6>

6<2>

7<0>

6<15>

6<14>

6<13>

6<12>

6<11>

6<10>

6<9>

6<8>

6<7>

7<6>

6<3>

PR

BS_

ER

R_T

HR

ES

HO

LD

_0

42<4>

42<5>

42<6>

42<7>

42<8>

42<9>

42<10>

42<11>

42<12>

42<13>

42<14>

42<15>

43<0>

43<1>

43<2>

43<3>

43<4>

43<5>

43<6>

43<7>

43<8>

43<9>

43<10>

43<11>

43<12>

43<13>

43<14>

43<15>

44<0>

44<1>

44<2>

44<3>

PR

BS_

ER

R_T

HR

ES

HO

LD

_1

0d<11>

0d<10>

0d<9>

0d<8>

0d<7>

0d<6>

0d<5>

0d<4>

0d<3>

0d<2>

0d<1>

0d<0>

0c<15>

0c<14>

0c<13>

0c<12>

0c<11>

0c<10>

0c<9>

0c<8>

0c<7>

0c<6>

0c<5>

0c<4>

0c<3>

0c<2>

0c<1>

0c<0>

0b<15>

0b<14>

0b<13>

0b<12>

RC

V_T

ER

M_G

ND

_0

4a<0>

表 D

-2 :

属性

ごと

の D

RP

アド

レス

(続

き)

属性

ビッ

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

Page 304: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

304 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プR

RC

V_T

ER

M_G

ND

_1

5<15>

RC

V_T

ER

M_M

ID_0

49<15>

RC

V_T

ER

M_M

ID_1

6<0>

RC

V_T

ER

M_V

TT

RX

_0

4a<1>

RC

V_T

ER

M_V

TT

RX

_1

5<14>

RE

FCL

K_S

EL

[2:0

]

4<6>

4<5>

4<4>

RX

_BU

FFE

R_U

SE

_0

42<3>

RX

_BU

FFE

R_U

SE

_1

0d<12>

RX

_DE

CO

DE

_SE

Q_M

AT

CH

_0

42<2>

RX

_DE

CO

DE

_SE

Q_M

AT

CH

_1

0d<13>

RX

_LO

S_I

NV

AL

ID_I

NC

R_0

41<15>

42<0>

42<1>

RX

_LO

S_I

NV

AL

ID_I

NC

R_1

0e<0>

0d<15>

0d<14>

表 D

-2 :

属性

ごと

の D

RP

アド

レス

(続

き)

属性

ビッ

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

Page 305: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 305UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ R

RX

_LO

S_T

HR

ESH

OL

D_0

41<11>

41<12>

41<13>

RX

_LO

S_T

HR

ESH

OL

D_1

0e<4>

0e<3>

0e<2>

RX

_LO

SS

_OF

_SY

NC

_FS

M_0

41<14>

RX

_LO

SS

_OF

_SY

NC

_FS

M_1

0e<1>

RX

_SL

IDE

_MO

DE

_0

41<10>

RX

_SL

IDE

_MO

DE

_1

0e<5>

RX

_STA

TU

S_F

MT

_0

41<9>

RX

_STA

TU

S_F

MT

_1

0e<6>

RX

_XC

LK

_SE

L_0

41<8>

RX

_XC

LK

_SE

L_1

0e<7>

SATA

_BU

RST

_VA

L_0

41<5>

41<6>

41<7>

SATA

_BU

RST

_VA

L_1

0e<10>

0e<9>

0e<8>

表 D

-2 :

属性

ごと

の D

RP

アド

レス

(続

き)

属性

ビッ

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

Page 306: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

306 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プR

SATA

_ID

LE

_VA

L_0

41<2>

41<3>

41<4>

SATA

_ID

LE

_VA

L_1

0e<13>

0e<12>

0e<11>

SATA

_MA

X_B

UR

ST_0

40<12>

40<13>

40<14>

40<15>

41<0>

41<1>

SATA

_MA

X_B

UR

ST_1

0f<3>

0f<2>

0f<1>

0f<0>

0e<15>

0e<14>

SATA

_MA

X_I

NIT

_0

40<6>

40<7>

40<8>

40<9>

40<10>

40<11>

SATA

_MA

X_I

NIT

_1

0f<9>

0f<8>

0f<7>

0f<6>

0f<5>

0f<4>

SATA

_MA

X_W

AK

E_0

40<0>

40<1>

40<2>

40<3>

40<4>

40<5>

SATA

_MA

X_W

AK

E_1

0f<15>

0f<14>

0f<13>

0f<12>

0f<11>

0f<10>

SATA

_MIN

_BU

RST

_0

3f<10>

3f<11>

3f<12>

3f<13>

3f<14>

3f<15>

SATA

_MIN

_BU

RST

_1

10<5>

10<4>

10<3>

10<2>

10<1>

10<0>SA

TA_M

IN_I

NIT

_0

3f<4>

3f<5>

3f<6>

3f<7>

3f<8>

3f<9>

SATA

_MIN

_IN

IT_1

10<11>

10<10>

10<9>

10<8>

10<7>

10<6>

表 D

-2 :

属性

ごと

の D

RP

アド

レス

(続

き)

属性

ビッ

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

Page 307: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 307UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ R

SATA

_MIN

_WA

KE

_0

3e<14>

3e<15>

3f<0>

3f<1>

3f<2>

3f<3>

SATA

_MIN

_WA

KE

_1

11<1>

11<0>

10<15>

10<14>

10<13>

10<12>

TE

RM

INA

TIO

N_C

TR

L

29<5>

29<4>

29<3>

29<2>

29<1>

TE

RM

INA

TIO

N_O

VR

D

29<6>

TR

AN

S_T

IME

_FR

OM

_P2_

0

3d<13>

3d<14>

3d<15>

3e<0>

3e<1>

3e<2>

3e<3>

3e<4>

3e<5>

3e<6>

3e<7>

3e<8>

3e<9>

3e<10>

3e<11>

3e<12>

TR

AN

S_T

IME

_FR

OM

_P2_

1

12<2>

12<1>

12<0>

11<15>

11<14>

11<13>

11<12>

11<11>

11<10>

11<9>

11<8>

11<7>

11<6>

11<5>

11<4>

11<3>T

RA

NS

_TIM

E_N

ON

_P2_

0

3c<13>

3c<14>

3c<15>

3d<0>

3d<1>

3d<2>

3d<3>

3d<4>

3d<5>

3d<6>

3d<7>

3d<8>

3d<9>

3d<10>

3d<11>

3d<12>

TR

AN

S_T

IME

_NO

N_P

2_1

13<2>

13<1>

13<0>

12<15>

12<14>

12<13>

12<12>

12<11>

12<10>

12<9>

12<8>

12<7>

12<6>

12<5>

12<4>

12<3>

TR

AN

S_T

IME

_TO

_P2_

0

3b<13>

3b<14>

3b<15>

3c<0>

3c<1>

3c<2>

3c<3>

3c<4>

3c<5>

3c<6>

3c<7>

3c<8>

3c<9>

3c<10>

3c<11>

3c<12>

TR

AN

S_T

IME

_TO

_P2_

1

14<2>

14<1>

14<0>

13<15>

13<14>

13<13>

13<12>

13<11>

13<10>

13<9>

13<8>

13<7>

13<6>

13<5>

13<4>

13<3>

TX

_BU

FFE

R_U

SE

_0

3b<12>

TX

_BU

FFE

R_U

SE

_1

14<3>

表 D

-2 :

属性

ごと

の D

RP

アド

レス

(続

き)

属性

ビッ

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

Page 308: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

308 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プR

TX

_DIF

F_B

OO

ST

_0

4a<13>

TX

_DIF

F_B

OO

ST

_1

5<2>

TX

_SY

NC

_FIL

TE

RB

24<13>

TX

_XC

LK

_SE

L_0

3a<8>

TX

_XC

LK

_SE

L_1

15<7>

表 D

-2 :

属性

ごと

の D

RP

アド

レス

(続

き)

属性

ビッ

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

Page 309: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 309UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ R

ビ ッ ト 位置ご との DRP ア ド レス

こ のセ ク シ ョ ンでは、 DRP ア ド レ スおよびビ ッ ト 位置に従って属性を示し ます。

• 表 D-3、 DRP ア ド レ ス 0x00 - 0x07

• 表 D-4、 DRP ア ド レ ス 0x08 - 0x0F

• 表 D-5、 DRP ア ド レ ス 0x10 - 0x17

• 表 D-6、 DRP ア ド レ ス 0x18 - 0x1F

• 表 D-7、 DRP ア ド レ ス 0x20 - 0x27

• 表 D-8、 DRP ア ド レ ス 0x28 - 0x2F

• 表 D-9、 DRP ア ド レ ス 0x30 - 0x37

• 表 D-10、 DRP ア ド レ ス 0x38 - 0x3F

• 表 D-11、 DRP ア ド レ ス 0x40 - 0x47

• 表 D-12、 DRP ア ド レ ス 0x48 - 0x4F

Page 310: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

310 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ビ ッ ト 位置ご との DRP ア ド レスR

表 D-3 : DRP ア ド レス 0x00 - 0x07

ビッ トア ド レス

0x00 0x01 0x02 0x03 0x04 0x05 0x06 0x07

0 変更不可 変更不可 変更不可 変更不可 変更不可 変更不可RCV_TERM_

MID_1PMA_RX_CFG_1[13]

1 変更不可 変更不可 変更不可 変更不可 変更不可 変更不可AC_CAP_

DIS_1変更不可

2 変更不可 変更不可 変更不可 変更不可 変更不可TX_DIFF_BOOST_1

PMA_RX_CFG_1[12]

PMA_RX_CFG_1[5]

3 変更不可 変更不可 変更不可 変更不可 CLKINDC_BPLL_TXDIVSE

L_OUT_1[1]PMA_RX_CFG_1[24]

PMA_RX_CFG_1[4]

4 変更不可 変更不可 変更不可 変更不可REFCLK_

SEL[2]PLL_TXDIVSE

L_OUT_1[0]PMA_RX_CFG_1[1]

PMA_RX_CFG_1[3]

5 変更不可 変更不可 変更不可 変更不可REFCLK_

SEL[1]変更不可

PMA_RX_CFG_1[0]

PMA_RX_CFG_1[2]

6 変更不可 変更不可 変更不可 変更不可REFCLK_

SEL[0]変更不可

PMA_RX_CFG_1[11]

PMA_RX_CFG_1[23]

7 変更不可 変更不可 変更不可 変更不可CLKSOUTH_

SEL変更不可

PMA_RX_CFG_1[22]

PMA_RX_CFG_1[10]

8 変更不可 変更不可 変更不可 変更不可CLKNORTH_

SEL変更不可

PMA_RX_CFG_1[21]

PMA_RX_CFG_1[9]

9 変更不可 変更不可 変更不可 変更不可PLL_DIVSEL_

REF[0]変更不可

PMA_RX_CFG_1[20]

PMA_RX_CFG_1[8]

10 変更不可 変更不可 変更不可 変更不可PLL_DIVSEL_

REF[1]変更不可

PMA_RX_CFG_1[19]

PMA_RX_CFG_1[7]

11 変更不可 変更不可 変更不可 変更不可PLL_DIVSEL_

REF[2]変更不可

PMA_RX_CFG_1[18]

PMA_RX_CFG_1[6]

12 変更不可 変更不可 変更不可 変更不可PLL_DIVSEL_

REF[3]変更不可

PMA_RX_CFG_1[17]

CHAN_BOND_

SEQ_2_4_1[0]

13 変更不可 変更不可 変更不可 変更不可PLL_DIVSEL_

REF[4]変更不可

PMA_RX_CFG_1[16]

CHAN_BOND_

SEQ_2_4_1[1]

14 変更不可 変更不可 変更不可 変更不可PLL_DIVSEL_

REF[5]RCV_TERM_

VTTRX_1PMA_RX_CFG_1[15]

CHAN_BOND_

SEQ_2_4_1[2]

15 変更不可 変更不可 変更不可 変更不可 変更不可RCV_TERM_

GND_1PMA_RX_CFG_1[14]

CHAN_BOND_

SEQ_2_4_1[3]

Page 311: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 311UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ R

表 D-4 : DRP ア ド レス 0x08 - 0x0F

ビ ッ トア ド レス

0x08 0x09 0x0A 0x0B 0x0C 0x0D 0x0E 0x0F

0CHAN_BOND_

SEQ_2_4_1[4]

PCI_EXPRESS_MODE_1

PLL_RXDIVSEL_

OUT_1[0]

PMA_CDR_SCAN_1[11]

PRBS_ERR_THRESHOL

D_1[27]

PRBS_ERR_THRESHOL

D_1[11]

RX_LOS_INVALID_INCR_1[0]

SATA_MAX_BURST_1[3]

1CHAN_BOND_

SEQ_2_4_1[5]

PCOMMA_10B_VALUE

_1[9]

PMA_CDR_SCAN_1[26]

PMA_CDR_SCAN_1[10]

PRBS_ERR_THRESHOL

D_1[26]

PRBS_ERR_THRESHOL

D_1[10]

RX_LOSS_OF_SYNC_

FSM_1

SATA_MAX_BURST_1[2]

2CHAN_BOND_

SEQ_2_4_1[6]

PCOMMA_10B_VALUE

_1[8]

PMA_CDR_SCAN_1[25]

PMA_CDR_SCAN_1[9]

PRBS_ERR_THRESHOL

D_1[25]

PRBS_ERR_THRESHOL

D_1[9]

RX_LOS_THRESHOL

D_1[2]

SATA_MAX_BURST_1[1]

3CHAN_BOND_

SEQ_2_4_1[7]

PCOMMA_10B_VALUE

_1[7]

PMA_CDR_SCAN_1[24]

PMA_CDR_SCAN_1[8]

PRBS_ERR_THRESHOL

D_1[24]

PRBS_ERR_THRESHOL

D_1[8]

RX_LOS_THRESHOL

D_1[1]

SATA_MAX_BURST_1[0]

4CHAN_BOND_

SEQ_2_4_1[8]

PCOMMA_10B_VALUE

_1[6]

PMA_CDR_SCAN_1[23]

PMA_CDR_SCAN_1[7]

PRBS_ERR_THRESHOL

D_1[23]

PRBS_ERR_THRESHOL

D_1[7]

RX_LOS_THRESHOL

D_1[0]

SATA_MAX_INIT_1[5]

5CHAN_BOND_

SEQ_2_4_1[9]

PCOMMA_10B_VALUE

_1[5]

PMA_CDR_SCAN_1[22]

PMA_CDR_SCAN_1[6]

PRBS_ERR_THRESHOL

D_1[22]

PRBS_ERR_THRESHOL

D_1[6]

RX_SLIDE_MODE_1

SATA_MAX_INIT_1[4]

6CHAN_BOND_

SEQ_2_3_1[0]

PCOMMA_10B_VALUE

_1[4]

PMA_CDR_SCAN_1[21]

PMA_CDR_SCAN_1[5]

PRBS_ERR_THRESHOL

D_1[21]

PRBS_ERR_THRESHOL

D_1[5]

RX_STATUS_FMT_1

SATA_MAX_INIT_1[3]

7CHAN_BOND_

SEQ_2_3_1[1]

PCOMMA_10B_VALUE

_1[3]

PMA_CDR_SCAN_1[20]

PMA_CDR_SCAN_1[4]

PRBS_ERR_THRESHOL

D_1[20]

PRBS_ERR_THRESHOL

D_1[4]

RX_XCLK_SEL_1

SATA_MAX_INIT_1[2]

8CHAN_BOND_

SEQ_2_3_1[2]

PCOMMA_10B_VALUE

_1[2]

PMA_CDR_SCAN_1[19]

PMA_CDR_SCAN_1[3]

PRBS_ERR_THRESHOL

D_1[19]

PRBS_ERR_THRESHOL

D_1[3]

SATA_BURST_VAL_1[2]

SATA_MAX_INIT_1[1]

9CHAN_BOND_

SEQ_2_3_1[3]

PCOMMA_10B_VALUE

_1[1]

PMA_CDR_SCAN_1[18]

PMA_CDR_SCAN_1[2]

PRBS_ERR_THRESHOL

D_1[18]

PRBS_ERR_THRESHOL

D_1[2]

SATA_BURST_VAL_1[1]

SATA_MAX_INIT_1[0]

10CHAN_BOND_

SEQ_2_3_1[4]

PCOMMA_10B_VALUE

_1[0]

PMA_CDR_SCAN_1[17]

PMA_CDR_SCAN_1[1]

PRBS_ERR_THRESHOL

D_1[17]

PRBS_ERR_THRESHOL

D_1[1]

SATA_BURST_VAL_1[0]

SATA_MAX_WAKE_1[5]

11CHAN_BOND_

SEQ_2_3_1[5]

PCOMMA_DETECT_1

PMA_CDR_SCAN_1[16]

PMA_CDR_SCAN_1[0]

PRBS_ERR_THRESHOL

D_1[16]

PRBS_ERR_THRESHOL

D_1[0]

SATA_IDLE_VAL_1[2]

SATA_MAX_WAKE_1[4]

12CHAN_BOND_

SEQ_2_3_1[6]変更不可

PMA_CDR_SCAN_1[15]

PRBS_ERR_THRESHOL

D_1[31]

PRBS_ERR_THRESHOL

D_1[15]

RX_BUFFER_USE_1

SATA_IDLE_VAL_1[1]

SATA_MAX_WAKE_1[3]

13CHAN_BOND_

SEQ_2_3_1[7]変更不可

PMA_CDR_SCAN_1[14]

PRBS_ERR_THRESHOL

D_1[30]

PRBS_ERR_THRESHOL

D_1[14]

RX_DECODE_SEQ_

MATCH_1

SATA_IDLE_VAL_1[0]

SATA_MAX_WAKE_1[2]

Page 312: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

312 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ビ ッ ト 位置ご との DRP ア ド レスR

14CHAN_BOND_

SEQ_2_3_1[8]PLL_SATA_1

PMA_CDR_SCAN_1[13]

PRBS_ERR_THRESHOL

D_1[29]

PRBS_ERR_THRESHOL

D_1[13]

RX_LOS_INVALID_INCR_1[2]

SATA_MAX_BURST_1[5]

SATA_MAX_WAKE_1[1]

15 変更不可

PLL_RXDIVSEL_

OUT_1[1]

PMA_CDR_SCAN_1[12]

PRBS_ERR_THRESHOL

D_1[28]

PRBS_ERR_THRESHOL

D_1[12]

RX_LOS_INVALID_INCR_1[1]

SATA_MAX_BURST_1[4]

SATA_MAX_WAKE_1[0]

表 D-4 : DRP ア ド レス 0x08 - 0x0F (続き)

ビ ッ トア ド レス

0x08 0x09 0x0A 0x0B 0x0C 0x0D 0x0E 0x0F

表 D-5 : DRP ア ド レス 0x10 - 0x17

ビ ッ トア ド レス

0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17

0SATA_MIN_BURST_1[5]

SATA_MIN_WAKE_1[1]

TRANS_TIME_FROM_P2_1[2]

TRANS_TIME_NON_P2_1[2]

TRANS_TIME_TO_

P2_1[2]変更不可

CHAN_BOND_SEQ_2_ENABL

E_1[2]

CLK_COR_MAX_LAT_

1[0]

1SATA_MIN_BURST_1[4]

SATA_MIN_WAKE_1[0]

TRANS_TIME_FROM_P2_1[1]

TRANS_TIME_NON_P2_1[1]

TRANS_TIME_TO_

P2_1[1]変更不可

CHAN_BOND_SEQ_2_ENABL

E_1[1]

CLK_COR_MIN_LAT_

1[5]

2SATA_MIN_BURST_1[3]

変更不可

TRANS_TIME_FROM_P2_1[0]

TRANS_TIME_NON_P2_1[0]

TRANS_TIME_TO_

P2_1[0]変更不可

CHAN_BOND_SEQ_2_USE_1

CLK_COR_MIN_LAT_

1[4]

3SATA_MIN_BURST_1[2]

TRANS_TIME_FROM_P2_1[15]

TRANS_TIME_NON_P2_1[15]

TRANS_TIME_TO_

P2_1[15]

TX_BUFFER_

USE_1変更不可

CHAN_BOND_SEQ_LEN_1[1]

CLK_COR_MIN_LAT_

1[3]

4SATA_MIN_BURST_1[1]

TRANS_TIME_FROM_P2_1[14]

TRANS_TIME_NON_P2_1[14]

TRANS_TIME_TO_

P2_1[14]変更不可 変更不可

CHAN_BOND_SEQ_LEN_1[0]

CLK_COR_MIN_LAT_

1[2]

5SATA_MIN_BURST_1[0]

TRANS_TIME_FROM_P2_1[13]

TRANS_TIME_NON_P2_1[13]

TRANS_TIME_TO_

P2_1[13]変更不可 変更不可

CLK_COR_ADJ_LEN_

1[1]

CLK_COR_MIN_LAT_1[1]

6SATA_MIN_INIT_1[5]

TRANS_TIME_FROM_P2_1[12]

TRANS_TIME_NON_P2_1[12]

TRANS_TIME_TO_

P2_1[12]変更不可 変更不可

CLK_COR_ADJ_LEN_

1[0]

CLK_COR_MIN_LAT_1[0]

7SATA_MIN_INIT_1[4]

TRANS_TIME_FROM_P2_1[11]

TRANS_TIME_NON_P2_1[11]

TRANS_TIME_TO_

P2_1[11]変更不可

TX_XCLK_SEL_1

CLK_COR_DET_LEN_

1[1]

CLK_COR_PRECEDENC

E_1

8SATA_MIN_INIT_1[3]

TRANS_TIME_FROM_P2_1[10]

TRANS_TIME_NON_P2_1[10]

TRANS_TIME_TO_

P2_1[10]変更不可 変更不可

CLK_COR_DET_LEN_

1[0]

CLK_CORRECT_

USE_1

9SATA_MIN_INIT_1[2]

TRANS_TIME_FROM_P2_1[9]

TRANS_TIME_NON

_P2_1[9]

TRANS_TIME_TO_

P2_1[9]変更不可 変更不可

CLK_COR_INSERT_

IDLE_FLAG_1

CLK_COR_REPEAT_WAIT_1[4]

Page 313: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 313UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ R

10SATA_MIN_INIT_1[1]

TRANS_TIME_FROM_P2_1[8]

TRANS_TIME_NON

_P2_1[8]

TRANS_TIME_TO_

P2_1[8]変更不可

OOBDETECT_THRESHOL

D_1[2]

CLK_COR_KEEP_IDLE_1

CLK_COR_REPEAT_WAIT_1[3]

11SATA_MIN_INIT_1[0]

TRANS_TIME_FROM_P2_1[7]

TRANS_TIME_NON

_P2_1[7]

TRANS_TIME_TO_

P2_1[7]変更不可

OOBDETECT_THRESHOL

D_1[1]

CLK_COR_MAX_LAT_

1[5]

CLK_COR_REPEAT_WAIT_1[2]

12SATA_MIN_WAKE_1[5]

TRANS_TIME_FROM_P2_1[6]

TRANS_TIME_NON

_P2_1[6]

TRANS_TIME_TO_

P2_1[6]変更不可

OOBDETECT_THRESHOL

D_1[0]

CLK_COR_MAX_LAT_

1[4]

CLK_COR_REPEAT_WAIT_1[1]

13SATA_MIN_WAKE_1[4]

TRANS_TIME_FROM_P2_1[5]

TRANS_TIME_NON

_P2_1[5]

TRANS_TIME_TO_

P2_1[5]変更不可 変更不可

CLK_COR_MAX_LAT_

1[3]

CLK_COR_REPEAT_WAIT_1[0]

14SATA_MIN_WAKE_1[3]

TRANS_TIME_FROM_P2_1[4]

TRANS_TIME_NON

_P2_1[4]

TRANS_TIME_TO_

P2_1[4]変更不可

CHAN_BOND_SEQ_2_

ENABLE_1[4]

CLK_COR_MAX_LAT_

1[2]

CLK_COR_SEQ_1_1_1[9]

15SATA_MIN_WAKE_1[2]

TRANS_TIME_FROM_P2_1[3]

TRANS_TIME_NON

_P2_1[3]

TRANS_TIME_TO_

P2_1[3]変更不可

CHAN_BOND_SEQ_2_

ENABLE_1[3]

CLK_COR_MAX_LAT_

1[1]

CLK_COR_SEQ_1_1_1[8]

表 D-5 : DRP ア ド レス 0x10 - 0x17 (続き)

ビ ッ トア ド レス

0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17

表 D-6 : DRP ア ド レス 0x18 - 0x1F

ビ ッ トア ド レス

0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F

0CLK_COR_

SEQ_1_1_1[7]CLK_COR_

SEQ_1_2_1[1]CLK_COR_

SEQ_1_4_1[5]CLK_COR_

SEQ_2_1_1[3]CLK_COR_

SEQ_2_3_1[7]CLK_COR_

SEQ_2_4_1[1]

COMMA_10B_ENABL

E_1[4]

MCOMMA_10B_VALUE

_1[2]

1CLK_COR_

SEQ_1_1_1[6]CLK_COR_

SEQ_1_2_1[0]CLK_COR_

SEQ_1_4_1[4]CLK_COR_

SEQ_2_1_1[2]CLK_COR_

SEQ_2_3_1[6]CLK_COR_

SEQ_2_4_1[0]

COMMA_10B_ENABL

E_1[3]

MCOMMA_10B_VALUE

_1[1]

2CLK_COR_

SEQ_1_1_1[5]CLK_COR_

SEQ_1_3_1[9]CLK_COR_

SEQ_1_4_1[3]CLK_COR_

SEQ_2_1_1[1]CLK_COR_

SEQ_2_3_1[5]

CLK_COR_SEQ_2_

ENABLE_1[4]

COMMA_10B_

ENABLE_1[2]

MCOMMA_10B_VALUE

_1[0]

3CLK_COR_

SEQ_1_1_1[4]CLK_COR_

SEQ_1_3_1[8]CLK_COR_

SEQ_1_4_1[2]CLK_COR_

SEQ_2_1_1[0]CLK_COR_

SEQ_2_3_1[4]

CLK_COR_SEQ_2_

ENABLE_1[3]

COMMA_10B_

ENABLE_1[1]

MCOMMA_DETECT_1

4CLK_COR_

SEQ_1_1_1[3]CLK_COR_

SEQ_1_3_1[7]CLK_COR_

SEQ_1_4_1[1]CLK_COR_

SEQ_2_2_1[9]CLK_COR_

SEQ_2_3_1[3]

CLK_COR_SEQ_2_

ENABLE_1[2]

COMMA_10B_

ENABLE_1[0]

CHAN_BOND_SEQ_

2_3_1[9]

5CLK_COR_

SEQ_1_1_1[2]CLK_COR_

SEQ_1_3_1[6]CLK_COR_

SEQ_1_4_1[0]CLK_COR_

SEQ_2_2_1[8]CLK_COR_

SEQ_2_3_1[2]

CLK_COR_SEQ_2_

ENABLE_1[1]

COMMA_DOUBLE_1

CHAN_BOND_SEQ_

2_2_1[0]

6CLK_COR_

SEQ_1_1_1[1]CLK_COR_

SEQ_1_3_1[5]

CLK_COR_SEQ_1_

ENABLE_1[4]

CLK_COR_SEQ_2_2_1[7]

CLK_COR_SEQ_2_3_1[1]

CLK_COR_SEQ_2_USE_1

DEC_MCOMMA_DETECT_1

CHAN_BOND_SEQ_

2_2_1[1]

Page 314: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

314 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ビ ッ ト 位置ご との DRP ア ド レスR

7CLK_COR_

SEQ_1_1_1[0]CLK_COR_

SEQ_1_3_1[4]

CLK_COR_SEQ_1_

ENABLE_1[3]

CLK_COR_SEQ_2_2_1[6]

CLK_COR_SEQ_2_3_1[0]

COM_BURST_VAL_1[3]

DEC_PCOMMA_DETECT_1

CHAN_BOND_SEQ_

2_2_1[2]

8CLK_COR_

SEQ_1_2_1[9]CLK_COR_

SEQ_1_3_1[3]

CLK_COR_SEQ_1_

ENABLE_1[2]

CLK_COR_SEQ_2_2_1[5]

CLK_COR_SEQ_2_4_1[9]

COM_BURST_VAL_1[2]

DEC_VALID_

COMMA_ONLY_1

CHAN_BOND_SEQ_

2_2_1[3]

9CLK_COR_

SEQ_1_2_1[8]CLK_COR_

SEQ_1_3_1[2]

CLK_COR_SEQ_1_

ENABLE_1[1]

CLK_COR_SEQ_2_2_1[4]

CLK_COR_SEQ_2_4_1[8]

COM_BURST_VAL_1[1]

MCOMMA_10B_VALUE

_1[9]

CHAN_BOND_SEQ_

2_2_1[4]

10CLK_COR_

SEQ_1_2_1[7]CLK_COR_

SEQ_1_3_1[1]CLK_COR_

SEQ_2_1_1[9]CLK_COR_

SEQ_2_2_1[3]CLK_COR_

SEQ_2_4_1[7]COM_BURST_VAL_1[0]

MCOMMA_10B_VALUE

_1[8]

CHAN_BOND_SEQ_

2_2_1[5]

11CLK_COR_

SEQ_1_2_1[6]CLK_COR_

SEQ_1_3_1[0]CLK_COR_

SEQ_2_1_1[8]CLK_COR_

SEQ_2_2_1[2]CLK_COR_

SEQ_2_4_1[6]

COMMA_10B_

ENABLE_1[9]

MCOMMA_10B_VALUE

_1[7]

CHAN_BOND_SEQ_

2_2_1[6]

12CLK_COR_

SEQ_1_2_1[5]CLK_COR_

SEQ_1_4_1[9]CLK_COR_

SEQ_2_1_1[7]CLK_COR_

SEQ_2_2_1[1]CLK_COR_

SEQ_2_4_1[5]

COMMA_10B_

ENABLE_1[8]

MCOMMA_10B_VALUE

_1[6]

CHAN_BOND_SEQ_

2_2_1[7]

13CLK_COR_

SEQ_1_2_1[4]CLK_COR_

SEQ_1_4_1[8]CLK_COR_

SEQ_2_1_1[6]CLK_COR_

SEQ_2_2_1[0]CLK_COR_

SEQ_2_4_1[4]

COMMA_10B_

ENABLE_1[7]

MCOMMA_10B_VALUE

_1[5]変更不可

14CLK_COR_

SEQ_1_2_1[3]CLK_COR_

SEQ_1_4_1[7]CLK_COR_

SEQ_2_1_1[5]CLK_COR_

SEQ_2_3_1[9]CLK_COR_

SEQ_2_4_1[3]

COMMA_10B_

ENABLE_1[6]

MCOMMA_10B_VALUE

_1[4]

CHAN_BOND_SEQ_

2_2_1[8]

15CLK_COR_

SEQ_1_2_1[2]CLK_COR_

SEQ_1_4_1[6]CLK_COR_

SEQ_2_1_1[4]CLK_COR_

SEQ_2_3_1[8]CLK_COR_

SEQ_2_4_1[2]

COMMA_10B_ENABL

E_1[5]

MCOMMA_10B_VALUE

_1[3]

CHAN_BOND_SEQ_

2_2_1[9]

表 D-6 : DRP ア ド レス 0x18 - 0x1F (続き)

ビ ッ トア ド レス

0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F

表 D-7 : DRP ア ド レス 0x20 - 0x27

ビ ッ トア ド レス

0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27

0CHAN_

BOND_SEQ_2_1_1[0]

CHAN_BOND_SEQ

_1_4_1[2]

CHAN_BOND_SEQ

_1_3_1[8]

CHAN_BOND_SEQ

_1_1_1[4]

CHAN_BOND_1_MAX_SKE

W_1[1]

変更不可 変更不可PCS_COM_

CFG[27]

1CHAN_

BOND_SEQ_2_1_1[1]

CHAN_BOND_SEQ

_1_4_1[3]

CHAN_BOND_SEQ

_1_3_1[9]

CHAN_BOND_SEQ

_1_1_1[5]

CHAN_BOND_1_MAX_SKE

W_1[2]

変更不可 変更不可PCS_COM_

CFG[26]

2CHAN_

BOND_SEQ_2_1_1[2]

CHAN_BOND_SEQ

_1_4_1[4]

CHAN_BOND_SEQ

_1_2_1[0]

CHAN_BOND_SEQ

_1_1_1[6]

CHAN_BOND_1_MAX_SKE

W_1[3]

変更不可 変更不可PCS_COM_

CFG[25]

Page 315: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 315UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ R

3CHAN_

BOND_SEQ_2_1_1[3]

CHAN_BOND_SEQ

_1_4_1[5]

CHAN_BOND_SEQ

_1_2_1[1]

CHAN_BOND_SEQ

_1_1_1[7]

ALIGN_COMMA_WORD_1

変更不可 変更不可PCS_COM_

CFG[24]

4CHAN_

BOND_SEQ_2_1_1[4]

CHAN_BOND_SEQ

_1_4_1[6]

CHAN_BOND_SEQ

_1_2_1[2]

CHAN_BOND_SEQ

_1_1_1[8]変更不可 変更不可 変更不可

PCS_COM_CFG[23]

5CHAN_

BOND_SEQ_2_1_1[5]

CHAN_BOND_SEQ

_1_4_1[7]

CHAN_BOND_SEQ

_1_2_1[3]

CHAN_BOND_SEQ

_1_1_1[9]変更不可 変更不可 変更不可

PCS_COM_CFG[22]

6CHAN_

BOND_SEQ_2_1_1[6]

CHAN_BOND_SEQ

_1_4_1[8]

CHAN_BOND_SEQ

_1_2_1[4]

CHAN_BOND_

MODE_1[0]変更不可 変更不可 変更不可

PCS_COM_CFG[21]

7CHAN_

BOND_SEQ_2_1_1[7]

CHAN_BOND_SEQ

_1_4_1[9]

CHAN_BOND_SEQ

_1_2_1[5]

CHAN_BOND_

MODE_1[1]変更不可 変更不可 変更不可

PCS_COM_CFG[20]

8CHAN_

BOND_SEQ_2_1_1[8]

CHAN_BOND_SEQ

_1_3_1[0]

CHAN_BOND_SEQ

_1_2_1[6]

CHAN_BOND_

LEVEL_1[0]変更不可 変更不可 変更不可

PCS_COM_CFG[19]

9CHAN_

BOND_SEQ_2_1_1[9]

CHAN_BOND_SEQ

_1_3_1[1]

CHAN_BOND_SEQ

_1_2_1[7]

CHAN_BOND_

LEVEL_1[1]変更不可 変更不可

CLK25_DIVIDER[2]

PCS_COM_CFG[18]

10

CHAN_BOND_SEQ_1_ENABL

E_1[1]

CHAN_BOND_SEQ

_1_3_1[2]

CHAN_BOND_SEQ

_1_2_1[8]

CHAN_BOND_

LEVEL_1[2]変更不可 変更不可

CLK25_DIVIDER[1]

PCS_COM_CFG[17]

11

CHAN_BOND_SEQ_1_ENABL

E_1[2]

CHAN_BOND_SEQ

_1_3_1[3]

CHAN_BOND_SEQ

_1_2_1[9]

CHAN_BOND_2_MAX_SKE

W_1[0]

変更不可 変更不可CLK25_

DIVIDER[0]PCS_COM_

CFG[16]

12

CHAN_BOND_SEQ_1_ENABL

E_1[3]

CHAN_BOND_SEQ

_1_3_1[4]

CHAN_BOND_SEQ

_1_1_1[0]

CHAN_BOND_2_MAX_SKE

W_1[1]

変更不可 変更不可OOB_CLK_DIVIDER[2]

PCS_COM_CFG[15]

13

CHAN_BOND_SEQ_1_ENABL

E_1[4]

CHAN_BOND_SEQ

_1_3_1[5]

CHAN_BOND_SEQ

_1_1_1[1]

CHAN_BOND_2_MAX_SKE

W_1[2]

TX_SYNC_FILTERB

変更不可OOB_CLK_DIVIDER[1]

PCS_COM_CFG[14]

14CHAN_

BOND_SEQ_1_4_1[0]

CHAN_BOND_SEQ

_1_3_1[6]

CHAN_BOND_SEQ

_1_1_1[2]

CHAN_BOND_2_MAX_SKE

W_1[3]

変更不可 変更不可OOB_CLK_DIVIDER[0]

PCS_COM_CFG[13]

15CHAN_

BOND_SEQ_1_4_1[1]

CHAN_BOND_SEQ

_1_3_1[7]

CHAN_BOND_SEQ

_1_1_1[3]

CHAN_BOND_1_MAX_SKE

W_1[0]

変更不可 変更不可OVERSAMPL

E_MODEPCS_COM_

CFG[12]

表 D-7 : DRP ア ド レス 0x20 - 0x27 (続き)

ビ ッ トア ド レス

0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27

Page 316: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

316 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ビ ッ ト 位置ご との DRP ア ド レスR

表 D-8 : DRP ア ド レス 0x28 - 0x2F

ビ ッ トア ド レス

0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F

0PCS_COM_

CFG[11]PLL_DIVSEL

_FB[0]変更不可 変更不可

CHAN_BOND_1_

MAX_SKEW_0[0]

CHAN_BOND_SEQ

_1_1_0[3]

CHAN_BOND_SEQ

_1_3_0[7]

CHAN_BOND_SEQ

_1_4_0[1]

1PCS_COM_

CFG[10]TERMINATION

_CTRL[4] 変更不可 変更不可

CHAN_BOND_2_

MAX_SKEW_0[3]

CHAN_BOND_SEQ

_1_1_0[2]

CHAN_BOND_SEQ

_1_3_0[6]

CHAN_BOND_SEQ

_1_4_0[0]

2PCS_COM_

CFG[9]TERMINATION

_CTRL[3] 変更不可 変更不可

CHAN_BOND_2_

MAX_SKEW_0[2]

CHAN_BOND_SEQ

_1_1_0[1]

CHAN_BOND_SEQ

_1_3_0[5]

CHAN_BOND_SEQ_1_ENABLE

_0[4]

3PCS_COM_

CFG[8]TERMINATION

_CTRL[2] 変更不可 変更不可

CHAN_BOND_2_

MAX_SKEW_0[1]

CHAN_BOND_SEQ

_1_1_0[0]

CHAN_BOND_SEQ

_1_3_0[4]

CHAN_BOND_SEQ_1_ENABLE

_0[3]

4PCS_COM_

CFG[7]TERMINATION

_CTRL[1] 変更不可 変更不可

CHAN_BOND_2_

MAX_SKEW_0[0]

CHAN_BOND_SEQ

_1_2_0[9]

CHAN_BOND_SEQ

_1_3_0[3]

CHAN_BOND_SEQ_1_ENABLE

_0[2]

5PCS_COM_

CFG[6]TERMINATION

_CTRL[0] 変更不可 変更不可

CHAN_BOND_

LEVEL_0[2]

CHAN_BOND_SEQ

_1_2_0[8]

CHAN_BOND_SEQ

_1_3_0[2]

CHAN_BOND_SEQ_1_ENABLE

_0[1]

6PCS_COM_

CFG[5]TERMINATION

_OVRD 変更不可 変更不可

CHAN_BOND_

LEVEL_0[1]

CHAN_BOND_SEQ

_1_2_0[7]

CHAN_BOND_SEQ

_1_3_0[1]

CHAN_BOND_SEQ

_2_1_0[9]

7PCS_COM_

CFG[4]変更不可 変更不可 変更不可

CHAN_BOND_

LEVEL_0[0]

CHAN_BOND_SEQ

_1_2_0[6]

CHAN_BOND_SEQ

_1_3_0[0]

CHAN_BOND_SEQ

_2_1_0[8]

8PCS_COM_

CFG[3]変更不可 変更不可 変更不可

CHAN_BOND_

MODE_0[1]

CHAN_BOND_SEQ

_1_2_0[5]

CHAN_BOND_SEQ

_1_4_0[9]

CHAN_BOND_SEQ

_2_1_0[7]

9PCS_COM_

CFG[2]変更不可 変更不可 変更不可

CHAN_BOND_

MODE_0[0]

CHAN_BOND_SEQ

_1_2_0[4]

CHAN_BOND_SEQ

_1_4_0[8]

CHAN_BOND_SEQ

_2_1_0[6]

10PCS_COM_

CFG[1]変更不可 変更不可 変更不可

CHAN_BOND_SEQ

_1_1_0[9]

CHAN_BOND_SEQ

_1_2_0[3]

CHAN_BOND_SEQ

_1_4_0[7]

CHAN_BOND_SEQ

_2_1_0[5]

11PCS_COM_

CFG[0]変更不可 変更不可 変更不可

CHAN_BOND_SEQ

_1_1_0[8]

CHAN_BOND_SEQ

_1_2_0[2]

CHAN_BOND_SEQ

_1_4_0[6]

CHAN_BOND_SEQ

_2_1_0[4]

Page 317: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 317UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ R

12PLL_DIVSE

L_FB[4]変更不可 変更不可

ALIGN_COMMA_WORD_0

CHAN_BOND_SEQ

_1_1_0[7]

CHAN_BOND_SEQ

_1_2_0[1]

CHAN_BOND_SEQ

_1_4_0[5]

CHAN_BOND_SEQ

_2_1_0[3]

13PLL_DIVSE

L_FB[3]変更不可 変更不可

CHAN_BOND_1_

MAX_SKEW_0[3]

CHAN_BOND_SEQ

_1_1_0[6]

CHAN_BOND_SEQ

_1_2_0[0]

CHAN_BOND_SEQ

_1_4_0[4]

CHAN_BOND_SEQ

_2_1_0[2]

14PLL_DIVSE

L_FB[2]変更不可 変更不可

CHAN_BOND_1_

MAX_SKEW_0[2]

CHAN_BOND_SEQ

_1_1_0[5]

CHAN_BOND_SEQ

_1_3_0[9]

CHAN_BOND_SEQ

_1_4_0[3]

CHAN_BOND_SEQ

_2_1_0[1]

15PLL_DIVSE

L_FB[1]変更不可 変更不可

CHAN_BOND_1_

MAX_SKEW_0[1]

CHAN_BOND_SEQ

_1_1_0[4]

CHAN_BOND_SEQ

_1_3_0[8]

CHAN_BOND_SEQ

_1_4_0[2]

CHAN_BOND_SEQ

_2_1_0[0]

表 D-8 : DRP ア ド レス 0x28 - 0x2F (続き)

ビ ッ トア ド レス

0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F

表 D-9 : DRP ア ド レス 0x30 - 0x37

ビ ッ トア ド レス

0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37

0CHAN_

BOND_SEQ_2_2_0[9]

MCOMMA_10B_VALUE

_0[3]

COMMA_10B_ENABL

E_0[5]

CLK_COR_SEQ_2_4_0[2]

CLK_COR_SEQ_2_3_0[8]

CLK_COR_SEQ_2_1_0[4]

CLK_COR_SEQ_1_4_0[6]

CLK_COR_SEQ_1_2_0[2]

1CHAN_

BOND_SEQ_2_2_0[8]

MCOMMA_10B_VALUE

_0[4]

COMMA_10B_ENABL

E_0[6]

CLK_COR_SEQ_2_4_0[3]

CLK_COR_SEQ_2_3_0[9]

CLK_COR_SEQ_2_1_0[5]

CLK_COR_SEQ_1_4_0[7]

CLK_COR_SEQ_1_2_0[3]

2 変更不可

MCOMMA_10B_VALUE

_0[5]

COMMA_10B_ENABL

E_0[7]

CLK_COR_SEQ_2_4_0[4]

CLK_COR_SEQ_2_2_0[0]

CLK_COR_SEQ_2_1_0[6]

CLK_COR_SEQ_1_4_0[8]

CLK_COR_SEQ_1_2_0[4]

3CHAN_

BOND_SEQ_2_2_0[7]

MCOMMA_10B_VALUE

_0[6]

COMMA_10B_ENABL

E_0[8]

CLK_COR_SEQ_2_4_0[5]

CLK_COR_SEQ_2_2_0[1]

CLK_COR_SEQ_2_1_0[7]

CLK_COR_SEQ_1_4_0[9]

CLK_COR_SEQ_1_2_0[5]

4CHAN_

BOND_SEQ_2_2_0[6]

MCOMMA_10B_VALUE

_0[7]

COMMA_10B_ENABL

E_0[9]

CLK_COR_SEQ_2_4_0[6]

CLK_COR_SEQ_2_2_0[2]

CLK_COR_SEQ_2_1_0[8]

CLK_COR_SEQ_1_3_0[0]

CLK_COR_SEQ_1_2_0[6]

5CHAN_

BOND_SEQ_2_2_0[5]

MCOMMA_10B_VALUE

_0[8]

COM_BURST_VAL_0[0]

CLK_COR_SEQ_2_4_0[7]

CLK_COR_SEQ_2_2_0[3]

CLK_COR_SEQ_2_1_0[9]

CLK_COR_SEQ_1_3_0[1]

CLK_COR_SEQ_1_2_0[7]

6CHAN_

BOND_SEQ_2_2_0[4]

MCOMMA_10B_VALUE

_0[9]

COM_BURST_VAL_0[1]

CLK_COR_SEQ_2_4_0[8]

CLK_COR_SEQ_2_2_0[4]

CLK_COR_SEQ_1_

ENABLE_0[1]

CLK_COR_SEQ_1_3_0[2]

CLK_COR_SEQ_1_2_0[8]

Page 318: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

318 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ビ ッ ト 位置ご との DRP ア ド レスR

7CHAN_

BOND_SEQ_2_2_0[3]

DEC_VALID_COMMA_

ONLY_0

COM_BURST_VAL_0[2]

CLK_COR_SEQ_2_4_0[9]

CLK_COR_SEQ_2_2_0[5]

CLK_COR_SEQ_1_

ENABLE_0[2]

CLK_COR_SEQ_1_3_0[3]

CLK_COR_SEQ_1_2_0[9]

8CHAN_

BOND_SEQ_2_2_0[2]

DEC_PCOMMA_DETECT_0

COM_BURST_VAL_0[3]

CLK_COR_SEQ_2_3_0[0]

CLK_COR_SEQ_2_2_0[6]

CLK_COR_SEQ_1_

ENABLE_0[3]

CLK_COR_SEQ_1_3_0[4]

CLK_COR_SEQ_1_1_0[0]

9CHAN_

BOND_SEQ_2_2_0[1]

DEC_MCOMMA_DETECT_0

CLK_COR_SEQ_2_USE_

0

CLK_COR_SEQ_2_3_0[1]

CLK_COR_SEQ_2_2_0[7]

CLK_COR_SEQ_1_

ENABLE_0[4]

CLK_COR_SEQ_1_3_0[5]

CLK_COR_SEQ_1_1_0[1]

10CHAN_

BOND_SEQ_2_2_0[0]

COMMA_DOUBLE_0

CLK_COR_SEQ_2_

ENABLE_0[1]

CLK_COR_SEQ_2_3_0[2]

CLK_COR_SEQ_2_2_0[8]

CLK_COR_SEQ_1_4_0[0]

CLK_COR_SEQ_1_3_0[6]

CLK_COR_SEQ_1_1_0[2]

11CHAN_

BOND_SEQ_2_3_0[9]

COMMA_10B_ENABL

E_0[0]

CLK_COR_SEQ_2_

ENABLE_0[2]

CLK_COR_SEQ_2_3_0[3]

CLK_COR_SEQ_2_2_0[9]

CLK_COR_SEQ_1_4_0[1]

CLK_COR_SEQ_1_3_0[7]

CLK_COR_SEQ_1_1_0[3]

12MCOMMA_DETECT_0

COMMA_10B_ENABL

E_0[1]

CLK_COR_SEQ_2_

ENABLE_0[3]

CLK_COR_SEQ_2_3_0[4]

CLK_COR_SEQ_2_1_0[0]

CLK_COR_SEQ_1_4_0[2]

CLK_COR_SEQ_1_3_0[8]

CLK_COR_SEQ_1_1_0[4]

13MCOMMA_10B_VALUE

_0[0]

COMMA_10B_ENABL

E_0[2]

CLK_COR_SEQ_2_

ENABLE_0[4]

CLK_COR_SEQ_2_3_0[5]

CLK_COR_SEQ_2_1_0[1]

CLK_COR_SEQ_1_4_0[3]

CLK_COR_SEQ_1_3_0[9]

CLK_COR_SEQ_1_1_0[5]

14MCOMMA_10B_VALUE

_0[1]

COMMA_10B_ENABL

E_0[3]

CLK_COR_SEQ_2_4_0[0]

CLK_COR_SEQ_2_3_0[6]

CLK_COR_SEQ_2_1_0[2]

CLK_COR_SEQ_1_4_0[4]

CLK_COR_SEQ_1_2_0[0]

CLK_COR_SEQ_1_1_0[6]

15MCOMMA_10B_VALUE

_0[2]

COMMA_10B_ENABL

E_0[4]

CLK_COR_SEQ_2_4_0[1]

CLK_COR_SEQ_2_3_0[7]

CLK_COR_SEQ_2_1_0[3]

CLK_COR_SEQ_1_4_0[5]

CLK_COR_SEQ_1_2_0[1]

CLK_COR_SEQ_1_1_0[7]

表 D-9 : DRP ア ド レス 0x30 - 0x37 (続き)

ビ ッ トア ド レス

0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37

表 D-10 : DRP ア ド レス 0x38 - 0x3F

ビ ッ トア ド レス

0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F

0CLK_COR_

SEQ_1_1_0[8]

CLK_COR_MAX_LAT_

0[1]

CHAN_BOND_SEQ_2_ENABLE_

0[3]

変更不可

TRANS_TIME_TO_P

2_0[3]

TRANS_TIME_NON_

P2_0[3]

TRANS_TIME_FROM_P2_0[3]

SATA_MIN_WAKE_0[2]

1CLK_COR_

SEQ_1_1_0[9]

CLK_COR_MAX_LAT_

0[2]

CHAN_BOND_SEQ_2_ENABLE_

0[4]

変更不可

TRANS_TIME_TO_P

2_0[4]

TRANS_TIME_NON_

P2_0[4]

TRANS_TIME_FROM_P2_0[4]

SATA_MIN_WAKE_0[3]

Page 319: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 319UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ R

2CLK_COR_

REPEAT_WAIT_0[0]

CLK_COR_MAX_LAT_

0[3]変更不可 変更不可

TRANS_TIME_TO_P

2_0[5]

TRANS_TIME_NON_

P2_0[5]

TRANS_TIME_FROM_P2_0[5]

SATA_MIN_WAKE_0[4]

3CLK_COR_

REPEAT_WAIT_0[1]

CLK_COR_MAX_LAT_

0[4]

OOBDETECT_THRESHOL

D_0[0]変更不可

TRANS_TIME_TO_P

2_0[6]

TRANS_TIME_NON_

P2_0[6]

TRANS_TIME_FROM_P2_0[6]

SATA_MIN_WAKE_0[5]

4CLK_COR_

REPEAT_WAIT_0[2]

CLK_COR_MAX_LAT_

0[5]

OOBDETECT_THRESHOL

D_0[1]変更不可

TRANS_TIME_TO_P

2_0[7]

TRANS_TIME_NON_

P2_0[7]

TRANS_TIME_FROM_P2_0[7]

SATA_MIN_INIT_0[0]

5CLK_COR_

REPEAT_WAIT_0[3]

CLK_COR_KEEP_IDLE

_0

OOBDETECT_THRESHOL

D_0[2]変更不可

TRANS_TIME_TO_P

2_0[8]

TRANS_TIME_NON_

P2_0[8]

TRANS_TIME_FROM_P2_0[8]

SATA_MIN_INIT_0[1]

6CLK_COR_

REPEAT_WAIT_0[4]

CLK_COR_INSERT_

IDLE_FLAG_0

変更不可 変更不可

TRANS_TIME_TO_P

2_0[9]

TRANS_TIME_NON_

P2_0[9]

TRANS_TIME_FROM_P2_0[9]

SATA_MIN_INIT_0[2]

7CLK_

CORRECT_USE_0

CLK_COR_DET_LEN_

0[0]変更不可 変更不可

TRANS_TIME_TO_P

2_0[10]

TRANS_TIME_NON_

P2_0[10]

TRANS_TIME_FROM_P2_0[10]

SATA_MIN_INIT_0[3]

8CLK_COR_

PRECEDENCE_0

CLK_COR_DET_LEN_

0[1]

TX_XCLK_SEL_0

変更不可

TRANS_TIME_TO_P

2_0[11]

TRANS_TIME_NON_

P2_0[11]

TRANS_TIME_FROM_P2_0[11]

SATA_MIN_INIT_0[4]

9CLK_COR_MIN_LAT_

0[0]

CLK_COR_ADJ_LEN_

0[0]変更不可 変更不可

TRANS_TIME_TO_P

2_0[12]

TRANS_TIME_NON_

P2_0[12]

TRANS_TIME_FROM_P2_0[12]

SATA_MIN_INIT_0[5]

10CLK_COR_MIN_LAT_

0[1]

CLK_COR_ADJ_LEN_0

[1]変更不可 変更不可

TRANS_TIME_TO_P

2_0[13]

TRANS_TIME_NON_

P2_0[13]

TRANS_TIME_FROM_P2_0[13]

SATA_MIN_BURST_0[0]

11CLK_COR_MIN_LAT_

0[2]

CHAN_BOND_SEQ_

LEN_0[0]変更不可 変更不可

TRANS_TIME_TO_P

2_0[14]

TRANS_TIME_NON_

P2_0[14]

TRANS_TIME_FROM_P2_0[14]

SATA_MIN_BURST_0[1]

12CLK_COR_MIN_LAT_

0[3]

CHAN_BOND_SEQ_

LEN_0[1]変更不可

TX_BUFFER_USE_0

TRANS_TIME_TO_P

2_0[15]

TRANS_TIME_NON_

P2_0[15]

TRANS_TIME_FROM_P2_0[15]

SATA_MIN_BURST_0[2]

13CLK_COR_MIN_LAT_

0[4]

CHAN_BOND_SEQ_

2_USE_0変更不可

TRANS_TIME_TO_P

2_0[0]

TRANS_TIME_NON_

P2_0[0]

TRANS_TIME_FROM_P2_0[0]

変更不可SATA_MIN_BURST_0[3]

表 D-10 : DRP ア ド レス 0x38 - 0x3F (続き)

ビ ッ トア ド レス

0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F

Page 320: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

320 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ビ ッ ト 位置ご との DRP ア ド レスR

14CLK_COR_MIN_LAT_

0[5]

CHAN_BOND_SEQ_2_ENABLE_

0[1]

変更不可

TRANS_TIME_TO_P

2_0[1]

TRANS_TIME_NON_

P2_0[1]

TRANS_TIME_FROM_P2_0[1]

SATA_MIN_WAKE_0[0]

SATA_MIN_BURST_0[4]

15CLK_COR_MAX_LAT_

0[0]

CHAN_BOND_SEQ_2_ENABLE_

0[2]

変更不可

TRANS_TIME_TO_P

2_0[2]

TRANS_TIME_NON_

P2_0[2]

TRANS_TIME_FROM_P2_0[2]

SATA_MIN_WAKE_0[1]

SATA_MIN_BURST_0[5]

表 D-10 : DRP ア ド レス 0x38 - 0x3F (続き)

ビ ッ トア ド レス

0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F

表 D-11 : DRP ア ド レス 0x40 - 0x47

ビ ッ トア ド レス

0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47

0SATA_MAX_WAKE_0[0]

SATA_MAX_BURST_0[4]

RX_LOS_INVALID_INCR_0[1]

PRBS_ERR_THRESHOL

D_0[12]

PRBS_ERR_THRESHOL

D_0[28]

PMA_CDR_SCAN_0[12]

PLL_TXDIVSEL_

OUT_0[1]変更不可

1SATA_MAX_WAKE_0[1]

SATA_MAX_BURST_0[5]

RX_LOS_INVALID_INCR_0[2]

PRBS_ERR_THRESHOL

D_0[13]

PRBS_ERR_THRESHOL

D_0[29]

PMA_CDR_SCAN_0[13]

PLL_SATA_0CHAN_

BOND_SEQ_2_3_0[8]

2SATA_MAX_WAKE_0[2]

SATA_IDLE_VAL_0[0]

RX_DECODE_SEQ_

MATCH_0

PRBS_ERR_THRESHOL

D_0[14]

PRBS_ERR_THRESHOL

D_0[30]

PMA_CDR_SCAN_0[14]

PLL_RXDIVSEL_

OUT_0[0]

CHAN_BOND_SEQ_

2_3_0[7]

3SATA_MAX_WAKE_0[3]

SATA_IDLE_VAL_0[1]

RX_BUFFER_USE_0

PRBS_ERR_THRESHOL

D_0[15]

PRBS_ERR_THRESHOL

D_0[31]

PMA_CDR_SCAN_0[15]

PLL_RXDIVSEL_

OUT_0[1]

CHAN_BOND_SEQ_

2_3_0[6]

4SATA_MAX_WAKE_0[4]

SATA_IDLE_VAL_0[2]

PRBS_ERR_THRESHOL

D_0[0]

PRBS_ERR_THRESHOL

D_0[16]

PMA_CDR_SCAN_0[0]

PMA_CDR_SCAN_0[16]

PCOMMA_DETECT_0

CHAN_BOND_SEQ_

2_3_0[5]

5SATA_MAX_WAKE_0[5]

SATA_BURST_VAL_0[0]

PRBS_ERR_THRESHOL

D_0[1]

PRBS_ERR_THRESHOL

D_0[17]

PMA_CDR_SCAN_0[1]

PMA_CDR_SCAN_0[17]

PCOMMA_10B_VALUE

_0[0]

CHAN_BOND_SEQ_

2_3_0[4]

6SATA_MAX_

INIT_0[0]SATA_BURST_VAL_0[1]

PRBS_ERR_THRESHOL

D_0[2]

PRBS_ERR_THRESHOL

D_0[18]

PMA_CDR_SCAN_0[2]

PMA_CDR_SCAN_0[18]

PCOMMA_10B_VALUE

_0[1]

CHAN_BOND_SEQ_

2_3_0[3]

7SATA_MAX_

INIT_0[1]SATA_BURST_VAL_0[2]

PRBS_ERR_THRESHOL

D_0[3]

PRBS_ERR_THRESHOL

D_0[19]

PMA_CDR_SCAN_0[3]

PMA_CDR_SCAN_0[19]

PCOMMA_10B_VALUE

_0[2]

CHAN_BOND_SEQ_

2_3_0[2]

8SATA_MAX_

INIT_0[2]RX_XCLK_

SEL_0

PRBS_ERR_THRESHOL

D_0[4]

PRBS_ERR_THRESHOL

D_0[20]

PMA_CDR_SCAN_0[4]

PMA_CDR_SCAN_0[20]

PCOMMA_10B_VALUE

_0[3]

CHAN_BOND_SEQ_

2_3_0[1]

9SATA_MAX_

INIT_0[3]RX_STATUS

_FMT_0

PRBS_ERR_THRESHOL

D_0[5]

PRBS_ERR_THRESHOL

D_0[21]

PMA_CDR_SCAN_0[5]

PMA_CDR_SCAN_0[21]

PCOMMA_10B_VALUE

_0[4]

CHAN_BOND_SEQ_

2_3_0[0]

Page 321: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 321UG196 (v1.8.1) 2008 年 12 月 1 日

付録 D : GTP_DUAL タ イルのア ド レス マ ッ プ R

10SATA_MAX_

INIT_0[4]RX_SLIDE_

MODE_0

PRBS_ERR_THRESHOL

D_0[6]

PRBS_ERR_THRESHOL

D_0[22]

PMA_CDR_SCAN_0[6]

PMA_CDR_SCAN_0[22]

PCOMMA_10B_VALUE

_0[5]

CHAN_BOND_SEQ_

2_4_0[9]

11SATA_MAX_

INIT_0[5]

RX_LOS_THRESHOL

D_0[0]

PRBS_ERR_THRESHOL

D_0[7]

PRBS_ERR_THRESHOL

D_0[23]

PMA_CDR_SCAN_0[7]

PMA_CDR_SCAN_0[23]

PCOMMA_10B_VALUE

_0[6]

CHAN_BOND_SEQ_

2_4_0[8]

12SATA_MAX_BURST_0[0]

RX_LOS_THRESHOL

D_0[1]

PRBS_ERR_THRESHOL

D_0[8]

PRBS_ERR_THRESHOL

D_0[24]

PMA_CDR_SCAN_0[8]

PMA_CDR_SCAN_0[24]

PCOMMA_10B_VALUE

_0[7]

CHAN_BOND_SEQ_

2_4_0[7]

13SATA_MAX_BURST_0[1]

RX_LOS_THRESHOL

D_0[2]

PRBS_ERR_THRESHOL

D_0[9]

PRBS_ERR_THRESHOL

D_0[25]

PMA_CDR_SCAN_0[9]

PMA_CDR_SCAN_0[25]

PCOMMA_10B_VALUE

_0[8]

CHAN_BOND_SEQ_

2_4_0[6]

14SATA_MAX_BURST_0[2]

RX_LOSS_OF_SYNC_FS

M_0

PRBS_ERR_THRESHOL

D_0[10]

PRBS_ERR_THRESHOL

D_0[26]

PMA_CDR_SCAN_0[10]

PMA_CDR_SCAN_0[26]

PCOMMA_10B_VALUE

_0[9]

CHAN_BOND_SEQ_

2_4_0[5]

15SATA_MAX_BURST_0[3]

RX_LOS_INVALID_INCR_0[0]

PRBS_ERR_THRESHOL

D_0[11]

PRBS_ERR_THRESHOL

D_0[27]

PMA_CDR_SCAN_0[11]

PLL_TXDIVSEL_

OUT_0[0]

PCI_EXPRESS_MODE_0

CHAN_BOND_SEQ_

2_4_0[4]

表 D-11 : DRP ア ド レス 0x40 - 0x47 (続き)

ビ ッ トア ド レス

0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47

表 D-12 : DRP ア ド レス 0x48 - 0x4F

ビ ッ トア ド レス

0x48 0xq49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F

0CHAN_

BOND_SEQ_2_4_0[3]

PMA_RX_CFG_0[14]

RCV_TERM_GND_0

変更不可 変更不可 変更不可 変更不可 変更不可

1CHAN_

BOND_SEQ_2_4_0[2]

PMA_RX_CFG_0[15]

RCV_TERM_VTTRX_0

変更不可 変更不可 変更不可 変更不可 変更不可

2CHAN_

BOND_SEQ_2_4_0[1]

PMA_RX_CFG_0[16]

変更不可 変更不可 変更不可 変更不可 変更不可 変更不可

3CHAN_

BOND_SEQ_2_4_0[0]

PMA_RX_CFG_0[17]

変更不可 変更不可 変更不可 変更不可 変更不可 変更不可

4PMA_RX_CFG_0[6]

PMA_RX_CFG_0[18]

変更不可 変更不可 変更不可 変更不可 変更不可 変更不可

5PMA_RX_CFG_0[7]

PMA_RX_CFG_0[19]

変更不可 変更不可 変更不可 変更不可 変更不可 変更不可

6PMA_RX_CFG_0[8]

PMA_RX_CFG_0[20]

変更不可 変更不可 変更不可 変更不可 変更不可 変更不可

Page 322: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

322 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

ビ ッ ト 位置ご との DRP ア ド レスR

7PMA_RX_CFG_0[9]

PMA_RX_CFG_0[21]

変更不可 変更不可 変更不可 変更不可 変更不可 変更不可

8PMA_RX_CFG_0[10]

PMA_RX_CFG_0[22]

PLL_TXDIVSEL_COMM_OUT

[1]

変更不可 変更不可 変更不可 変更不可 変更不可

9PMA_RX_CFG_0[23]

PMA_RX_CFG_0[11]

PLL_TXDIVSEL_COMM_OUT

[0]

変更不可 変更不可 変更不可 変更不可 変更不可

10PMA_RX_CFG_0[2]

PMA_RX_CFG_0[0]

変更不可 変更不可 変更不可 変更不可 変更不可 変更不可

11PMA_RX_CFG_0[3]

PMA_RX_CFG_0[1]

変更不可 変更不可 変更不可 変更不可 変更不可 変更不可

12PMA_RX_CFG_0[4]

PMA_RX_CFG_0[24]

変更不可 変更不可 変更不可 変更不可 変更不可 変更不可

13PMA_RX_CFG_0[5]

PMA_RX_CFG_0[12]

TX_DIFF_BOOST_0

変更不可 変更不可 変更不可 変更不可 変更不可

14 変更不可AC_CAP_

DIS_0変更不可 変更不可 変更不可 変更不可 変更不可 変更不可

15PMA_RX_CFG_0[13]

RCV_TERM_MID_0

変更不可 変更不可 変更不可 変更不可 変更不可 変更不可

表 D-12 : DRP ア ド レス 0x48 - 0x4F (続き)

ビ ッ トア ド レス

0x48 0xq49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F

Page 323: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 323UG196 (v1.8.1) 2008 年 12 月 1 日

R

付録 E

低レ イテンシのデザイ ン

こ こ では、GTP ト ラ ンシーバの TX および RX セ ク シ ョ ンにあ る フ ァ ン ク シ ョ ン ブロ ッ ク のレ イ テ

ンシを図で説明し ます。 図 E-2 は、 TX および RX のレ イ テンシを図示し た ものです。

各フ ァ ン ク シ ョ ン ブロ ッ クには、特定ブロ ッ ク の入力と出力間の時差と し て定義される レ イ テンシ

があ り ます。 GTP ト ラ ンシーバのブロ ッ クによ ってはバイパスが可能で、 ト ラ ン ス ミ ッ タおよびレ

シーバを通るデータパスのレ イ テンシを削減でき ます。ブロ ッ クのレ イ テンシは、 RX エラ ステ ィ ッ

ク バッ フ ァ (64 エレ メ ン ト FIFO) および TX バッ フ ァ (4 エレ メ ン ト FIFO) を除き、確定的と なっ

ています。バッ フ ァ をバイパスするには、位相ア ラ イ メ ン ト の手順や USRCLK 要件など満たすべき

低限の条件があ り ます。

バッ フ ァ をバイパスする場合のイ ンプ リ メ ンテーシ ョ ンおよび 低限の条件の詳細は、 110 ページ

の 「TX バッ フ ァ、 位相ア ラ イ メ ン ト 、 およびバッ フ ァ バイパス」、 171 ページの 「設定変更可能な

RX エラ ステ ィ ッ ク バッ フ ァおよび位相ア ラ イ メ ン ト 」 、 101 ページの 「TXUSRCLK および

TXUSRCLK2 の接続」、 197 ページの 「RXUSRCLK および RXUSRCLK2 の接続」 を参照し て く

ださ い。

GTP TX のレ イテンシ

図 E-2 は、GTP TX の詳細なブロ ッ ク図です。 こ の図および GTP TX ブロ ッ ク の詳細は、第 6 章 の

「GTP ト ラ ン ス ミ ッ タ (TX)」 および 97 ページの図 6-1 を参照し て く ださ い。

図 E-1 : GTP TX ブロ ッ ク図

UG196_ae_01_112107

TXUSRCLK

GTP

RX

TX TX FPGA

RXUSRCLK

RX FPGA

RX

TX

Page 324: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

324 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

GTP RX のレ イテンシR

表 E-1 に、GTP ト ラ ンシーバの TX セ ク シ ョ ンにあ る特定のフ ァ ン ク シ ョ ン ブロ ッ ク またはフ ァ ン

ク シ ョ ン ブロ ッ クのグループのレ イ テンシの定義を示し ます。 [ブロ ッ ク番号] 列の数は、図 E-2 内

の丸で囲まれた番号に対応し ます。

GTP RX のレ イテンシ

図 E-3 は、GTP RX の詳細なブロ ッ ク図です。 こ の図および GTP RX ブロ ッ クの詳細は、第 7 章 の

「GTP レシーバ (RX)」 および 131 ページの図 7-1 を参照し て く ださ い。

図 E-2 : GTP TX ブロ ッ ク図

TX-PMA TX-PCS

TX

TX PIPE

PMA PLL

TXPISO

FIFO

8B/10B

FPGATX

PRBS

UG196_c6_01_112107

PMAPLL

TXOOB

PCI

12

3

5

47

9

68

表 E-1 : GTP ト ラ ンス ミ ッ タのレ イテンシ

ブロ ッ ク番号 ブロ ッ ク名 レ イテンシ

1 FPGA TX イ ン ターフ ェ イ スTXDATAWIDTH = 0 TXDATAWIDTH = 1

1 サイ クル 1.5 サイ クル

2 8B/10B エンコ ーダTXENC8B10BUSE = 0 TXENC8B10BUSE = 1

0 サイ クル 1 サイ クル

3 TX バ ッ フ ァTX_BUFFER_USE = 0 TX_BUFFER_USE = 1

1 サイ クル 1 ~ 5 サイ クル

4+6+7+8+9 PMA + イ ン ターフ ェ イ ス 2 サイ クル

総レ イテンシ大 小

9.5 サイ クル 4 サイ クル

メ モ :

1. 1 サイ クル = TXUSRCLK レー ト での 1 ク ロ ッ ク サ イ クルです。

Page 325: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 325UG196 (v1.8.1) 2008 年 12 月 1 日

付録 E : 低レ イテンシのデザイン R

表 E-2 に、GTP ト ラ ンシーバの RX セ ク シ ョ ンにあ る特定のフ ァ ン ク シ ョ ン ブロ ッ ク またはフ ァ ン

ク シ ョ ン ブロ ッ クのグループのレ イ テンシの定義を示し ます。 [ブロ ッ ク番号] 列の数は、図 E-3 内

の丸で囲まれた番号に対応し ます。

図 E-3 : GTP RX ブロ ッ ク図

RX-PMA RX-PCS

PMA PLL

RX EQ

RX OOB

SIPO10B/8B

FPGARX

RX

Loss of Sync

RX

UG196 c7 01 112707

PRBS

RXCDR

PMAPLL

RX

1 3

2 4

5

7

6

8

9

12 13

10 1114

表 E-2 : GTP レシーバのレ イテンシ

ブロ ッ ク番号 ブロ ッ ク名 レ イテンシ

1+2+3+4 PMA + イ ン ターフ ェ イ ス 1.5 サイ クル ± 1UI

5+6 オーバーサンプ リ ングOVERSAMPLE_MODE = FALSE OVERSAMPLE_MODE = TRUE

0 サイ クル 1 サイ クル

8 カンマ ア ラ イ メ ン トRXCOMMADETUSE = 0 RXCOMMADETUSE = 1

3 サイ クル 3 ~ 5 サイ クル

10 8B/10B エン コーダRXDEC8B10BUSE = 0(2) RXDEC8B10BUSE = 1

0 サイ クル 1 サイ クル

11 RX エラ ステ ィ ッ ク バッ フ ァ

RX_BUFFER_USE = 0 RX_BUFFER_USE = 1

2 サイ クル2 サイ クル +

CLK_COR_MIN_LAT

14 FPGA RX イ ンタ ーフェイ スRXDATAWIDTH = 0 RXDATAWIDTH = 1

2 サイ クル 3 サイ クル

総レ イテンシ 大 小

オーバーサンプ リ ングな し 13.5 + CLK_COR_MIN_LAT 8.5 サイ クル

メ モ :

1. 1 サイ クル = RXUSRCLK レー ト での 1 ク ロ ッ ク サ イ クルです。

2. RX エ ラ ステ ィ ッ ク バ ッ フ ァ をバイパスする と きは 10 ビ ッ ト の内部データ幅が必要であ り 、INDATAWIDTH=1 にする必要があ り ます。

Page 326: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

326 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

GTP RX のレ イテンシR

Page 327: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 327UG196 (v1.8.1) 2008 年 12 月 1 日

R

付録 F

ア ドバンス ク ロ ッ キング

各 GTP_DUAL プ リ ミ テ ィ ブには、リ フ ァ レン ス ク ロ ッ ク をマルチプレ ク サ処理する構造が含まれ

ます。 こ の構造は、 ダ イナ ミ ッ ク リ コ ンフ ィ ギュ レーシ ョ ン ポー ト (DRP) によ ってア ド レ ス指定

でき、GTP_DUAL タ イルの共有 PMA PLL の CLKIN ポー ト への差動 リ フ ァ レ ン ス ク ロ ッ ク ソー

ス 4 つの 1 つに接続でき ます。

HDL 内でク ロ ッ ク を割 り 当て るのではな く 、DRP ポー ト を使用し て リ フ ァ レン ス ク ロ ッ ク のマル

チプレ ク サを直接操作する こ と によ り 、 リ フ ァ レ ンス ク ロ ッ クの調整が柔軟にな り ます。 特定のタ

イルに適用される リ フ ァ レ ン ス ク ロ ッ クは、実行中に変更でき ます。GTPRESET は、 ク ロ ッ ク調整

の完了後に適用されます。

マルチク ロ ッ ク デザイ ンを設計する際は、 次のよ う ない く つかの規則があ り ます。

• タ イルで上方向に転送でき る ク ロ ッ クは、 一度に 1 つのみ

• タ イルで下方向に転送でき る ク ロ ッ クは、 一度に 1 つのみ

• ク ロ ッ クは、 いずれの方向でも タ イル 3 つまでしか転送でき ない

• GTP ト ラ ンシーバでフ ォ ワード ク ロ ッ ク が使用されていない場合でも、 そのタ イルで上また

は下方向にク ロ ッ ク を転送可能

ア ド バン ス ク ロ ッ キングを使用する と、 HDL を使用し て リ フ ァ レン ス ク ロ ッ ク を接続する場合に

は不可だった、 重複する ク ロ ッ ク領域の構成が可能と な り ます。

図 F-1 に、 リ フ ァ レ ン ス ク ロ ッ クのマルチプレ クサ構造を示し ます。 REFCLK MUX にあ る X は

don't care を意味し ます。 IBUFDS の詳細は、 第 10 章の 「REFCLK ガ イ ド ラ イ ン」 を参照し て く だ

さ い。

メ モ : マルチ レ ー ト デザ イ ン を シ ミ ュ レ ー シ ョ ン す る 場合、 シ ミ ュ レ ーシ ョ ン 専用属性、

SIM_PLL_PERDIV2 および SIM_GTPRESET_SPEEDUP の適切な設定は、 第 3 章 「シ ミ ュ レー

シ ョ ン」 を参照し て く ださ い。 さ ら なる詳細は、 第 5 章の 「ク ロ ッ キング」 、 「 リ セ ッ ト 」 および、

「消費電力管理」 を参照し て く だ さい。

Page 328: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

328 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

R

MUX セレ ク タはすべて DRP のア ド レ ス 0x04 にあ り 、 表 F-1 に示すよ う にマ ッ プされます。

DRP ア ド レ ス 0x04 にあ るほかの属性が誤って変更されないよ う にする ため、 読み出し /修正/書き

込みの手順に従って MUX セレ ク タ を変更する必要があ り ます。

図 F-1 : リ フ ァ レンス ク ロ ッ クのマルチプレ クサ構造

表 F-1 : MUX セレ ク タ

REFCLK_SEL ビ ッ ト ア ド レス

REFCLK_SEL[0] 6 0x04

REFCLK_SEL[1] 5 0x04

REFCLK_SEL[2] 4 0x04

CLKSOUTH_SEL 7 0x04

CLKNORTH_SEL 8 0x04

GTP_DUAL

XX01 0

1 0

001

011

1X1

BUFG/BUFRIBUFDS CLKP

CLKIN

CLKIN CLKPCLKN

REFCLK_SEL

(GREFCLK)

CLKINSOUTH

CLKOUTNORTH

CLKINNORTHCLKOUTSOUTH

CLKSOUTH_SEL

CLKNORTH_SEL

GREFCLK

A PLL

GTP

CLKN

UG196_af_01_032307

Page 329: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 329UG196 (v1.8.1) 2008 年 12 月 1 日

付録 F : ア ドバンス ク ロ ッキング R

図 F-2 に示すシステム例では、6 つの GTP_DUAL タ イルがあ り 、 リ フ ァ レ ン ス ク ロ ッ クは 4 つあ

り ます。 こ の場合、 い く つかの差動ク ロ ッ キング方法があ り ます。

メ モ : リ フ ァ レ ンス ク ロ ッ クのソース元になっている GTP_DUAL タ イルは、イ ン ス タ ンシエー ト

し て REFCLKPWRDNB を High にアサー ト する必要があ り ます。

表 F-2 に、 図 F-2 の例について説明し ます。 GTP_DUAL タ イル D を使用し て CLKIN のソース と

し て ク ロ ッ ク 1 を選択するには、 REFCLK_SEL を 1x1 に設定し ます。

図 F-2 : システム例

GTP_DUAL

BUFG/BUFR

4 = GREFCLK

IBUFDS CLKP

CLKINGREFCLK

A PLL

GTP

CLKN

GTP_DUAL

BUFG/BUFRIBUFDS

3

CLKP

CLKINGREFCLK

B PLL

GTP

CLKN

GTP_DUAL

BUFG/BUFRIBUFDS

2

CLKP

CLKINGREFCLK

C PLL

GTP

CLKN

GTP_DUAL

BUFG/BUFRIBUFDS CLKP

CLKINGREFCLK

D PLL

GTP

CLKN

GTP_DUAL

BUFG/BUFRIBUFDS CLKP

CLKINGREFCLK

E PLL

GTP

CLKN

GTP_DUAL

BUFG/BUFRIBUFDS

UG196_af_02_042807

1

CLKP

CLKINGREFCLK

F PLL

GTP

CLKN

Page 330: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

330 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

例R

表 F-2 : GTP タ イル の使用

GTP_DUAL タ イル

CLKINオプシ ョ ン

REFCLK_SEL CLKNORTH_SEL CLKSOUTH_SEL

Aク ロッ ク 2 1x1(1)

Don’t Care : ク ロ ッ クは

上方向には送信でき ない

Don’t Care : 下方向に転

送でき る ク ロ ッ クはないク ロッ ク 4 xx0

Bク ロッ ク 2 1x1 0 : ク ロ ッ ク 2 を上方向、

タ イル A に転送

1 : ク ロ ッ ク 3 を上方向、

タ イル C に駆動ク ロッ ク 3 011

C

ク ロッ ク 1 1x1

1 : ク ロ ッ ク 2 を上方向、

タ イル B に駆動

1 : ク ロ ッ ク 2 を下方向、

タ イル D に駆動

ク ロッ ク 2 011

ク ロッ ク 3 001

ク ロッ ク 4 xx0

D

ク ロッ ク 1 1x1

0 : ク ロ ッ ク 1 を上方向、

タ イル C に転送

0 : ク ロ ッ ク 2 を下方向、

タ イル E に転送ク ロッ ク 2 001

ク ロッ ク 4 xx0

Eク ロッ ク 1 1x1 0 : ク ロ ッ ク 1 を上方向、

タ イル D に転送

0 : ク ロ ッ ク 2 を下方向、

タ イル F をに転送ク ロッ ク 2 001

Fク ロッ ク 1 011

1 : ク ロ ッ ク 1 を上方向、

タ イル E に駆動

Don’t Care : ク ロ ッ ク 2 を送信でき る範囲は、

大でタ イル 3 つまでク ロッ ク 2 001

Page 331: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 331UG196 (v1.8.1) 2008 年 12 月 1 日

数字

1 バイ ト モード 1022D フ ィ ール ド ソルバ 245, 2522 バイ ト モード 1033D フ ィ ール ド ソルバ 249, 2525 倍オーバーサンプ リ ング 147, 112,

150, 1528B/10B

エン コーダ 106デ ィ スエーブル/イ ネーブル

108

バイパス 110順序 109

エン コード 106カンマ 169デコーダ 167, 168

順序 169デコード 184利点 106

AAC カ ッ プ リ ング 223, 238, 251, 134,

136

BBGA パッ ケージ 251

エスケープの例 264BGA 隣接ガ イ ド ラ イ ン 229

CCDR ロ ッ ク、 検出 175CLKIN 68, 76, 101, 197, 327

オプシ ョ ン 76COM シーケン スのタ イ ミ ング 130CORE Generator ツール 23, 45CRC 199

属性 201ポー ト 200

CRC_INIT 値PCI Express 204SATA 204

イーサネ ッ ト 204イ ンフ ィ ニバン ド 204

フ ァ イバ チャネル 204CRC32 プ リ ミ テ ィ ブ 202

RX 205TX 205

CRC64 プ リ ミ テ ィ ブ 202RX 205TX 205

CRCOUT 205CRCRESET 203

CRC チェ ッ ク、 方法 206Current Mode Logic (CML) 133

DDC カ ッ プ リ ング 134, 136, 238DC バラ ン ス 238DCM 49, 87, 103, 104, 116, 175DIV 70DRP 96, 130, 327

ア ド レ ス (属性ご と ) 293ア ド レ ス (ビ ッ ト 位置ご と ) 309

DRP テーブル

属性のマ ッ プ 291DRP ポー ト 96

FFPGA RX イ ン ターフ ェ イ ス 194

イ ネーブル 195FPGA TX イ ン ターフ ェ イ ス 98, 100FTS レーン デスキ ュー 189

GGREFCLK 76

GREFCLK ク ロ ッ キング 76, 80GSR 50, 51

GTP_DUAL タ イル

コ ンフ ィ ギュ レーシ ョ ン 67属性のサマ リ 37定義 24配置 56, 58

LXT 58SXT 58

例 24ブロ ッ ク図 26

ポー ト のサマ リ 28リ セ ッ ト 80

GTP_DUAL 列図 24未使用または一部使用 228

GTP0 27GTP1 27GTPRESET 82, 115, 146, 174, 175,

327

GTP ト ラ ンシーバ

機能 23定義 23配置 24

GTP ト ラ ンシーバへの移行 269GTS 50

HHFSS 252

IIBUFDS プ リ ミ テ ィ ブ 76, 78INTDATAWIDTH 70, 98, 101, 119,

154, 157, 163, 174, 175, 183, 191, 196

ISE 開発システム 52ISE ツール 70

KK28.5 276

K キ ャ ラ ク タ 109, 169Kキ ャ ラ ク タ 281

LLinux 52Lock-to-Reference 147

LOS (Loss-of-Sync) ステー ト マシン 164, 175

LXT パッ ケージ 58

MMGT での違い 269ModelSim SE 6.1d 52

索引

Page 332: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

332 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

索引R

OOC-48、 共有 PMA PLL 設定 74OOB 信号 128, 129, 138, 279Out-of-Band 信号 128, 129, 138, 279OVERSAMPLE_MODE 70

PP/N ク ロ スオーバー ビア 258P/N 長の不一致 265P2 電力ステー ト 129PCB ガ イ ド ラ イ ン 263PCI Express

CRC 202

CRC_INIT 値 204遠端 PCS ループバ ッ ク 211消費電力管理 89電気的ア イ ド ル 141, 189電力制御 93ビーコ ン信号 128, 129, 138, 279,

280

ブロ ッ キング キ ャパシ タ値 239共有 PMA PLL 設定 75

PDJ 238, 239

PIPE 仕様 91, 93, 125, 128, 138, 139, 189, 280

PISO ブロ ッ ク 119PLL ク ロ ッ ク 68, 70

設定 70PLL のパワーダウ ン 92PMA_COM_CFG 210Point of Load 236

POL 電源分配 236PRBS

ジェネレータ 118チェ ッ カ 156テス ト パターン 118

PRBS エラー 89PSRR 225

RREFCLKOUT 102, 116

USRCLK 生成 104REFCLK のパワーダウ ン 92RocketIO GTP Transceiver Wizard 45,

56, 73RX CDR 144

再ロ ッ ク 88リ セ ッ ト 88, 146

RX PCS 131RX PMA 131

RX 位相ア ラ イ メ ン ト

手順 175フ ロー図 177

RX エラ ステ ィ ッ ク バ ッ フ ァ 171位相差の解消 174エラー 88制限 183バイパス 174

RX 終端、 設定 136RX データパス幅 195RX のパワーダウ ン 92RXCDRRESET 146RXELECIDLERESET 88RXEQMIX 137RXEQPOLE 137RXRECCLK 197RXSTATUS

同期化 130RXUSRCLK/RXUSRCLK2 173, 197RXUSRCLK2 194

SSATA

CRC_INIT 値 204OOB 信号 279ブロ ッ キング キ ャパシ タ値 239

SATA オー ト ネゴシエーシ ョ ン 128SATA 仕様 128, 138SelectIO ガイ ド ラ イ ン 229, 241SelectIO 信号、 パフ ォーマン スへの影

響 230SIM_PLL_PERDIV2 49, 50

算出 53SIPO ブロ ッ ク 150SMA コネ ク タ 258SmartModel 47, 48, 52

SmartModel の属性 49SMT パッ ド 251SONET A1/A2 ア ラ イ メ ン ト 158SXT パッ ケージ 58

TTX PCS 97

TX PISO 属性 120TX PISO ポー ト 119TX PMA 97

TX PRBS ジェネレータ ポー ト 118TX 位相ア ラ イ メ ン ト 115, 116

ク ロ ッ ク の安定 116手順 115

TX 極性制御 117TX 極性制御ポー ト 117TX データパス幅 100TX ド ラ イバ 121TX のパワーダウ ン 92TX バッ フ ァ 115

エラー 88ステータ ス 112ド レード オフ 111バイパス 70, 114, 115

TX_BUFFER_USE 210TXDATAWIDTH 103TXOUTCLK 102, 103

複数ク ロ ッ ク 103TXUSRCLK 116

位相 115算出式 101

TXUSRCLK/TXUSRCLK2 101

TXUSRCLK2 の算出式 101

UUCF 70

作成 56例 57

XXAUI、 共有 PMA PLL 設定 73XCLK の位相 115

アナロ グ デザイ ンのガイ ド ラ イ ン 213アナロ グ ピ ンのサマ リ 27アナロ グ ピ ンの定義 213ア ラ イ メ ン ト

SONET A1/A2 158

カンマ 157, 162手動 163

アンダーフ ロー

オーバーサンプ リ ング ブロ ッ ク 89

バッ フ ァ 88, 115, 154, 174

Page 333: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 333UG196 (v1.8.1) 2008 年 12 月 1 日

索引R

イーサネ ッ ト 、 CRC_INIT 値 204位相ア ラ イ メ ン ト

RX 175TX 115, 116

イ ンフ ィ ニバン ド、 CRC_INIT 値 204

ウ ィ ザード 56, 73

エラー チェ ッ ク 202

オーバーサンプ リ ング 112, 147, 150, 152

設定 153オーバーサンプ リ ング ブロ ッ ク 154オーバーフ ロー

オーバーサンプ リ ング ブロ ッ ク 89

バッ フ ァ 88, 115, 154, 174オシレータ

PLL ベース 87ク リ ス タル 237選択 222特性 220

ガイ ド ラ イ ン

BGA 隣接 229PCB 263SelectIO 229, 241

アナロ グ デザイ ン 213キ ャパシタ 228サマ リ 263信号の減衰 243電圧レギュ レータ 226バウ ンダ リ ス キ ャ ン 228フ ィ ルタ ネ ッ ト ワーク 228フ ェ ラ イ ト 227リ フ ァ レ ン ス ク ロ ッ ク 220

外部ポー ト 55カ ッ プ リ ング メ カニズム、 SelectIO 信

号 242カンマ

8B/10B 169

ア ラ イ メ ン ト 157, 162パターン、 設定 161

カンマ ア ラ イ メ ン ト

イ ネーブル 161関連資料 18

ギガビ ッ ト イーサネ ッ ト 、 共有 PMA PLL 設定 74

基板材質、 選択 244キ ャパシタのガイ ド ラ イ ン 228共有 PMA PLL 153, 68, 70共有ク ロ ッ ク

属性 78ポー ト 78

極性制御

RX 155TX 117

グ ラ ン ド プレーン 247ク ロ ス ト ーク 229, 241ク ロ ッ キング規則 101ク ロ ッ ク関係 197ク ロ ッ ク構成およびク ロ ッ ク コ レ ク

シ ョ ン 172ク ロ ッ ク コ レ ク シ ョ ン 179

イ ネーブル 183シーケン ス 183周波数制御 184属性 181ポー ト 180モニ タ 185優先順位 193

ク ロ ッ ク設定 71ク ロ ッ ク データ リ カバ リ 144ク ロ ッ ク ト レース 237ク ロ ッ ク の安定 87ク ロ ッ ク の再ロ ッ ク 87ク ロ ッ ク配線、 専用 76ク ロ ッ ク バッ フ ァ、 高速 238

ケーブル 248検出のし きい値 127

コネ ク タ 248HM-Zd 264

プレ ス フ ィ ッ ト 266コ ンポーネン ト の リ セ ッ ト 83

再ロ ッ ク 87差動ク ロ ッ ク入力ペア、 複数 222差動出力電圧 122差動幅

駆動強度の制御 122差動ビア 256, 263

GSSG 264

プロ ト コル

サポー ト 23

時間領域反射率 249ジ ッ タ 238, 239ジ ッ タ マージン 79終端イ ンピーダン ス 124, 135, 214終端電圧 136受信検出 126順序 109, 169消費電力管理 91消費電力管理ポー ト 89消費電力管理用の属性 91消費電力、 小化 223信号の減衰管理ガイ ド ラ イ ン 243信号の歪み補正 137

ス イ ッチ、 高速 238水平方向へのサンプル ポ イ ン ト のシフ

ト 148スキ ュー

ケーブル 248小限化 111大 192

チャネル ボンデ ィ ング 193スキ ューの 小化 116スケルチ ク ロ ッ ク 142ス ト リ ッ プラ イ ン 259, 266スペク ト ラ ム拡散ク ロ ッ ク 147

Page 334: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

334 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

索引R

制限

シ ミ ュ レーシ ョ ン 49ループバッ ク 209, 210

挿入されたア イ ド ル 185属性

CRC 201

PRBS 検出 156RX CDR 145

RX Loss-of-Sync ステー ト マシン 165

RX OOB/ビーコ ン信号 140RX 位相ア ラ イ メ ン ト 173RX エラ ステ ィ ッ ク バッ フ ァ 173RX カンマ ア ラ イ メ ン ト および検

出 160RX 終端およびイ コ ラ イゼーシ ョ

ン 134RX デコーダ 168RX デジタル オーバーサンプン リ

ング (DCDR) 153TX PISO 120

TX 位相ア ラ イ メ ン ト 114TX ド ラ イバ 122TX バッ フ ァ リ ング 114アナロ グ 214共有 PMA PLL 69共有ク ロ ッ ク 78ク ロ ッ ク コ レ ク シ ョ ン 181消費電力 91チャネル ボンデ ィ ング 187ループバッ ク 208

属性のマ ッ プ 291損失正接 244

ダ イナ ミ ッ ク リ コ ンフ ィ ギュ レーシ ョン ポー ト 96, 327

立ち上が り 時間

レシーバ 127

チャネル

定義 235チャネル ボンデ ィ ング 186

シーケン ス 191設定 189ポー ト の接続 190優先順位 193リ セ ッ ト 条件 89

チャネル ボンデ ィ ングのスキ ュー 193調波 243重複する ク ロ ッ ク領域 327

デ ィ スパ リ テ ィ 109, 169エラー 170

データ キ ャ ラ ク タ、 有効 (表) 281デエンフ ァ シス 124デザイ ンの移行 269電圧レギュ レータ 225

ガイ ド ラ イ ン 226特徴 225

電気的ア イ ド ル 83電源

原則 236フ ィ ルタ処理 274リ ッ プル除去 225

電源ステー ト

PCI Express 以外 92電源電圧

り っぷる除去 225電源ピン電圧 273伝送ラ イ ン 249

イ ンピーダン ス 245損失 248

電力ステー ト 126P2 129

PCI Express 以外 92RX 90TX 90

電力分配システム (PDS) 225

ト ラ ンジシ ョ ン

一般 235定義 235, 259デザイ ン 249

ト レースの形状 245ト レース、 ク ロ ッ ク 237

内部データパス幅 74OC-48 74PCI Express 75XAUI 73

ギガビ ッ ト イーサネ ッ ト 75

ノ イ ズ、 小化 223

配置

GTP_DUAL タ イル 58例 24

バイ ト 反転 205バウ ンダ リ ス キ ャ ン 228バウ ンダ リ ス キ ャ ン ガ イ ド ラ イ ン

228

パターン依存ジ ッ タ 238, 239バッ ク プレーン コネ ク タ 258パッ ケージ ト レースおよび ト ラ ンジ

シ ョ ン 251幅

RX データパス 195RXDATA 194

TX データパス 100ポー ト 98

パラ レル ク ロ ッ ク ド メ イ ン 110, 171パラ レル ク ロ ッ ク ド メ イ ン、 RX

RXUSRCLK 171, 173XCLK 171, 173

パラ レル ク ロ ッ ク ド メ イ ン、 TXTXUSRCLK 110XCLK 110

パラ レル ク ロ ッ クの例 102パラ レル ク ロ ッ ク レー ト 194パワーダウ ン 91

PCI Express デザイ ン 91, 189, 126

PLL 92REFCLK 92RX 90

RX および TX 92TX 90

未使用のタ イルまたは ト ラ ンシーバ 94

パワーダウ ン ステー ト

PCI Express 93

Page 335: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ japan.xilinx.com 335UG196 (v1.8.1) 2008 年 12 月 1 日

索引R

ビア

P/N ク ロ スオーバー 258差動 256, 263, 264ス タブ長 257, 266大 266

ビーコ ン信号 128, 129, 138, 279, 280ビ ッ ト 反転 205比誘導率 243標準規格、 サポー ト 23表皮効果 244

フ ァ イバ チャネル、 CRC_INIT 値 204

フ ィ ール ド ソルバ

2D 245, 2523D 249, 252

フ ィ ルタ ネ ッ ト ワーク のガ イ ド ラ イ ン 228

フ ェ ラ イ ト ガ イ ド ラ イ ン 227プ リ エンフ ァ シス 123ブロ ッ キング キ ャパシ タ 238, 239,

263

算出 240ブロ ッ ク図、 GTP_DUAL タ イル 26プロ ト コル

サポー ト 23設定例 71

分周器、 RX 70分周器、 TX 70

ベース ラ イ ン変動 239

ポー ト

CRC 200DRP 96

FPGA RX イ ン ターフ ェ イ ス 194FPGA TX イ ン ターフ ェ イ ス 98PCI Express レシーバ検出 125PRBS 検出 156RX CDR 144

RX Loss-of-Sync ステー ト マシン 165

RX OOB/ビーコ ン信号 138

RX 位相ア ラ イ メ ン ト 172RX エラ ステ ィ ッ ク バ ッ フ ァ 172RX カンマ ア ラ イ メ ン ト および検

出 159RX 極性 155RX 終端およびイ コ ラ イゼーシ ョ

ン 133RX デコーダ 167RX デジタル オーバーサンプン リ

ング (DCDR) 152SIPO 150TX PISO 119

TX PRBS ジェネレータ 118TX 極性制御 117TX OOB/ビーコ ン信号 128TX 位相ア ラ イ メ ン ト 112TX エン コーダ 107TX ド ラ イバ 122TX バッ フ ァ 112外部 55共有 PMA PLL 68共有ク ロ ッ ク 78ク ロ ッ ク コ レ ク シ ョ ン 180消費電力 89チャネル ボンデ ィ ング 187リ セ ッ ト 81ループバッ ク 208

ポー ト 幅 98保護 231

マイ ク ロ ス ト リ ッ プ 259, 266マ ッ プ

ク ロ ッ ク コ レ ク シ ョ ン シーケンス 184

チャネル ボンデ ィ ング シーケンス 192

マルチク ロ ッ ク デザイ ン 327

有効な K キ ャ ラ ク タ 289有効なデータ キ ャ ラ ク タ 281誘電損失 243

容量およびイ ンダ ク タ ン ス

過度 249式 250

ラ イ ン レー ト

5 倍 153PMA 153RX 150TX 120

オーバーサンプ リ ング 154ラ ンニング デ ィ スパ リ テ ィ 109, 169

リ カバ リ ク ロ ッ ク 144, 171, 174, 179リ セ ッ ト

GTP_DUAL タ イル 80RX CDR 146

コ ンポーネン ト 83状況 86チャネル ボンデ ィ ング 89方法 85リ ン ク ア イ ドル 50, 83

リ セ ッ ト シーケンス 82GTPRESET 82

影響のあ るセク シ ョ ン 82リ セ ッ ト ポー ト 81リ ソース、 その他 19リ ターン電流 247リ ニア イ コ ラ イザ回路 137リ ニア レギュ レータ 236

選択 225リ フ ァ レ ン ス ク ロ ッ ク 76

CLKIN 68, 76

安定 87ガイ ド ラ イ ン 220共有規則 79構造 327複数 222, 329変更 87マルチプレ ク サ構造 327未使用 223

リ ン ク ア イ ドル リ セ ッ ト 67, 83, 88, 146, 50

ループバッ ク

属性 208ポー ト 208

ループバッ ク モード 207遠端 PCS 211

Page 336: Virtex-5 FPGA RocketIO GTP - Xilinx...Virtex-5 FPGA RocketIO GTP トランシーバ japan.xilinx.com UG196 (v1.8.1) 2008 年 12 月 1 日Xilinx is disclosing this user guide, manual,

336 japan.xilinx.com Virtex-5 FPGA RocketIO GTP ト ラ ンシーバ

UG196 (v1.8.1) 2008 年 12 月 1 日

索引R

遠端 PMA 210近端 PCS 208近端 PMA 209

レ イ テンシ 323RX 325TX 324

レギ ュ レータ

リ ニア 236レギ ュ レータの切 り 替え、 不適当 236レギ ュ レータの選択 237レシーバ検出 125

湾曲 259