化學與半導體rsliu/teaching/pdf96/material/...化學與半導體 蘇曼儂 蕭博仁 李依倫...

26
化學與半導體 蘇曼儂 蕭博仁 李依倫 鄭碩賢 楊顓維 楊伯安 邱志翔 馬振超 國立台灣大學化學系 本篇報告著重於半導體的原理、產業與理論性的探討。第一部分將介紹半導體的發展歷史;第二 部份的三個單元為半導體的製程,分別介紹薄膜沉積、離子佈植術和微影技術;第三部分探討半 導體的產業;第四部分將跳脫傳統的無機半導體,介紹目前極具潛力的有機半導體,介紹其發展、 原理與應用。 關鍵字:半導體製程、半導體產業、有機半導體 (1) 前言 蘇曼儂、李依倫 半導體是高科技產業非常重要的領域,許多以經商 業化的應用改變人類生活的形態,尤其台灣堪稱世界半 導體的龍頭,引起我們研究這個主題的動機。 本報告將涵蓋半導體的歷史、製程、產業與新發 展。要了解一門科學必先瞭解其發展,我們將首先探討 半導體的歷史。接著探討半導體工業當今的製程。半導 體工業的製造方法是在矽半導體上製造電子元件,而電 子元件之完成則由精密複雜的積體電路 (Integrated Circuit,簡稱 IC)所組成;IC 之製作過程是應用晶片氧 化層成長、微影技術、蝕刻、清洗、雜質擴散、離子植 入及薄膜沉積等技術完成,接下來分別介紹薄膜沉積、 離子佈植術和微影技術三項重要的半導體製程技術。介 紹完製程之後將分析半導體的市場與產業。在傳統半導 體技術日臻成熟後,嶄露頭角的事有機半導體的領域, 我們將分別介紹有機半導體的理論,包含其發展,並以 P3HT 作為例子,接著介紹有機高分子的應用,包含有 機薄膜電晶體、OLED 與太陽能電池,最後將介紹引起 業界廣泛性去的可彎曲顯示器──透明電晶體。 (2) 半導體的歷史 蕭博仁 2.1 The life before semiconductor 在沒有半導體的存在之前,我們的生活會是如何的 ? 這些非常微小的積體電路晶片雖然在我們日常生 活中不易被我們發現,不過他們很明確的隱藏在我們的 生活周遭: 幾乎所有我們使用的電子相關產品, 電腦相 關元件裡都有這些半導體的存在。所以如果我們生活中 缺少了這些小東西,可以說是非常的不方便,經濟發展 也一定受到影響。 1950 年代貝爾實驗室研究發展出 最原始的半導體之前當時的電子設備如同:收音機或是 一些影像相關的電子儀器都是使用一種叫做真空管的 零件在控制系統中的電子.這些使用真空管的電子儀器 成為了日後在地二次世界大戰中扮演了極重要的角色 的雷達、微波以及導航系統的基石,也完全改變了歷史 的發展。.真空管也被使用在早期的電腦之中,而且就 算到了最近半導體高度發展的社會之中,真空管還使有 備使用在電視還 high power radio frequency transmitters 之中。 2.2 Innovation begins 在第二次世界大戰剛結束不久的 1947 年三位貝爾 實驗室的科學家的研究使得世界上第一個 bipolar transistors 問世, 帶領了人類邁向電子儀器還有產品的 新紀元。 這三位科學家分別是: Jack S.Kilby, William Shockley and Robert Noyce. Jack S.Kilby 生於 1923 年於美國 Kansas ,他的父 親是一位 amateur radio operator 也因為他父親的工作 性質使得年輕的 jack 對於電子相關的領域產生了濃厚 的興趣. 之後隨著他的興趣發展,他就讀於 University of Illinois 並且在 1947 年畢業,之後在 1958 年進入了德 州儀器工作。當他在德州儀器就義的期間他解決了一個 叫做 tyranny of numbers”的問題, 他利用一小塊 germanium 並在接上示波器, 按了一個開關, 結果示波 器上面顯示了連續的 sin 的波, 這證明了他的積體電路 是確實在運作的,也同時表示他解決了這個問題。 的第一項專利是“ Solid Circuit made of Germanium”。順代一題眾所皆知的可攜帶式的電子計 算機還有 thermal printer 都是他 60 幾項的專利之一。. 1970 1980 年代中葉 Jack Texas A&M University 的電機工程系教書, 。不久之後他就離開了德 州儀器. 他在 2000 年的時候榮獲了科學界最高的榮耀 ---諾貝爾對於他所發明的積體電路。五年之後這位偉大 的科學家因為癌正而逝世於 2005 年。

Transcript of 化學與半導體rsliu/teaching/pdf96/material/...化學與半導體 蘇曼儂 蕭博仁 李依倫...

  • 化學與半導體

    蘇曼儂 蕭博仁 李依倫 鄭碩賢 楊顓維 楊伯安 邱志翔 馬振超 國立台灣大學化學系

    本篇報告著重於半導體的原理、產業與理論性的探討。第一部分將介紹半導體的發展歷史;第二部份的三個單元為半導體的製程,分別介紹薄膜沉積、離子佈植術和微影技術;第三部分探討半導體的產業;第四部分將跳脫傳統的無機半導體,介紹目前極具潛力的有機半導體,介紹其發展、原理與應用。 關鍵字:半導體製程、半導體產業、有機半導體

    (1) 前言 蘇曼儂、李依倫 半導體是高科技產業非常重要的領域,許多以經商

    業化的應用改變人類生活的形態,尤其台灣堪稱世界半

    導體的龍頭,引起我們研究這個主題的動機。 本報告將涵蓋半導體的歷史、製程、產業與新發

    展。要了解一門科學必先瞭解其發展,我們將首先探討

    半導體的歷史。接著探討半導體工業當今的製程。半導

    體工業的製造方法是在矽半導體上製造電子元件,而電

    子元件之完成則由精密複雜的積體電路 (Integrated Circuit,簡稱 IC)所組成;IC 之製作過程是應用晶片氧化層成長、微影技術、蝕刻、清洗、雜質擴散、離子植

    入及薄膜沉積等技術完成,接下來分別介紹薄膜沉積、

    離子佈植術和微影技術三項重要的半導體製程技術。介

    紹完製程之後將分析半導體的市場與產業。在傳統半導

    體技術日臻成熟後,嶄露頭角的事有機半導體的領域,

    我們將分別介紹有機半導體的理論,包含其發展,並以

    P3HT 作為例子,接著介紹有機高分子的應用,包含有機薄膜電晶體、OLED 與太陽能電池,最後將介紹引起業界廣泛性去的可彎曲顯示器──透明電晶體。

    (2) 半導體的歷史 蕭博仁

    2.1 The life before semiconductor 在沒有半導體的存在之前,我們的生活會是如何的

    呢? 這些非常微小的積體電路晶片雖然在我們日常生活中不易被我們發現,不過他們很明確的隱藏在我們的生活周遭: 幾乎所有我們使用的電子相關產品,電腦相關元件裡都有這些半導體的存在。所以如果我們生活中

    缺少了這些小東西,可以說是非常的不方便,經濟發展

    也一定受到影響。 在 1950 年代貝爾實驗室研究發展出最原始的半導體之前當時的電子設備如同:收音機或是

    一些影像相關的電子儀器都是使用一種叫做真空管的

    零件在控制系統中的電子.這些使用真空管的電子儀器成為了日後在地二次世界大戰中扮演了極重要的角色

    的雷達、微波以及導航系統的基石,也完全改變了歷史

    的發展。.真空管也被使用在早期的電腦之中,而且就算到了最近半導體高度發展的社會之中,真空管還使有

    備使用在電視還 high power radio frequency transmitters之中。

    2.2 Innovation begins 在第二次世界大戰剛結束不久的 1947 年三位貝爾

    實驗室的科學家的研究使得世界上第一個 bipolar transistors 問世, 帶領了人類邁向電子儀器還有產品的新紀元。 這三位科學家分別是: Jack S.Kilby, William Shockley and Robert Noyce.

    Jack S.Kilby 生於 1923 年於美國 Kansas 洲,他的父親是一位 amateur radio operator 也因為他父親的工作性質使得年輕的 jack 對於電子相關的領域產生了濃厚的興趣. 之後隨著他的興趣發展,他就讀於 University of Illinois 並且在 1947 年畢業,之後在 1958 年進入了德州儀器工作。當他在德州儀器就義的期間他解決了一個

    叫做 “tyranny of numbers”的問題, 他利用一小塊germanium 並在接上示波器, 按了一個開關,結果示波器上面顯示了連續的 sin 的波, 這證明了他的積體電路是確實在運作的,也同時表示他解決了這個問題。 他的 第 一 項 專 利 是 “ Solid Circuit made of Germanium”。順代一題眾所皆知的可攜帶式的電子計算機還有 thermal printer 都是他 60 幾項的專利之一。. 在 1970 到 1980 年代中葉 Jack 在 Texas A&M University 的電機工程系教書,。不久之後他就離開了德州儀器. 他在 2000 年的時候榮獲了科學界最高的榮耀---諾貝爾對於他所發明的積體電路。五年之後這位偉大的科學家因為癌正而逝世於 2005 年。

  • William Shockley 生於 1910 年的倫敦,他雖然在英國出生可是他的父母都是美國人,之後他大部分的童

    年都在美國California 渡過; 他在 1936年在麻省理工學院獲得了他的博士學位。 在他獲得了博士學位之後他就近入了貝爾實驗室工作,可是到了第二次世界大戰期

    間他必續介入 Radio 相關的研究而離開了貝爾實驗室到了 Columbia University's Anti-Submarine Warfare Operations Group, 他到了 Columbia University 的主要目的是為了改進一些針對潛水挺的相關戰略的技術,例

    如: improved convoying techniques and optimizing depth charge patterns.當第二次世界大戰過後 Shockley 回到了貝爾實驗室帶領了一個新組成的團隊 : solid state physics group,這個團隊的主要目標是要尋找可以替代易碎的真空管訊號加強器的固態替代品。經過了長久的

    努力與無數次的實驗、嘗試與失敗, Shockley 最後的建議是要放一小滴的 gu 在 P-N junction 上. 之後在1947 年的十二月以之前的建議為基礎創造出了同樣可以和真空管一樣達到訊號放大的效果的 point-contact transistor。Shockley 發表此一發明之後的一個月,貝爾實驗室的專利組開始為這項創新的發現申請專利。

    最後 Shockley 得到了自己經營獨立的公司的機會,他說創立的公司為: Shockley Semiconductor laboratory ; 雖然最後因為他的經營管理方式不恰當而宣告失敗,但是他對於半導體產業的貢獻是無法忽略

    的。在 1959 年的時候 Shockley 與兩位他之前的同事Bardeen and Brattain 共同獲得了諾貝爾物理獎 . Shockley 的晚年只要是在 Stanford university 教書,之後在 1989 年死於 prostate cancer。

    Robert Noyce ---同時也被稱作“ the Mayor of Silicon Valley “他出生於 1927 年的 Burlington 之後在麻省理工學院獲得了物理的博士學位. 一開始他加入了 Shockley 的公司 Shockley Semiconductor laboratory 的研究團隊可是最後與稱作“ traitorous eight ” (including: Julius Blank, Victor Grinich, Jean Hoerni, Eugene Kleiner, Jay Last, Gordon Moore, Robert Noyce, Sheldon Roberts) 的八位科學家離一起離開了 Shockley Semiconductor laboratory。這八位科學家想要離開 Shockley 因為他們於法認同他的經營管理模式,最主要的是無法同意他對於研究該如何發展的態度,Shockley 會單純以他心中的期待去引導研究進行的方向而不是

    讓客觀時實驗結果與實驗事實來推動研究的方向。一開

    始這八位科學家本來想要找人來取代 Shockley 的位置,可是並沒有如他們所期望的成功; 所以他們最後決定與 Fairchild Camera and Instrument Corporation 簽訂研究合約也就創立了 Fairchild 的子公司 Fairchild Semiconductor. Fairchild semiconductor 日後成為了半導體產業中最重要的公司之一,對於半導體產業也造成的

    極大的衝擊; 另外一間影響重大的半導體公司為德州儀器. Noyce 在 Fairchild 的期間,他們發明了積體電路IC(由許多電晶體刻蝕在同一片矽晶圓上)。在 1968 年Nayce 離開了 Fairchild semiconductor 並且和同事Gordon E. Moore 共同組成了日後對於電腦產業影響最大的 Intel, 當 Noyce 在 Intel 時,他看出了當時 Ted Hoff's 對於微處理器的發明與發現所具有的潛力。. Noyce 親眼看見 Shockley 的失敗,也學到了要如何使一個企業順利的運作與發展。他會給予他年輕的傑出職員

    充分的發展空間與氣氛,給予他們自由發展的機會。

    Noyce 的經營管理方式對於當時的矽谷工作方式造成很大的影響。

    為了紀念 Noyce 對於版到體的貢獻,Intel 總部的大樓以他的榮耀命名:The Robert Noyce Building.。Noyce 因為他對於矽積體電路的貢獻在 1978 年獲得了“the IEEE Medal of Honor in " 之後他在 1990 病逝。

    半導體產業的革新開始於尋找真空管的替代品。

    Shockley 最原始的設計是將圓柱狀的物體表面鍍一層矽並且架設在一塊金屬片旁,可惜這項設計並沒有實際

  • 上的公功用。之後由他的兩位同事 Bardeen and Brattain改進;他們發現電子會在晶體表面形成一個障壁,這個障壁很有可能就是 Shockley 的模型無法運作的主要原因。

    他們使用一條一條的金薄片纏在一塊三角形的塑

    膠片 再死一三角形的塑膠片與germanium接觸,這個實驗相當成功,這也是最原始的 point-contact transistor.之後 Shockley 利用了他們的實驗結果為雛型,努力的研發了兩年左右,創造出了比較實用、比較好製造的

    junction transistor 。雖然 Shockley 自行研發的舉動造成了他們團隊的解散,但也同時帶領了半導體產業進入一

    個新的世代。相對於今日高度發展的半導體產業,1950年代所製造的半導體可以達到的效能相當有限。.造成這項限制的主要原因是早期的 germanium transistor 所能承受的電流相當低。 很諷刺的,那個時代推動半導體產業發展的卻是第二次世界大戰,美國政府對於半導

    體產業相當感興趣, 主要是希望可以尋找到可以幫助戰爭的科技,之後國家政府全力支持辦導體的發展; 有文獻紀錄的最早為了軍事大量生產半導體的計畫是

    1956 年的“Polaris missile program”由美國海軍贊助。這項計畫的主要目的是研發可以安裝在飛彈朱的自

    動導航系統,自動導航電腦是在 MIT Instrumentation Laboratory進行研發當時用量最高的半導體是由德州儀器所提供的 R212 型號半導體

    之後在 1950 年代中葉矽的單晶體被製造出來,使得 Ge 的使用慢慢地被矽取代。Ge 的氧化物會容於水,使得要保護 Ge 半導體的表面更為困難,同時也有可能造成系統漏電。.另外一方面矽的氧化物 S 相對來說穩定了許多,它不溶於水而且也是絕緣體。在 1959 年德州儀器開始商業化的生產。矽電晶體的製造方式為:

    將熔融狀態(含有雜質)的矽長成矽晶體再切割成為長

    方形的。 隨著積體電路的發明, planar technology 不久之後

    也因為瑞士的物理學家 Jean Hoerni 發展出由矽組成的 n 和 p junction 的結構.在 junction 之間有一層薄薄的 S 當作絕緣體。在 S 層上面有可以連接 junction 的洞。接下來使金屬揮發覆蓋到矽 junction 上,可以調整不同的規律並且利用 S 上的洞和相連接形成複雜的電路。planer technology 是今日許多複雜電路的基礎。

    1960 年代開始有矽晶片(wafers)的出現。1970 Intel開始將微處理器的觀念慢慢的實現. Intel 發展出一種稱為 “silicon gate process” 使得他們可以生產更為複雜的電路。

    1980 到 1990 年代個人電腦的觀念開始風行。 主要的原因是因為 Intel 所研發的 Pentium 處理器. 到了1990 年代中葉發光二極體成功的研發出來。.直到最近2000 年代,我們生活在以矽晶體為基礎的世界,同時看著矽晶體科技持續的快速發展。

    (3) 半導體製程之薄膜沉積 李依倫

    在半導體元件工業中,為了對所使用的材料賦與某

    種特性,在材料表面上常以各種方法形成被膜而加以使

    用,假如此被膜經由原子層的過程所形成,一般稱為薄

    膜沈積(蒸鍍處理)。採用蒸鍍處理時,以原子或分子的

    層次控制蒸鍍粒子使其形成被膜,因此可以得到以熱平

    衡狀態無法得到的具有特殊構造及功能的被膜。薄膜沈

    積依據沈積過程中,是否含有化學反應的機制,可以區

    分為物理氣相沈積(Physical Vapor Deposition,簡

    稱 PVD)通常稱為物理蒸鍍及化學氣相沈積(Chemical

    Vapor Deposition,簡稱 CVD)通常稱為化學蒸鍍。以

    下將分別介紹。

    3.1 薄膜沈積機制

    薄膜的成長是一連串複雜的過程所構成的。圖(一)

    為薄膜成長機制的說明圖。圖中首先到達基板的原子必

    須將縱向動量發散,原子才能『吸附』(adsorption)

    在基板上。這些原子會在基板表面發生形成薄膜所須要

    的化學反應。所形成的薄膜構成原子會在基板表面作擴

    散運動,這個現象稱為吸附原子的『表面遷徙』(surface

    migration)。當原子彼此相互碰撞時會結合而形成原子

    團,此過程稱為『成核』(nucleation)。

    原子團必須達到一定的大小之後,才能持續不斷穩

    定成長。因此小原子團會傾向彼此聚合以形成一較大的

    原子團,以調降整體能量。原子團的不斷成長會形成『核

    島』(island)。核島之間的縫隙須要填補原子才能使核

    島彼此接合而形成整個連續的薄膜。而無法與基板鍵結

    的原子則會由基板表面脫離而成為自由原子,這個步驟

    稱為原子的『吸解』(desorption)。PVD與 CVD的差別

    在於:PVD的吸附與吸解是物理性的吸附與吸解作用,

    而 CVD的吸附與吸解則是化學性的吸附與吸解反應。

  • 圖(一)薄膜沈積機制

    3.2 物理氣相沉積 PVD 顧名思義是以物理機制來進行薄膜沈積而不

    涉及化學反應的製程技術,所謂物理機制是物質的相變

    化現象,如蒸鍍(Evaporation),蒸鍍源(鍍層的材料來

    源)由固態轉化為氣態,濺鍍(Sputtering),蒸鍍源

    則由固態轉化為電漿(經通電離子化的氣體)態。 PVD

    法是以真空、濺射、離子化、或離子束等法使純金屬揮

    發,與碳化氫、氮氣等氣體作用,在加熱至 400~600℃

    (1~3小時)的工件表面上,蒸鍍碳化物、氮化物、氧

    化物、硼化物等 1~10μm厚之微細粒狀晶薄膜,因其蒸

    鍍溫度較低,結合性會稍差(無擴散結合作用),且背

    對金屬蒸發源之工件會產生蒸鍍不良現象。其優點為蒸

    鍍溫度較低,適用於經淬火-高溫回火之工、模具。若

    以回火溫度以下之低溫蒸鍍,其變形量極微,可維持高

    精密度,蒸鍍後不須再加工。

    常見的 PVD法分為三種:

    (一) 蒸鍍:在高真空狀況下,將所要蒸鍍的材料

    利用熱電阻、輻射、電子束或電弧等方式加熱達到熔化

    溫度,使原子蒸發,到達並附著在基板表面上。蒸鍍時,

    基材屬於基態。

    (二) 濺鍍:電漿內所產生的部份離子,脫離電漿

    並往陰極板(靶材)移動,經加速的離子轟撞,在陰電極

    板的表面除產生二次電子外,還擊出電極板原子。 被

    擊出的電極板原子將進入電漿內,且最後傳遞到另一個

    放置有晶片的電極板的表面。這些被吸附在晶片表面的

    吸附原子,將進行薄膜的沈積。

    圖(二) 濺鍍系統

    (三) 離子鍍:材料蒸發後,在通往基材的途中經

    激發(如離子鎗轟擊或輝光放電),使蒸發的原子產生

    離子化,此經激發的離子化蒸汽原子擁有高能量,能在

    基材表面產生高附著性,同時也易與通入反應腔的氣體

    發生反應以鍍化合物鍍層

    表(一)為各種 PVD法的比較。

    PVD蒸鍍法 蒸鍍

    (Evaporation

    Depostion)

    濺鍍

    (Sputtering

    Deposition)

    離子鍍

    (Ion

    Plating)

    粒子生成機

    熱能 動能 熱能

    膜生成速率 可提高 (

  • 低壓、電漿等氣氛狀況下把工作加熱至 1000℃附近 2~8

    小時,將所需之碳化物、氮化物、氧化物、硼化物等柱

    狀晶薄膜沈積在工件表面,膜厚約 1~30μm(5~10μ

    m),結合性良好(蒸鍍溫度高,有擴散結合現象),

    較複雜之形狀及小孔隙都能蒸鍍;唯若用於工、模具

    鋼,因其蒸鍍溫度高於鋼料之回火溫度,故蒸鍍後需重

    新施予淬火-回火,不適用於具尺寸精密要求之工、模

    具。圖(三)為典型 CVD裝置圖。

    1.密閉容器 2.電熱爐 3.氣化器 4.固體氣化器

    5.回收槽 6.旋轉泵 7.液體排出泵

    圖(三) 典型之 CVD裝置圖

    化學氣相沈積程所包含的主要機制為:(a)首先在

    沈積室中導入反應氣體,以及稀釋用的惰性氣體所構成

    的混合氣體,『主氣流』(mainstream)、(b)主氣流中

    的反應氣體原子或分子往內擴散移動通過停滯的『邊界

    層』(boundary layer)而到達基板表面、(c)反應氣體

    原子被『吸附』(adsorbed)在基板上、(d)吸附原子

    (adatoms)在基板表面遷徙,並且產生薄膜成長所須要

    的表面化學反應、(e)表面化學反應所產生的氣庇生成

    物被『吸解』(desorbed),並且往外擴散通過邊界層而

    進入主氣流中,並由沈積室中被排除。

    圖(四) 化學氣相沈積的五個主要機制

    影響薄膜成長的因素有:

    (一) 反應爐中的溫度與氣流:近基板處劇烈的溫度梯度

    (80~120oC/mm)將強烈影響氣體的擴散,並造成厚度不

    一致或摻雜不均勻。而蒸氣壓梯度則會與溫度梯度造成

    類似的影響。

    圖(五) 反應爐內的溫度梯度與蒸氣壓梯度

    (二) 基板的預先處理:表面不平整、外來粒子或是污染物

    都有可能導致薄膜缺陷。一般進行 CVD之前,必須先做

    化學清理:去油(degrease)→氧化(oxidation)→刻蝕

    (etching)→清洗(rinse)

    (三) 基板的方向:基板表面位置的原子、分子密度、幾何

    排列和鍵結的數量與性質,都會影響薄膜成長。

    (四) 蒸鍍溫度:比起不可逆反應,可逆反應通常需要較高

    的蒸鍍溫度。若達到高溫限時,可逆反應將會發生氣相

    蝕刻,不可逆反應則會發生過早的沉積。若溫度過低而

    達到低溫限,則會有結晶不良且容易被汙染的狀況。

    在積體電路製程中,經常使用的 CVD技術有:

    (一)『大氣壓化學氣相沈積』(atmospheric pressure

    CVD)系統:在近於大氣壓的狀況下進行化學氣相沈積的

    系統

    (二) 『低壓化學氣相沈積』(low pressure CVD)系統:

  • LPCVD 是在 27~270Pa 的壓力下進行化學氣相沈積的,

    因其生成環境壓力低而有此名,由於其生成膜的質量和

    均勻性好,且成本低,易於實現自動化,因此在半導體

    積體電路製程工業多採此法。低壓化學氣相沈積是在爐

    管中完成的,將氣體反應物通入爐管中,反應後形成所

    需的物質在晶片上。

    (三)『電漿增加化學氣相沈積』(plasma enhanced CVD)

    系統:PECVD法中,反應氣體從輝光放電等離子場中獲

    得能量,激發並增強化學反應,從而實現化學氣相沈積

    的技術。其中所用的發光放電等離子體屬於非平衡等離

    子體。在此類的等離子體中,自由電子的絕對溫度通常

    比平均氣體溫度高 1到 2個數量級,這些高能電子撞擊

    反應物氣體分子,使之激發並電離,產生化學性質很活

    潑的自由基團,並使矽的表面產生更為活潑的表面結

    構,從而加快了低溫下的化學反應。在表(二)中將上

    述的三種 CVD 製程間的相對優缺點加以列表比較,並且

    就 CVD 製程在積體電路製程中的各種可能的應用加以

    歸納。

    製程 優點 缺點 應用

    APCVD 反應器結構簡單

    沈積速率快

    低溫製程

    步階覆蓋能力

    粒子污染

    低溫氧化物

    LPCVD 高純度

    步階覆蓋極佳

    可沈積大面積晶

    高溫製程

    低沈積速率

    高溫氧化物

    多晶矽

    鎢,矽化鎢

    PECVD 低溫製程

    高沈積速率

    步階覆蓋性良好

    化學污染

    粒子污染

    低溫絕緣體

    鈍化層

    表(二) 各種 CVD製程的優缺點比較及其應用

    3.4 CVD 與 PVD 之比較

    (一)選材:

    化學蒸鍍-裝飾品、超硬合金、陶瓷

    物理蒸鍍-高溫回火之工、模具鋼

    (二)蒸鍍溫度、時間及膜厚比較:

    化學蒸鍍-1000℃附近,2~8小時,1~30μm(通常 5~10

    μm)物理蒸鍍-400~600℃,1~3小時,1~10μm

    (三) 物性比較:化學蒸鍍皮膜之結合性良好,較複雜

    之形狀及小孔隙都能蒸鍍;唯若用於工、模具鋼,因其

    蒸鍍溫度高於鋼料之回火溫度,故蒸鍍後需重施予淬火

    -回火,不適用於具精密尺寸要求之工、模具。不需強

    度要求之裝飾品、超硬合金、陶瓷等則無上述顧慮,故

    能適用。物理蒸鍍皮膜之結合性較差,且背對金屬蒸發

    源之處理元件會產生蒸鍍不良現象;但其蒸鍍溫度可低

    於工、模具鋼的高溫回火溫度,且其蒸鍍後之變形甚

    微,故適用於經高溫回火之精密工具、模具。

    (4) 半導體製程概要-離子佈植 鄭碩賢 4.1 前言 在半導體元件工業中,常在半導體晶體中加入雜質

    以控制帶電載子數目,來增加導電性。這種加入雜質的

    方法稱為摻入雜質(Doping) 。

    一般來說,摻入雜質的方法有兩種:

    1. 化學蒸鍍法

    2. 擴散法

    3. 離子佈植法

    其中1、3兩項在微電子元件工業中已普遍使用,這

    兩種方法雖簡易實用,但卻犧牲了完整晶型的要求。如

    化學蒸鍍法在較低溫度下進行,則蒸鍍層常為非晶質或

    是多晶質。離子佈植則造成許多表面有許多點缺陷,甚

    至使表面層變成非晶質;因此一般均須經一道恢復完整

    晶格的退火處理,使表面層能回復晶型的樣子。

    4.2 原理 離子佈植是將高能量帶電粒子射入矽基晶中。離

    子進入矽靶材後,會和矽原子發生碰撞而逐漸損失能

    量;直到能量耗損殆盡,即停止在該深度。在與矽原

    子碰撞過程中,離子會傳遞部份能量給矽原子,若此

    能量大於矽和矽間的鍵結能量,則可使其產生位移而

    產生新的入射粒子;這新獲得動能的粒子,也會與其

    它矽原子產生碰撞。這個連鎖碰撞過程會隨著不斷進

    入的入射離子與碰撞所產生的移動粒子,因不斷重新

    發生而繼續進行,進而達到佈植的效果。

  • 4.3 能量耗損機制 離子佈植時離子能量的損耗,主要由兩個機制產

    生:一個是離子被本身電子屏蔽後與靶材原子核間的

    彈性庫倫作用,又稱為原子核阻滯(Nuclear Stopping);

    另一個是離子與靶材中之自由電子或被靶材原子束縛

    之電子間的非彈性交互作用,又稱為電子阻滯

    (Electronic Stopping)。整個離子能量的損失是由這兩個

    分量所組成,可以表示如下:

    Stotal = Sn + Se Sn: 原子核阻滯, Se: 電子阻滯

    在雙球體碰撞散射(Two Body Scattering)過程中,

    能量的傳遞是和雙粒子間的作用位能有關,在

    Born-Mayer作用位能下是下列之型式:

    Subscript為1及2,分別代表離子與靶材原子,Z為原子

    序,M為原子量。當離子速度大於K層電子的速度,根

    據Born理論是下列之型式:

    在高原子序、中低入射能量情況下,原子核阻滯

    為主要的能量損耗與阻擋機制。它是二粒子近距離的

    進行庫倫力作用,可用雙硬球體進行彈性碰撞來描

    述。而在低原子序、高入射能量的情況下,入射離子

    與矽靶材中的電子雲產生遠距作用,將能量損耗在將

    電子激發至高能上,電子阻滯即為此情況的主要阻擋

    機制。離子能量大速度快,電子阻滯也大,能量主要

    損耗在與靶材電子的作用上;當能量持續損耗,離子

    速度也減慢,使得離子受電子阻擋作用降低而能接近

    靶材的原子核,如此原子核阻擋的能力成為主要耗能

    機制。在離子佈植過程中,只有在原子核阻滯為主要

    耗能機制時,才會造成靶材矽原子離開其晶格位置,

    而形成點缺陷或其聚合體,甚至失去晶體結構而產生

    非晶質結構。而當離子能量在電子阻擋為主要耗能機

    制時,並不會造成靶材矽原子位移,致使晶體結構有

    所缺陷。以下附圖以便了解兩種阻滯的差別:

    圖(二) 阻滯功率對離子速度關係圖

    圖(三) 不同形式碰撞下,離子所行進之途徑

    4.4 佈植離子在靶材中的縱深分佈 入射離子在植入靶材的過程中,經由多次碰撞將

    其所帶之能量,陸續傳遞至矽靶材。所以總合整個離

    子佈植時,能量傳遞是隨深度而有所變化,這可由電

    腦的模擬而獲得。若是比對最大能量吸收位置,可知

    並不和植入離子分佈的離子濃度最大值的位置重疊,

    而是較接近表面。也就是說當佈植劑量剛足夠讓矽靶

    材產生非晶質矽時,其起始位置即在此能量吸收最大

    值的位置,而不是離子濃度最大值的位置。而非晶質

    矽,即由此位置開始隨劑量增加而向上下兩個方向擴

    展,最後形成連續的非晶質矽層。利用此能量傳遞分

    佈圖,然後以橫截面電子顯微鏡所量測實際佈植矽中

    的非晶矽層厚度來校正時,即可獲得形成非晶矽的臨

    界起始能量傳遞值。之後可利用電腦模擬各項離子佈

    植能量與劑量條件所獲得的能量傳遞分佈,搭配已獲

    得的非晶矽的臨界起始能量傳遞值來預測非晶矽層的

    厚度。

    在非晶靶材的情況下,模擬佈植後離子在靶材的

    分佈,與實驗的結果非常吻合。但是相對應於單晶靶

    材的情形下,實驗的結果總是會顯示在射程末端是一

    個指數遞減的離子分佈,這是無法由先前的分佈函數

  • 法來獲得準確預測的。這個末端離子分佈,是肇因於

    離子在晶體靶材內,沿著晶軸或是原子面間的空隙行

    進,降低其與靶材原子的核碰撞與電子雲作用的機

    率,因而可以植入更深些,這也就是所謂的通道效應

    (Channeling)。當離子入射方向與晶軸相夾的角度小

    於某臨界角度時,就會發生此一效應。臨界角度Ψ與

    入射離子及靶材的關係可由下列的式子來顯示︰

    其中Z為原子序,E為入射能量,單位為keV,d為入射

    方向上靶材原子間的距離,單位為埃。

    由上式可知,通道效應會隨著離子的能量降低與

    原子序的增加而增加。但是當離子佈植所產生的損傷

    程度增加時,則可降低離子穿隧的程度。

    圖(四) 小角度碰撞下,離子移動途徑圖

    4.5 佈植製程 4.5.1 通道效應

    若在佈植的過程中,離子進入矽晶的入射角度正

    確,離子可以不與晶格中矽原子發生碰撞並行進一段很

    長的距離,進而產生非預期的摻雜效果。

    圖(五) 碰撞位相圖

    而為了避免通道效應的產生,通常會以下列數種方

    法來降低此陷生之發生:

    1. 使欲佈植離子的晶圓傾斜,而傾斜角通常為 7°。 2. 屏蔽氧化層 3. 矽或鍺的非晶態佈植製程

    4.5.2 陰影效應

    陰影效應的起由,是由於離子被元件本身的結構阻

    擋造成摻雜不均勻。有部分的區域因為避免發生通道效

    應,而先稍微傾斜晶圓;這樣子的過程,反而造成有區

    域未被摻雜,進而發生陰影效應。

    而為了避免此效應的產生,藉由旋轉晶圓或在佈植

    後退火期間進行小量摻雜物擴散,以解決陰影效應的產

    生。 4.5.3 退火

    摻雜物原子必須在單晶體晶格位置且和四個矽原

    子產生鍵結,才能夠有效的提供電子(donor, N-type)或是電洞(acceptor,P-type)。但往往在離子佈植的過程中,原本擁有晶型的矽晶在被離子摻雜撞擊之後,會使晶體

    結構造成損傷,呈現非晶型態。為了使晶圓能夠發揮應

    有的正常功能,通常在佈植之後,都會進行退火

    (Annealing)使其回復晶態。 退火的概念是:利用從高溫獲得的熱能,幫助非晶

    態原子復原成單晶體結構。在高溫下,因為退火的速度

    遠高於擴散,所以不用擔心會有嚴重的擴散現象產生。

    不過為了避免擴散的發生,一般採用快速加熱步驟 (RTP) 。RTP 目前廣泛使用在佈植後退火 ,透過其非常快速 (小於一分鐘)的特性,可產生較好的晶圓對晶圓的均勻性,以及較佳的熱積存控制和摻雜物擴散的最

    小化。以下附圖說明快速加熱步驟與一般高溫爐退火方

    法擴散效果的不同:

    圖(六) 比較RTP與一般高溫退火擴散效果的不同

    4.6 離子佈植的優缺點

    離子佈植在半導體製程中為最常見之參雜方法,其

    優點如下: (一) 離子佈植是潔淨的製程:

    在10-7torr的高真空下,環境潔淨度高,

    且植入之離子是經質譜儀過濾的高純度特

    定離子。

    (二) 離子佈植是精準的製程:

    系統電腦經由光纖網路,控制所有的次

  • 系統,得以精確的控制佈植劑量與能量。

    (三) 離子佈植是低溫的製程:

    晶片在佈植時,皆維持在接近室溫的環

    境下。

    (四) 離子佈植是彈性大的製程:

    可運用同一離子與不同能量,形成各種

    縱深分佈的摻雜離子,如平台式(Plateau)

    的或逆增式(Retrograde)的濃度分佈。

    而離子佈植的主要缺點,則是會在矽晶片內造成某

    種程度的結構損傷,目前皆以退火處理來使非晶質的材

    料重新長成晶體。

    (5) 半導體微影製程 楊顓維 5.1 光微影術

    隨著科技的進步,微電子工業的製造技術一日千

    里, IC 製程即使一天 24 小時不間斷工作,也需要 6

    到 8 週才能將晶圓裸片變成成品晶圓,其中微影製程就

    佔了整個晶圓製程時間的 40~50%,由此可知微影製

    程扮演著多重要的角色。

    所謂的光微影術,簡單的說就是希望將設計好的線

    路圖形,完整且精確地複製到晶圓上。

    如圖一所示,半導體廠首先需將設計好的圖形製作

    成光罩(photo mask),應用光學成像的原理,將圖形

    投影至晶圓上。由光源發出的光,只有經過光罩透明區

    域的部分可以繼續通過透鏡,而呈像在晶圓表面。曝光

    後的晶圓需再經顯影 ( development ) 步驟,以化學方

    式處理晶圓上曝光與未曝光的光阻劑,即可將光罩上的

    圖形完整地轉移到晶片上,然後接續其他的製程。

    圖一:光源通過光罩、透鏡,最後將圖形成像於晶圓上。

    因此在光微影術中,光罩、光阻劑、光阻塗佈顯影

    設備、對準曝光系統等,皆可以視需要選擇使用不同的

    光阻劑,以移除或保留選定的圖形,類似雕刻中的陰刻

    或陽刻技巧。如圖二所示,右邊使用的是正光阻,經光

    罩阻擋而未曝光的部份可以保護底下的晶圓,曝光的部

    份最後則經蝕刻移除;圖左使用的是負光阻,移除的是

    曝光的部份。

    圖二:選擇使用不同光阻劑的製程。

    右下圖使用的是正光阻,左下圖使用的是負光阻

    一般來說, IC 的密度越高,操作速度越快,平均

    成本也越低,因此廠商無不絞盡腦汁要將半導體的線寬

    縮小,以便在晶圓上塞入更多電晶體。然而,根據雷利

    準則(Rayleigh criterion) ,光學系統所能夠分辨出的最

    小寬度(相當於解析度),與光的波長(λ)成正比,而與

    數值孔徑(NA)成反比,這就是所謂的「繞射極限」

    (diffraction limit)。根據這個關係式,若使用較短波長的

    光源,或是數值孔徑(NA)較大的透鏡,理論上可以提

    高解析能力,換言之可以獲得較小的線寬。如圖三所

    示,隨著光源波段的不同,製程技術已經由 G-line、I-line

    進展到 KrF、ArF 甚至是更小的 CaF2。

    圖三:不同波長的光源,適用於不同的線寬尺寸

    光源的能量越高,波長越短,可製作的線寬越小。

    然而,還有其他因素也必須納入考量,我們發現

    不論使用波長較短的光源,或數值孔徑較大的透鏡,

    都會使得聚焦深度(Depth of Focus, DOF)變小。不幸的

  • 是,通常聚焦深度越大,越適合量產,所以如何妥善

    搭配光源與透鏡,縮小線寬又能維持產量,是半導體

    業者最大的挑戰。

    一般來說,業者會先嘗試調整 NA 來改善解析度,

    待聚焦深度無法符合量產條件時,才會轉換波長為更

    短的光源。這是因為每換一種曝光源,相關設備如曝

    光機台、光阻劑等皆需做相應的調整,會牽涉到大量

    的人力、物力及時間,困難度很高。有鑑於此,在進

    入更小線寬的微影技術領域前,如何善用目前的微影

    技術(含設備及材料),又能進入奈米尺度,成為一個

    相當重要的議題。

    5.2 解析度的改善 此處我們簡單介紹幾種可以改善目前解析度的方

    法,包括離軸照明(off-axis illumination)、相偏移

    光罩(phase shift mask)以及鄰近效應修正(optical

    proximity correction)。

    5.2.1 離軸照明 經由光罩而散射出來的光束,繞射角度相當大,

    透鏡的數值孔徑必須夠大,才能充分收集這些帶有光罩

    圖形資料的光束,然而數值孔徑增加會使聚焦深度減

    少,反而不利於量產。如果我們能適當地安排使入射光

    與光罩平面夾一角度,第零階繞射光不再成垂直入射,

    聚焦深度便可增加,相當於在相同的數值孔徑下提高解

    析度。

    圖四:左圖為使用傳統光罩的三光束成像系統;

    右圖為利用環形光罩產生的離軸照。

    5.2.2 相偏移光罩 此方法主要由 IBM的 M.D.Levenson等人在 1982 年提出,特色是只需稍微修改一般的光罩,就能使曝光

    圖形的線寬縮小。其概念很簡單,就是在傳統光罩的圖

    形上,選擇性地在透光區加上透明但能使光束相位反轉

    180∘的反向層,用此光罩來進行微影製程,可使曝光系

    統之解析能力大增。

    根據繞射原理,行經不同相鄰透光區之光線,其影

    像會因繞射效應而互相干涉,當兩個影像重疊超過一定

    程度時,觀察者就無法解析,如圖五所示。

    圖五:(a)為單一光點的繞射圖形,(b)為兩光點彼此互相干

    射的結果,(c)當兩點的距離太近時,則無法分辨光點位置。

    由於光是電磁波的一種,我們觀察到的光強度變

    化,其實是電場的平方。如圖六左側所示,當圖形線

    寬過小時,若使用傳統光罩,則強度變化將弱至無法

    解析。若能利用某種透明且可使光的相位改變 180 度

    的特殊物質,將它選擇性置於透光區中,則如圖六右

    側所示,疊加後的電場在正負號變化處為零,這些零

    電場點亦為零強度點,如此強度的相對變化加大,解

    析度因而提高。

    圖六:左側為使用傳統光罩;當線寬太小時,電場強度將無

  • 法分辨。右側為使用相偏移光罩,電場強度變得清析可辨。

    5.2.2 鄰近效應修正 當線寬尺寸逼近光波長時,光線穿過光罩後會產

    生繞射,這些繞射光疊加的結果會與光罩上的圖形相

    去甚遠,曝光後的圖形因而嚴重失真。

    光學鄰近修正術便是將繞射的效應考慮進去,為了

    補償曝光後圖形的失真,藉由修改光罩上的圖形,使

    產生的繞射光在疊加後能得到符合實際要求的圖形與

    線寬。如圖七所示,欲在晶圓上製造長方形圖案,光

    罩上對應的圖形不再是相同的長方形,而必需在稜角

    處做一些變化(右二圖),以消除繞射造成稜角鈍化的

    現象(左二圖)。

    圖七:左圖為使用傳統光罩,曝光後的圖形因繞射而失真;

    右圖為利用光學效應鄰近修正術所製造的光罩,可補償繞射

    造成的失真。

    5.3 電子束微影術

    在上文中,我們介紹了目前仍支配半導體產業的

    光微影術,並對它的發展趨勢及限制做了一番探討。

    現行的光微影術雖然能藉由採用波長較短的光源及

    其他種種修正技巧,將解析度往下推進,但是進步的

    空間終究有限,因此科學家研發出許多不同的製程技

    術,希望可以繼續縮小線寬,以下我們介紹的是目前

    學術研發上廣泛使用的製程技術—電子束微影術

    (E-beam Lithography,EBL),其不但在製程上較為

    簡便,且可以輕易的將圖樣縮小至奈米尺度。

    電子束微影與光學微影製程的步驟類似,目的都

    是將所需的圖形縮小複製到晶片上,差別在於光微影

    術是利用「光線」來刻劃圖形,電子束微影則是利用

    能量為數萬電子伏特(eV)的「電子束」作為曝光源。

    圖八:電子束微影之流程,可應用於剝離法(lift-off)、

    濕式蝕刻(wet etching)及乾式蝕刻(dry etching)

    電子束微影中亦有所謂的正、負光阻劑(此處我們

    將用來曝光或曝電子源的化學藥劑統稱為光阻劑,

    resist),光阻劑是一種易受電子束影響的化學材料,

    在定義圖形前要先均勻塗抹在晶元上。正光阻利用電

    子轟擊時會破壞其化學鍵的原理,將圖形「寫」在晶

    元上,再經過顯影處理,即可得到我們所需的圖形;

    若使用負光阻,則還需要一個反轉圖形的動作,才可

    得到所需的圖形。

    EBL由於採用掃描式電子顯微鏡(scanning

    electron microscope)運作的原理,不需任何光罩就

    可以用來定義圖形,也就是利用電子束直接將圖形

    「寫」在已經塗佈過光阻的晶元上。相較於光微影術透

    過光罩一次將圖形「印」在晶元上,EBL定義圖形的

    速度慢得多,因此目前多只限於學術研發上使用。

    一般的 EBL系統包括以下幾個部分:電子槍

    (Electron Gun,可用來提供電子源),電磁透鏡

    (Condenser Lens,用來控制電子束的形狀及聚焦程

    度),以及電腦介面控制軟體。光微影術由於光源波長

    接近半導體線寬,光的繞射行為成為製程上的一大阻

    礙;相形之下,電子的波長遠小於目前的線寬,因此

    沒有這方面的困擾。不過,還有其他因素會影響 EBL

    的解析度,例如電子在光阻內的散射,以及球面像差

    (spherical aberration)、像散像差(astigmatism)

    及色像差(chromatic aberration)等各種像差。

  • 圖九:電子束微影系統示意圖。電子槍產生的電子束經電磁

    場控制,照射在事先塗佈特殊光阻的晶圓上,以定義圖形。

    雖然電子束微影術有許多優點,但是它仍有一些問

    題需要克服,例如電子槍所發射的電子束會有擴散效

    應(beam broadening),或是鄰近效應(proximity

    effect),都會影響到線寬的大小;此外,在工業量產

    的考量下,電子束微影是否能提供解決方案也是一個

    問題。但無論如何,在邁入奈米時代之際,對於發展

    新穎電子元件的工業界或是從事基礎科學研究的學術

    界,電子束微影術確實提供了一項研發上的利器。

    5.4 微影製程未來發展走向

    微影技術的發展,一直以來都象徵著製程的進

    步、線寬能否再微縮化等內容,在半導體產業裡具有

    重大的指標意義。原本根據國際半導體技術藍圖

    (International Technology Roadmap for

    Semiconductors;ITRS)在 2001年所預估的微影技

    術需求,微影技術的曝光波長將從過去的 G-line(436

    奈米)、I-line(365奈米)、深紫外光(248奈米、193

    奈米),一直發展到現在的 157奈米光學微影技術。

    不過這幾年下來,情況並不是如此演進下去,因為以

    往微影設備每一世代(如 I-Line 的 365奈米,深紫

    外光雷射的 248奈米)幾乎都有約 15 年左右應用週

    期,然而到了更多的瓶頸及困難的 193奈米或這世代

    的 157奈米,根據 ITRS技術藍圖,其應用週期都不到

    10年,對於半導體業者而言,購置新機台的商業經濟

    效益就很難完全浮現,因此才會出現是否要用各種技

    術配合延長 193奈米技術應用週期,然後直接跳過 157

    奈米,往超短紫外光(Extreme Ultra Violet;EUV)

    技術研發的聲音。

    除了商業考量外,157奈米研發也有問題點,2002

    年時,全球的半導體製造業,寄望微影設備供應商開

    發出 157 奈米波長的機器來滿足 65奈米製程的需要,

    設備供應商及其他周邊廠商已經投入 20億多美元的

    研發費用,但 157奈米波長的技術仍有種種難以突破

    的瓶頸。因為要從 193奈米微影技術升級到下一代 157

    奈米微影技術,必須採用全新的雷射、光罩(鏤空板,

    可讓雷射在晶圓上投射出電路樣式)、可縮小影像與曝

    光位置的透鏡,還有光阻劑,可是 157奈米微影技術,

    微影技術設備商無法以氟化鈣琢磨出合適的透鏡,不

    是缺陷太多,就是像差太大,根本無法在晶圓上清楚

    成像。這似乎已告知業者投資超過 20 億美元的 153

    奈米微影技術將被各業者擱置在一旁,並從未來幾個

    世代的微影主流技術中淘汰出局。

    那麼誰會接替 153 奈米成為主流呢?其實很多跡

    象已經把答案秀在眼前,台積電技術發展處資深處長

    林本堅表示將以 193奈米曝光機(Scanner)配合濕浸

    式曝光技術(Liquid Immersion)取代 157奈米曝光

    機,2004年 11月已經在 Fab12裝設第一部 65奈米製

    程浸潤式微影機台,並成功產出 90奈米晶片。再加上

    英特爾(Intel)公開宣佈將棄守 157 奈米微影技術,

    打算繼續使用 193奈米掃瞄機,進行包括 90奈米、65

    奈米以及 45奈米等先進製程研發。因此毫不疑問地,

    193奈米濕浸式微影以成未來幾個世代主流技術。

    然而它與傳統(乾式)光學微影有何差異呢?

    可從波長、數值孔徑、解析度增強技術以及製程控制

    等影響解析度主要因素看出端倪,傳統(乾式)光學

    微影設備的數值孔徑技術推進很快便達到 0.9,而無

    法推進,然而濕浸式微影大幅改變此狀況,因其高折

    射指數(1.44)以及在 193nm製程環境中純水的透明

    度能使透鏡的數值孔徑超過 1。其次傳統光學微影設

    備的“brick wall”側壁的數值孔徑從 1.0提高至

    1.44,由於逼近物理極限因此成本與複雜度都會攀

    升,使數值孔徑較常維持在 1.2~1.3 之間,但運用濕

    浸式光學顯影可使 DOF 的改善幅度達到 1.9~2。

    但濕浸式光學顯影仍有幾項關鍵因素待克服,如

  • 水中微泡的控制,當機台上的晶圓以每秒 50公分的速

    度移動時,其間形成的微氣泡可能損及晶圓上的成

    像,因此如何運用已在事先去除氣體的純水可能是預

    防氣泡生成的關鍵之一。其次水與光阻交互作用,因

    為水將會對不同光阻劑造成不同程度傷害,因此必須

    要作一些考量,現階段微影製程可分為單層光阻及雙

    層光阻的製程,單層光阻就是延續傳統 i-line和 KrF

    顯影曝光模式,但目前由於光阻對電漿蝕刻的抵擋能

    力不同,所以各家光阻公司並未標準化,另一種是雙

    層光阻主要是日本Shin-Etsu和美國Olin在晶圓先塗

    上一層 Novolac類光阻再上此含矽光阻,通常此類光

    阻厚度比正常光阻還薄。

    未來微影技術將面臨愈來愈嚴苛的挑戰,沒有革

    命性的發展能輕易解決微影技術所面臨的難題,即便

    濕浸式光學顯影出現,因為必須要能夠降低波長、增

    加數值孔徑以及運用各種解析度增強技術才能突破因

    間距所衍生的發展限制。然而這些技術不是投資幾百

    萬美金就可以解決,這也難怪有人會說:半導體是一

    條不歸路,只能大手筆投資往前,不然就是關廠或是

    賣掉,然後說再見。

    (6) 半導體產業 楊伯安

    6.1 半導體產業分類 依原料、生產、加工至產品產出,半導體產業大致

    可區分為半導體材料、光罩、設計、製程、 封裝、測試及設備等七個技術領域。而在這七技術領域中, 又以設計、製程、 封裝、測試為要,總產值為 1.2 億, 台灣目前共有一百餘家半導體設計公司,產值約佔總半導

    體產業之 25%, 二十餘家製程公司,產值約佔總半導體產業之 54%,四十餘家封裝公司,產值約佔總半導體產業之 15%, 三十餘家測試公司,產值約佔總半導體產業之 6%。半導體產品包括積體電路 IC,Integrated Circuits,其佔整體半導體產品80%以上、分離式Discrete元件和光電 Optoelectronic 元件等三類,廣泛應用於資訊、通訊、消費性電子、工業儀器、運輸及國防太空等

    領域,對電子產品品質良寙影響很大,有著"電子產業原油"的稱號。

    6.2 全球半導體產業技術現況 6.2.1 產業現況

    全球 IC 產業生產規模達 1248 億美元,其中 99%集中於 147 家廠商:北美 98 家,日本 18 家、歐洲 16家、亞太 15 家。整體而言,全球 IC 產業前 40 大廠商之供給比例大於九成,其餘不到一成之市場由 100 家以

    上廠商爭食,競爭極為激烈。

    6.2.2 產業發展趨勢 從 1997 年初開始,市場的不穩定帶給全球 IC 業者

    的衝擊即超出原先之預料程度。1998 年成長率為11.3%,2002 年全球 IC 市場的規模為 1,340 億美元。

    6.2.3 未來 IC 市場發展趨勢 6.2.3.1

    日本市場占有率降低:日本占有全球市場占有率將

    調降,北美與歐洲的市場占有率維持不變,日本所減少

    的部份將由亞太地區成長的部分取代。 6.2.3.2

    記憶體再度成長,但占 IC 之比例將逐漸降低 6.2.3.3

    快閃記憶體(Flash Memory)為記憶體中成長最高的產品 6.2.3.4

    微元件(Microcomponent)及邏輯(Logic) IC 的成長穩定 6.2.3.5

    結合訊號處理和通訊功能等多媒體方面的處理器

    (Processor)會隨著高功能個人電腦的應用比例增加出現高成長。 6.2.3.6

    為了使人們的生活更方便、舒適並符合環保需求,

    電子產品不斷的朝向輕薄短小及多功能發展,半導體技

    術的研發突破便是促成上述發展實現的重要關鍵。

    6.2.4 技術發展趨勢 1. DRAM 仍持續以約 3 年的週期推出新一代技術 2. 廿一世紀初的成長產品有:高速 RISC 處理

    器、DSP、高容量記憶體、及整合以上元件的系統整合晶片

    3. 記憶體方面將朝快閃記憶體(Flash Memory)、鐵電記憶體(Ferro-electric RAM,FRAM)、內藏式記憶體(Embedded Memory)等實用化方向發展。

    4. 記憶體的研究開發費用持續高漲

    6.3 台灣半導體產業技術現況 6.3.1 產業現況

    台灣半導體產業結構與其他國家之最大不同點為

    專業分工體系,在該專業分工模式下,台灣的半導體產

    業在全球擁有強勁之競爭力。 廠商數早已超過一百家,從業員工在 5 萬人以上,而在設計、製造、封裝、測試更為主體,人數約 4.8 萬。

    在台灣半導體設計方面近年影響產值主要因素

    為,國內大尺寸面板出貨增加、彩色手機的面板需求大

    增、LCD 驅動 IC 出貨持續暢旺、國際品牌大廠推出最新一代手機產品,帶動相關 IC 設計業者營收,手機晶

  • 片的出貨量持續成長、光儲存晶片組及 DVD 播放機單晶片等已成為低毛利率的產品線、資訊類的晶片組方

    面,由於 Intel、AMD 開始專注嵌入式市場,加上NVIDIA、ATI 憑藉雄厚繪圖技術實力固守市佔,使得台灣 PC 晶片組市佔率版圖縮減。我國已成為僅次於美國矽谷的第二大供應地區。

    在晶圓製造方面,近年影響國內晶圓代工產值主要

    因素如下:全球晶圓代工產回復成長,大陸等新興晶圓

    代工廠成長趨於緩慢。台灣晶圓代工公司的表現方面,

    持續穩定成長。現今我國已成為全球最大之晶圓代工基

    地,台灣已是全球晶圓廠密度最高之地區,在全球之晶

    圓供應鏈上具有相當之重要地位。 在封裝及測試方面,測試產業受惠於記憶體大廠

    12 吋晶圓廠產能的快速擴張,帶動整體產值成長,表現尤佳。 LCD 驅動 IC、記憶體卡、電腦晶片組、3G手機等通訊晶片、繪圖晶片等產品的封測需求持續成為

    推升主力。 我國業者已與全球製程微縮及銅製程之需求同步提升技術,在代工爭取訂單上具有極大之優勢。

    6.3.2 產業發展趨勢

    國內 IC產業由 IC設計和製造為發展核心並強調專業分工模式,形成異於其他 IC 先進國的特色和獨特的優勢。近年來,國內產業受到國內外廠商間合作案件增

    多和產業規模擴大後造成數量及樣式需求增加的影

    響,使得 IC 設計和製造以外的產業內活動漸漸受到重視,這些活動領域包括 IC 生產用原材料、IC 設計軟體、光罩製作、封裝、測試及生產流程等所需的設備。未來,

    國內 IC 產業將隨著 IC 設計、製造及週邊支援產業活動共生共榮條件之逐漸成熟而更緊密結合。

    記憶體和晶圓代工曾是 IC 產業中高獲利的指標產品,也因此成為業者競相投入的標的。為了產業永續發

    展,多元化技術發展環境必需建立。也就是結合設計、

    製程、封裝及測試等技術開發更多樣化產品,以滿足系

    統設計需求,應是選擇開發技術項目的主要考量因素。 資訊產業是我國重要產業之一,其中資訊產品亦是

    占我國 IC 應用比例最高的一項。根據統計,資訊用 IC的比例占市場的四分之三,與全球相較,集中化的程度

    極高。

    6.3.3 技術發展趨勢 6.3.3.1 晶圓材料技術

    矽晶圓材料的使用用途可分為分離式元件與 IC 兩種,分離式元件的尺寸主要以 4 吋、5 吋為主,國內生產的廠商有中美、大同、漢磊等三家公司;IC 主要從國外進口,但在國內近二十座 8 吋 IC 晶圓廠的投資帶動下,國外廠商紛紛看好我國 IC 廠的中、下游週邊市場,全球矽晶圓(材料)的主要領導廠商如休斯、信越、小松等,已進一步來台設立晶圓材料廠,其中中鋼與

    MEMC 共同投資的中德公司在 1996 年 2 月產出我國第一支八吋晶圓棒,為國內發展矽晶圓材料供應跨出重要

    的里程碑。 6.3.3.2 IC 製程技術

    我國 CMOS 製程量產技術能力在 1997 年底,已達0.25 微米(μm)少量交貨,產品以 SRAM 為主,DRAM方面則以 0.3~0.5 微米製程為主。研發中的製程,部份業者已在 0.18 微米的階段,研究機構以 0.13 微米為主。至於美、韓、日先進國則已進入 0.25~0.18 微米的少量交貨階段, 0.18 微米製程的研發也有宣告成功者。至於 BiCMOS、Bipolar 和 GaAs MESFET 方面,由於國內應用需求較少,還無法達到經濟規模程度,因此,除

    TSMC 提供 BiCMOS 代工和漢磊提供 Bipolar 製程外,國內廠商投入不多。 6.3.3.3. ASIC 設計技術

    國內在 ASIC 設計技術能力距美、日等技術領先國尚有一大段距離,目前國內之閘陣列(Gate Array)的閘數最高可至 40 萬閘,一般水準則僅 10 萬閘。與國外最高500 萬閘相較,差距甚遠。主要是因為我國電子產品功能複雜性相對較低所致。另在標準電路元(Standard Cell)方面,1996 年仍以 0.5 微米為主,量產水準 1998 年可達 0.25 微米,研發亦將進入 0.18 微米,但國外已有 0.18微米樣品推出,顯示我國與先進國技術和其應用仍有一

    段落差。在設計自動化技術方面,由於 CAD 軟體從高階架構、邏輯、電路等設計、模擬與合成,到時序分析

    佈局驗證等種類很多,目前大多由代理商提供,主要產

    品均來自美國,技術支援水準參差不齊。國內在此方面

    投入一直很少,雖有少數廠商從事使用者界面軟體與測

    試軟體之開發,但嚴格說來,僅有一家國資公司從事電

    路模擬軟體關鍵技術之開發。 6.3.3.4 IC 產品技術

    (1)記憶體產品 在 DRAM 方面,除了世界先進(次微米科專計畫衍

    生公司)自行研發技術外,其餘廠商多自國外引進技術。在 SRAM 、ROM 及 EPROM 方面,我國廠商的開發能力,大多已和市場主流產品同步,尤其是在高速

    SRAM (又稱 Cache RAM)方面,因主流產品所需之密度多年來未循每三年一世代之步調前進。雖然我國部份記

    憶體產品如 ROM 的發展與全球主要生產廠商同步,但是仍有許多待努力的空間,例如低功耗和超高速的

    SRAM 和高容量 DRAM 等。至於擴大 EPROM 的應用和快閃記憶體的可讀寫次數的技術能力亦有待開發突

    破。 (2)MPEG 產品 聲音及影像是多媒體的核心,數位化技術使得聲音

    與影像得以和原有的文字及圖形兩種媒體訊號交換、分

    割與重組,其中之核心技術為影像壓縮(或稱視訊壓縮)技術。美國是世界上 MPEG IC 的領先國,日本與歐洲次之。美國無論在編碼 IC 與解碼 IC 皆有完整的產品線,整合程度亦是全球第一。目前全球在 MPEG 編碼IC 的領導廠商為 C- Cube 與 IBM,生產 MPEG 解碼 IC的廠商,至少有 50 家以上。因為 MPEG 為不對稱壓縮,

  • 解壓縮 IC 比壓縮 IC 的市場大得多。 (3)邏輯產品 Intel 仍是微處理器 X86 架構之主要供應廠商,

    AMD、Cyrix 居次。我國於 1992 年在政府推動與民間廠商配合下,引進 SPARC CPU 技術,後來並有廠商陸續引進 MIPS、HP-PA 及 X86 等技術。個人電腦晶片組曾是國內廠商風光一時之產品,然而在 Intel 配合其Pentium 微處理器推出晶片組後,國內廠商可分食之大餅頓時縮小。個人電腦晶片組除因應微處理器、記憶體

    與匯流排之演變外,還需加速與其他功能整合,以因應

    潮流。目前,國內個人電腦晶片組設計公司皆緊隨 Intel腳步發展。

    (4)類比產品 類比 IC 是我國發展較弱之一環,其中放大器方面

    主要應用為儀器 (Instrumentation) 放大器、緩衝器(Buffer)、視訊放大器與無線通訊上之放大器等,目前無線通訊之功率(Power)放大器是放大器種類中較先進之技術。資料轉換器(Data Converter)主要應用於需處理類比與數位訊號轉換之電子產品上。無線通訊之 A/D轉換器要求低電壓與極佳之動態 S/N 比 (需達到70-80dB)、而解析度達 12-bit、速度達到 30-50M Sample/s,國內在此領域尚未見到相關產品推出。影像應用方面,由於掃描器、數位攝影機、醫療影像等產品

    之 A/D 取樣速度愈來愈快,必須達到 2-20M Samples/s、解析度達 12 - bit,國內由於是掃描器之主要生產基地,因此有許多廠商投入開發掃描器用 A/D,已有 8-bit A / D 與 10-bit A / D 產品。至於高階影像與多媒體應用如 HDTV 等影像品質要求很高之產品,需要10-bit 之解析度、速度達 70M Samples/s 以上,國內並無此方面技術之產品。在 D/A 方面,主要類別有一般用 、 Audio 、 Video 三方面,工研院電子所在此三類皆有技術研發與產品開發,目前的發展趨勢已漸與記

    憶體等電路整合,單獨之 D/A 產品已漸少。在應用方面,主要以電子系統上之顯示應用為主,如繪圖卡等,

    國 內 有 許 多 廠 商 開 發 。 Lucent Technologies 、SGS-Thomson 則是此領域之國外代表廠商。在技術方面,國內已達 8-bit 解析度,與全球相當,但在速度上我國僅達 80MHz (國外先進技術已達 170MHz),至於在工作電壓上,國內與全球皆朝低電壓發展,目前之水

    準為 3.3V。未來,我國 IC 產品電路參數的選擇和建立搭配的製程及封裝能力仍是發展 IC 產品技術的重要因素。 6.3.3.5 IC 封裝技術

    依目前國內廠商現況來看,早期主要是外商公司鑑

    於我國優秀廉價的勞力,前來設立封裝廠,如飛利浦、

    德州儀器、摩托羅拉等。隨著我國積體電路工業的發

    展,帶動相關週邊產業,積體電路封裝亦由早期的為人

    作嫁,到近年來逐漸有自己的技術開發能力。但整體而

    言,大部分的廠商只在低檔封裝產品上作量產,其製造

    能力上有不錯的表現。至於在新技術的開發、自動化及

    設計能力上並不強,如 TSOP、LOC、CSP、Flip-Chip等技術上研發仍有相當一段落後距離。以 BGA 來說,美、日等先進國家早已進入量產,甚至有 1000 腳位以上的產品出現,而國內大多數業者才剛建立起相關的電

    性、熱傳的設計分析能力,僅少量生產而已,技術能力

    仍有待加強。至於在 LOC 之 SOJ/TSOP、CSP、Flip Chip等先進封裝技術方面,業界目前所做的研發工作並不

    多,僅工研院在科專計畫支持下進行研發。 6.3.3.6 光罩技術

    光罩技術與積體電路製程發展息息相關,目前國內

    光罩需求以 0.5μm 製程為主。隨著八吋晶圓廠的陸續量產,小於 0.4μm 製程所需的光罩已在逐漸增加中,國內業者如台灣光罩已可供應 0.35μm 製程技術所需的光罩。但在相轉移(Phase-Shift) 光罩方面及 0.18 μm製程技術所需之光罩,還有待急起直追,才不致成為國

    內 IC 製程之瓶頸。雖然光罩技術是整個微影體系(Lithography Infrastructure)之關鍵技術,但由於其對於IC 製程的高度依存性,如建立搭配先進製程的光罩製作能力仍是業者努力之目標。目前,光罩技術以光學

    (Optical)、光罩為主,但是面對日後製造更小線幅 IC使用的 X-ray 或 E-beam 曝光系統,則光學光罩則無法適用。因此光罩技術的未來發展應結合曝光設備,光阻

    材料和相關製程設備以及微小量距設備等技術整體考

    量以滿足 IC 製造的需求。 6.4 台灣半導體產業國際競爭力之探討 6.4.1 國內 IC 產業之核心競爭力 6.4.1.1. 綜合競爭要素

    我國近年來在 IC 產業的經營成果,之所以會受到全球矚目的原因,其中有一部份是因為我們發揮了量產

    效應,同時在成本上有極強的競爭優勢存在。我們可先

    就近年來經營現況與軌跡,來分析歸納核心競爭力究竟

    在什麼地方。近十年來國內 IC 產業體系中,成長最迅速,產值貢獻最大,帶動週邊上、下游相關產業力道最

    大者,就數據分析結果來看,是具有晶圓廠的 IC 製造業,目前占產業產值已達五成以上,而其主力產品則是

    記憶體與代工。這兩項業務占營收之比重,從 1991 年的 37%,逐年上升到 1997 年的 93.3%(記憶體占 38.1%,代工占 55.2%),絕對是經營重心所在。國內前五大 IC 公司,主力產品全部都是這兩項業務,而所有新加入的新廠產能,也有九成是針對這個領域,可見我

    國 IC 產業仰賴這二類產品之程度。事實上,全球之所以會對國內 IC 產業另眼相待的原因,也是因為我們在代工事業具有全球領先的優勢,以及在記憶體事業(尤其是 DRAM)上的蓄勢待發。就代工而言,台灣優勢在於人力素質來源、製程技術能力、成本結構、資金調度

    能力、良率穩定度這五項。在研發能力方面,其實國內

    產業因為規模及資源限制,再加上經營重心、特質的影

    響,我們不論在研發經費的總支出額,或是其占營業額

    的比重上,一直都是偏低,維持在 5%上下,而國際其

  • 他品牌的研發經費多數在 8~16%之間,這種情形將會影響我國的核心競爭力。 6.4.1.2 競爭優勢

    從前面談及的研發狀況與資本支出,我們可清楚看

    出國內在研發支出上,相對落後全球先進半導體國家或

    大廠許多,可是在資本支出方面,卻領先全球,因此,

    我們可清楚的發現到,我們的競爭優勢存在於成本結

    構,製程技術與良率穩定度...等,與製造能力有關的項目上,而這些領域,都是因為我們不斷大手筆投注在資

    本支出下的結果。反觀在產品設計、智權、創新與應用...等,與研發能力有關的項目上,我們就相對落後許多。

    在此情形下,代工事業的茁壯,乃至位居全球領導地

    位,而自有產品的發展卻無法和先進大廠競爭,只能走

    利基產品。所以,檢視國內的 IC 產業競爭力,可歸納出,由於我們研發經費投入相對偏低(不論就比例或總金額),所以在尖端產品方面,缺乏抗衡力量,於是只能走跟隨策略。也由於無法推出足夠的自有產品以填滿

    生產線,是故生產規模小,在此情形下,更加偏重單一

    產品線,而造成風險承擔力降低。種種因素限制下,使

    得公司營運規模無法擴充,就更沒有資金去佈建國際行

    銷網路、創造產品知名度。惡性循環下,更不利發展自

    有產品,那麼研發經費的大舉增加,更是形同虛夢。反

    觀因為資本投入的貢獻,使得國內發揮量產效應,成本

    極具競爭優勢,也就使得業者不斷地加碼於製程設備的

    提升,以增進本身的製造能力。再加上規模不算大,上

    下游業者得以集中力量於產業鏈中的某一段行業,因此

    業者得以傾全力發展該段 IC 價值鏈中屬於自己的一環,又由於較先進國家有更好的工程投入,以及上下游

    週邊產業的群聚效果,種種現象造成了我們具備了極為

    迅速的市場反應能力,在 Time to Market 方面,就領先他國一大段距離。至於政府的策略性支持、教育普及

    且偏重工科的發展,也使得國內平均人力素質甚佳,這

    些,也就創造出國內的競爭優勢所在。所以,若探究國

    內 IC 產業的核心競爭力,我們認為應以人才為中心的高度分工架構。所謂的人才是在工程方面的優秀人力素

    質,不論在取得成本或是工時的投入上,都優於他國,

    這個中心再加上高度分工且專精的產業架構,就使得經

    營者得以更關注於本業的發展,加上總體經濟的長期發

    展結果,使得民間資金充沛,願意投資於短期可見成果

    之製造規模擴大上,所以才造就出下列幾項競爭優勢,

    包括製造能力強、具成本優勢,以及對市場反應快。這

    些原委,也就是為何今日台灣擁有強大的代工力量,但

    卻在自有產品的研發領域中,少有傑出表現的現象。 6.4.2.外顯因素探討

    就產業、產品、市場、技術、製造、財務等外顯層

    面的因素來評估國內 IC 產業競爭力時,可明顯發現,在產品、市場方面,我國 IC 產業實力相對弱勢,就產業面、製造與財務能力方面,表現又十分傑出,至於在

    技術方面,則是靠製程取勝而弱於設計,在這些客觀外

    顯數据的顯示中,似乎也能依稀猜到國內 IC 產業在自有產品設計的競爭力較弱,而以製程能力取勝之代工服

    務卻又具備十足的國際競爭力,其原因究竟是什麼?這

    部份將在之後探討。單就外顯因素之數据來看,先以自

    有產品之競爭力而言,美、日兩國就已吃下全球八成的

    市場,其他國家想追上,短期內幾乎是不可能。不過從

    歷年走勢來判斷,日本的占有率有遞減的現象,這一方

    面是因為成本的劣勢,使得不少日本公司逐漸減緩在日

    本當地的投資,而轉向海外設置生產基地,或是與海外

    當地的公司進行合作。另一方面,亞太地區的許多國家

    也因為技術能力之提升,而蠶食了日本原先的市場。除

    美、日兩國的實力遙不可及之外,韓國的自有產品實力

    也是十分雄厚,雖仍遠不及美、日兩國,但是其他的國

    家,如我國、法國、荷蘭...等,想追上韓國,恐怕也是極為困難的事,個人覺得在十年內大概都不會有所改

    變。而我國,近二年雖然號稱是全球第四、五大,可是

    比重也不過在 3%以下,法國、荷蘭、德國之實力與我們算是在同一個競爭圈中 (指產品之占有率),排名互有上、下,不過嚴格來說,競爭力均遠遜於韓國、日本

    及美國。在代工領域上,若以「專業代工」領域而言,

    則國內在台積電、聯電的強勢作為下,我們實力絕對是

    全球第一,不過畢竟全球所謂的專業代工公司屈指可

    數,而許多代工訂單也仍舊投注在像 LG、IBM、Toshiba等這一類自有產品公司中,而且比例遠大於投入在專業

    代工公司的數量,這些訂單當然是我們該爭取的目標。

    不過就代工供給面的角度評估競爭力時,其實因為專業

    代工公司(如台積、聯電、Chartered、Tower...)與非專業代工公司(如 LG、Toshiba、 IBM...)在經營事業上的「哲學觀念」有極大差異存在,所以將之互視為競爭對手之

    情況並不多見。在代工市場占有率上,目前國內已是第

    一名,且就競爭實力而言,我們可以持續維持全球最好

    的製程競爭力。在全球分工趨勢日漸明顯之際,相信國

    內可以長期維持全球第一。就封裝方面的競爭力而言,

    我國實力也是十分堅強。韓國在量產與先進封裝技術

    上,當然是全球首位,這點我們必須承認,要追上他們

    可能也不是易事,不過國內業者近年來在技術提升上的

    速度,其實也是有目共睹的。除了我們與韓國之外,東

    南亞雖然在封裝領域也在急起直追,不過終究是來自

    美、日、歐等國際大廠在當地設置自有生產線的貢獻為

    主,不像國內是由國資業者自行打下天下,所以就「根

    基穩固」角度來看,我們仍舊是十分自豪的。至於就個

    別產品或是區域市場表現來看,美、日兩國實力仍是遠

    高於其他國家。日本靠記憶體產品打天下,LOGIC 則是另一項主力,微元件部份則在努力拓展中;美國則靠

    微元件及 LOGIC 等附加價值高的產品,大幅領先其他國家,這也是其賴以為生的根本。就國內產業的特性來

    看,我們也比較有機會在記憶體產品方面打下一片江

    山,在微元件、邏輯產品方面想要有明顯的實力提升,

    恐怕不太容易,這與我們重視資本投資,而無力於設

    計、研發是有直接關係的,當然,在系統產品上,是否

  • 具備主導能力也直接會影響微元件及邏輯產品競爭實

    力的建立,美國因為深深地控制了這項優勢,所以不單

    是我國,就算是日、韓、歐等國,其實也不容易與之競

    逐此一市場。外顯因素的最後二項指標:員工生產力及

    公司獲利率方面,我國的表現算是十分優秀。在自有產

    品比重不高,以致於單項產品單價不高的情形下,員工

    生產力居然會次於韓國,而比美、日來得高,也遠比全

    球平均為佳,這點就難能可貴了,這也是我們在探究核

    心競爭力時,所必須強調的重點。 6.5 台灣半導體產業未來發展

    根據工研院 IEK 統計,2007 年台灣整體 IC 產業產值可達新台幣 14,574 億元,較 2006 年成長 4.6%。其中設計業產值為新台幣 3,997 億元,較 2006 年成長23.6%;製造業為新台幣 7,274 億元,較 2006 年衰退5.1%;封裝業為新台幣 2,280 億元,較 2006 年成長8.2%;測試業為新台幣 1,023 億元,較 2006 年成長10.7%。在 IC 設計業方面,觀察重點如下:系統業者陸續推出設計新穎的熱門產品,如遊戲機領域中任天堂

    的 Wii、Sony PS3 以及 iPhone。加上微軟推出 Vista 推動硬體升級,Apple-TV、數位電視降價觸動需求等等熱門產品所引爆的商機,台灣 IC 設計業可望受持續惠。在 IC 封測試業方面,與記憶體相關的封裝測試公司成長性表現仍佳,多家封測廠與國際記憶體大廠簽訂

    長期合作契約,訂單透明度高;PC、手機、數位消費性電子產品及周邊元件產品的封測需求在下半年持續

    發酵;配合 Vista 帶動的 PC 相關市場的需求成長,以及 2008 年北京奧運的消費性電子的市場機會。新興市場諸如印度等地對中、低階產品的需求持續,傳統封測

    產能利用率可望提高;載板產能不足的情況趨緩,對封

    裝出貨幫助大。

    (7) 有機半導體與 P3HT 蘇曼儂 7.1 有機半導體

    有機半導體(organic semiconductors)是指任何擁有半導體性值的有機物質,這類物質在這個世紀引起科學

    家注意有兩個主要原因,第一是有機半導體特殊的光傳

    導(photoconductive)特性,第二是其造就了可彎曲顯示器的可能性。

    本單元將介紹有機半導體的發展歷史、原理、發展

    的潛力與過去遭遇的限制,最後以 P3HT 為例,介紹此領域的最新研究。

    7.1.1 發展歷史

    於 1954 年,有機半導體最早對象為價電子傳導錯合物(charge-transfer complexes,CT complexes),當科學家發現 perylene-iodine complex 有異常高的導電性(8 Ω·cm)。

    到了 1963,Weiss 等人發表碘摻雜 polypyrrole 的被動高導電率,雖然在當時期重要性並不被廣為人知,

    但這是第一篇現代關於高傳導率聚乙炔與線狀骨架聚

    合物的文章,他們達到 1 Ω/cm 的電阻值,這些作者也將碘摻雜在導電性上的影響描述為 N/P 型的半導體行為,在往後的文章也達到 0.03 Ω/cm 的電阻值,同時也以 conducting polypyrrole 拿到澳洲專利。

    perylene polypyrrole 在 1977 年,日本筑波大學的白川英樹(Hideki

    Shirakawa)教授發表類似的氧化與碘摻雜聚乙炔(polyacetylene)的高導電性。另一個由美國賓州大學物理系 Alan J. Heeger 與化學系 Alan G. MacDiarmid

    在 1974 年發表於 Nature 的有機電子元件,順利用古典的電子傳導理論解釋這些有機物質的導電率。他們三人

    共同獲得 2000 年諾貝爾獎的肯定,表揚他們在導電高分 子 得 發 現 與 發 展 (for the discovery and

    development of conductive polymers) 。但澳洲的科

    學家卻沒有分享此殊榮,在當時造成一些爭議。

    A. J. Heeger A.G. MacDiarmid H. Shirakawa

    雖說有機半導體確實可以克服很多無機半導體的

    不足(見 7.1.3),但由於有機半導體先天有些發展的瓶頸(見 7.1.4),使得有機半導體之主動電子元件(有機發光二極體、有機太陽能電池及有機薄膜電晶體)一直無法順利發展,直到 1987 年美國科達公司的鄧青雲博士等人利用類似PN接面的雙層有機結構製作出第一個高效率有機發光二極體前述有關有機半導體的缺點大部份

    都被克服了。鄧博士所使用的有機材料的個別分子單元

    包含了以共價鍵結合的約 30~40 個原子,以這樣的有機材料所構成的有機發光二極體被稱作小分子 OLED。在1990 年英國劍橋大學的研究人員利用有機高分子材料 poly para-(phenylene vinylene)(PPV)製作出類似的電激發光的元件。此種有機發光二極體被稱作高分子 LED (Polymer Light-Emitting Diode, PLED)。自此,不但觸發了研究學者對 OLED/PLED 之密集的研究,也引起業界對 OLED/PLED 平面顯示器的興趣。

    相似的剛性骨架有機半導體當今被廣泛使用於光

    電元件中,例如有機發光二極體(organic light-emitting diodes,OLED)、有機太陽能電池(organic solar cells)、有機場效電晶體 (organic field effect transistors ,

  • OFET)、電化學電晶體(electrochemical transistors)與生物探測的應用。

    7.1.2 原理

    現今已知的有機半導體材料包括小分子 (single molecules) 、短鏈化合物 (oligomers) 、長鏈聚合物 (polymers)與有機金屬錯合物(Complex),其中以高分子目前最被廣泛研究與使用,也是本文將著重的部分。

    舉例說明,有機半導體小分子如 pentacene 、蒽(anthracene) 、 rubrene , 有 機 半 導 體 聚 合 物 如poly(3-hexylthiophene)( 詳 見 7.2) 、 poly(p-phenylene vinylene)、F8BT 與聚丙烯及其衍生物。

    pentacene 蒽 rubrene

    依導電原理方式分類,可分為有機電子傳導錯合物

    (organic charge-transfer complexes, CT complexes)與各式各樣的線狀骨架聚合物,但其實這兩種分類有很大的

    重疊。CT complexes 通常存在與無機半導體相同的導電機構,即區域化的電子與電洞傳導帶及 band gap。如同無機半導體,有機半導體一樣可以被參雜(doping)。

    有機半導體是如何導電的呢?有機半導體的

    carriers mediate conductivity 有很多種,包含 π 電子與未成對電子,大部分的有機固體都是絕緣體,但當 π電子共軛系統形成時,電子便可在之間移動。而在 CT complexes ,即使是未成對電子也可以穩定存在,也就成為 carriers,這種半導體通常可以藉由將電子受體分子與授體分子配對來取得。

    7.1.3 發展潛力

    有機半導體有很多的優勢,例如較容易的製程、機

    械性的柔軟度、較低的費用。當代主要的三個應用領

    域,分別為 OLED、 有機薄膜電晶體(Organic thin film transistors 簡稱 OTFT)及有機薄膜太陽能電池

    當今的半導體產品(積體電路、電晶體、二極體、太陽電池等)大部份都是以無機半導體為主,其中又以矽為大宗,即便是現在非常熱門的液晶顯示器,除了液

    晶本身,它所需要的驅動電路之薄膜電晶體仍是以矽為

    主要的製作材料。而在製作過程中,就必需要相當程度

    的高溫,所以使用的矽基板或是玻璃基板都必需耐高溫

    的,這也使得這些基板是硬而不能彎折的。若能將電子

    元件中的主動層改以有機半導體材料來取代,電子元件

    的基板便可改用可撓曲的塑膠基板,這是因為有機材料

    的沉積是可以在接近室溫下來進行的。其實,這就是所

    謂的「軟性電子(flexible electronics)」。

    製程方面,以生產 OTFT 為例,首先是材料方面,

    從 過 去 採 用 的 非 晶 矽 ( Amorphous ) 或 多 晶 矽(Poly-Silicon)等無機材料,轉變成有機材料。其次是製程方面,過去採用的是類似半導體的製程,但 OTFT 則是採用印刷製程(Printing Process),包括網印(Screen Printing)、噴墨印( Inkjet Printing)及接觸印(Contact Printing)等方法來製作有機薄膜電晶體,應用於 OTFT 的有機半導體材料的高分子(polymers)和非晶型分子(amorphous molecular)等,可利用溶液配合噴墨印刷( ink-jet printing ) 的 方 式 , 做 大 面 積 的 旋 塗(spin-coating)來製作半導體層,因此可降低生產成本。前者在製程溫度上高達攝氏 200~400 度,但 OTFT 的製程則不到攝氏 100 度。

    歸納上述,低溫製作、重量輕、可沉積在塑膠基板

    上展現柔韌性、較低的花費等優點,便成了有機半導體

    發展的原動力。試想若能同時將 OLED、 OTFT 及有機薄膜太陽能電池都作在同一塊塑膠基板上時,那麼自

    供電(self-powered)的可摺捲之可攜式顯示銀幕就有可能實現了! 7.1.4 過去發展限制

    有機半導體的發展在 21 世紀已經有十足的進步,發展過程中遇到的問題目前已逐漸被克服,這些克服的

    方法也就成為發展有機半導體的核心技術,在此將這些

    問題作一個整理。 第一,有機半導體通常呈現不穩定的特性使得要在

    有機半導體上形成可靠的金屬接觸(metal contact)是非常困難的。加上有機半導體對水氣、氧及紫外線是非常

    敏感,尤其是當電流通過有機半導體材料時,其電氣特

    性會迅速的衰退。 第二,有機半導體的低載子移動率(carrier mobility)

    使得其無法使用在高頻率(>10 MHz)的場合。以 OTFT為例,目前一般製程製作出的 OTFT,其作業頻率僅能用於電子紙固定畫面之靜態顯示用途,如果要應用在動

    態畫面顯示,則必須將電晶體的作業頻率提高到 1 千赫茲(1kHz),未來,電晶體的作業頻率如能提升到幾萬赫茲到百萬赫茲(MHz),便可應用於高解析度視訊顯示。電晶體的作業頻率或稱「操作頻率」,也稱為「載

    子移動率」(Mobility),每個載子如同背著磚頭的工人,跑越快,意味著可處理的訊號量越大,可驅動的亮度也

    更佳。國際上對於「載子移動率」的研究水準,從 1985

  • 年到 2000 年,才達到約 1kHz 的地步。而從 0.001 kHz 進步到接近於 1kHz 的地步,共花了 8 年。

    第三,個別有機電子元件所使用的有機材料有很大

    的不同,這造成了要把它們整合在同一塊基板上的困

    難。 第四,以 OLED 為例,過去的市場上 OLED 一直

    沒辦法普及,主要的問題在於早先技術發展的 OLED 樣品大多是單色居多,即使採用多色的設計,其發色材

    料和生產技術往往還是限制了 OLED 發色的多樣性。 7.2 P3HT

    P3HT,又名 poly(3-hexylthiophene),是聚噻吩(Polythiophene,簡稱 PT)的衍生物, PTs 及其衍生物有很多特殊的光學性質,以下

    P3HT Polythiophene 7.2.1 原理與摻雜

    導電非定域化電子沿著 PTs 的 π 共軛矽統骨架傳遞,形成填滿的 valence band,藉由從 π 系統移除電子(p-doping)或添加電子到 π 系統中(n-doping),可以形成極化子對(bipolaron)。

    PTs 的摻雜程度(20–40%)比起傳統半導體高很多(

  • 7.2.3.2 化學合成 化學合成對於合成 P3HT 有兩個優勢,第一是對於

    單體有較高的選擇性,第二是若使用正確的催化劑,對

    於位向也會有很高的選擇性。 化學反應分為自由基反應、碳陽離子反應、陽離子

    自由基反應、McCullough 法與 Rieke 法,各個反應機構如下圖:

    7.2.4 應用

    對於 P3HT 的應用已經有相當多了理論,但目前還沒有任何一個被商業化。其潛在的應用價值包括場效電

    晶 體 (field-effect transistors) 、 電 致 發 光 元 件(electroluminescent devices)、太陽能電池(solar cells)、光化學抗染劑(photochemical resists)、非線性光學元件(nonlinear optic devices)、電池、二極體與化學感測器。歸納應用兩大分類,靜態應用(Static applications)以其固有的導電性質結合聚合物的物質特性,動態應用

    (Dynamic applications)則是改變導電與光學性質來感測環境的刺激。

    雖然商業化的目標尚未達成,但 P3HT 在學術領域已經是一個非常熱門的材料,相關的研究也活耀於各大

    學術期刊。 (8) 有機半導體的應用─共軛高分子 邱志翔

    5.1 前言 1977年,白川英樹H. Shirakawa和美國科學家A. J. Heeger, A. G. MacDiarmid 合作,發現透過摻雜的方式,可以讓聚乙炔的導電性提高 12 個數量級,成為導體。1900 年英國劍橋大學 Cavendish 實驗室 J. H. Burroughes 和 A. J. Heeger 成功製造了聚苯基乙烯基[poly(p-phenylene-vinylene),PPV]發光材料,以

    ITO為正極,金屬鋁為負極的黃綠光發光二極體。有機

    發光二極體。 從此,開啟了往後十多年來有機導電分子等的研究

    開發。有機導電小分子及高分子材料的在光電領域及電

    子元件的應用潛力範圍極廣,包括了薄膜電晶體(thin

    film transistors),電池,發光二極體(organic light

    emitting diode, OLED)與雷射,感光二極體及太陽

    能電池,燃料電池,非線性光學材料,光折變效應

    (photorefractive effect),全像儲存(holographic

    storage),以至抗靜電保護塗膜(antistatic

    coating),封裝(packaging)等。

    在有機導電分子中,高分子材料是屬於共軛的聚合

    物分子。其具有非定域性的兀電子,由於 HOMO與 LUMO

    的能階差在半導體或是導體的範圍,因此,呈現導電的

    性質。隨著有機導電高分子結構的不同,這些高分子材

    料可呈現出不同的物理性質宮我們利用。

    在這裡我們將介紹高分子材料在 OTFT、OLED及高

    分子記憶體上的應用。

    5.2 OTFT

    圖一 由富士通所推出的軟性顯示 OTFT面板。

    (www.fujitsu.com)

    過去十多年來,在薄膜電晶體的應用上一直都是採 用無機材料,而沒有使用有機材料。這主要是因為有機 材料的 mobility(載子移動率)太低,其性能沒有辦法表現

    http://www.fujitsu.com/

  • 得像無機材料那麼好。所以有機薄膜電晶體(Organic

    thin film transistors 簡稱 OTFT)的應用性無法達到

    如無機電晶體(如矽、鍺)一般,且兩者的載子移動率

    足足差了約 3 個 order(數量級)以上,故 OTFT目前仍

    不適合應用於需要高切換速率的裝置上,因為元件的反

    應速率不夠快。

    那為什麼 OTFT 技術又這麼地受人重視呢?因為製 作 OTFT 的製程相較於製作矽半導體的製程簡單非常 多。製造矽半導體不僅需要耗費鉅資建立廠房,產品從 原料到製作完成也需要數天時間,此外,過程中所會用 到的化學藥劑與有毒氣體處理不好容易有破壞環境的問 題。然而,OTFT 則沒有這個問題。只要使用噴墨或轉 印技術,輕輕鬆鬆地在幾分鐘內便能完成晶片的成品。 此外,由於是使用噴墨或轉印技術,OTFT 可以單片製 造,且成本可以壓縮到不到 1 美元。建立一個傳統的矽 晶片工廠,所需資金動輒百億元,然而建立一座生產塑 膠晶片的工廠所需資金不到十分之一,甚至不到百分之 一成本是 OTFT 極大的優勢。

    此外,由有機導電高分子所製成的 OTFT 元件具有 可撓曲性(就是可以拿來彎或拿來摺),可望在未來取代 報紙或書籍的角色。 表一 TFT 與 OTFT 的比較 目前 OTFT 技術上尚待突破的,就是要提高載子移 動率(Mobilitry)。目前所做出來的 OTFT,其作業頻率只 足夠用來顯示靜態�