Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of...

53
Microprocessor power management The Intel approach

Transcript of Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of...

Page 1: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Microprocessor  power  management    

The  Intel  approach  

Page 2: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·
Page 3: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Increasing Power  1000.0

 

100.0  

12W Pentium II

 10.0   9W Pentium

 

3W 486DX

 

1.0  

1985 1990 1995  

Pentium D  

Pentium 4 w/HT 81W  

Pentium 4 52W  

Pentium III 20W  

2000 2005  

115W  

2010  

Specint_rate2000; source: Intel; some data estimated.  

Page 4: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Banias  

Page 5: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Dual Core  Shared Cache  

2x cache  

Page 6: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Intel® CoreTM Duo Processor   90 mm2

 151M transistors  

Intel® CoreTM 2 Duo Processor 143 mm2

  291M transistors  

• Intel® Wide Dynamic Execution  • Intel® Advanced Digital Media Boost  • Intel® Advanced Smart Cache  • Intel® Smart Memory Access  • Intel® Intelligent Power Capability  • Intel® 64 Architecture  

Page 7: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Processor Architecture 101  

Delivered Performance =  Frequency * Instructions Per Cycle (IPC)

 

Goal is higher performance and lower power  

Power α Cdynamic  

dynamic * V * V * Frequency  

Cdynamic is roughly a product of area and activity “how many bits” * “how much do they toggle”  

Page 8: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Processor Architecture 101  

Delivered Performance =  Frequency * Instructions Per Cycle (IPC)

 Frequency is proportional to voltage,  so frequency reduction coupled with voltage reduction results in cubic reduction in power.  

Power α Cdynamic  

dynamic * V * V * Frequency  

Page 9: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Processor Architecture 101  

Delivered Performance =  Frequency * Instructions Per Cycle (IPC)

 Higher IPC usually  results in wider data paths  and/or more speculation :  directly increasing C dynamic  

Power α Cdynamic  

dynamic * V * V * Frequency  

Page 10: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Micro-op Reduction  

Delivered Performance =  Frequency * Instructions Per Cycle (IPC)

 Fewer uops per instruction  allows IPC to be increased while lowering C dynamic (less bits and less toggling)  

Power = Cdynamic  

dynamic * V * V * Frequency  

12  

Page 11: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Techniques for Micro-op Reduction   y ESP Tracker (Extended Stack Pointer)   – Execute Stack Pointer updates in dedicated hardware

 – Intel® Core™ microarchitecture increases BW by 33%*  

y Micro-Op Micro-Fusion   – Single Uop representation of “multi-uop” instruction

 – Intel® Core™ microarchitecture increase # instructions*  

y Macro-Fusion   – New technique in Intel® Core™ microarchitecture

 

* Techniques pioneered on Intel® Pentium® M processors  

13  

Page 12: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Increasing Energy Efficiency  100.0

 

10.0  

22W Pentium III

 

Merom 35W 31WCore Duo  

Pentium M Conroe 65W  21W

 

Pentium D 115W  

Pentium 4 w/HT 81W  

Pentium 4 52W  12W

 1.0  

9W Pentium

 

3W 486DX

 

0.1  

1985 1990  

Pentium II Pentium III  

1995 2000  

20W  

2005 2010  

Specint_rate2000; source: Intel; some data estimated.  

Page 13: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Intel® Intelligent Power Capability  

Process  

• 65nm  • Strained Silicon

 • Low-K Dielectric  • More Metal Layers  

Coarse  Grained  

• Aggressive Clock Gating  • Enhanced Speed-Step  

Ultra  Fine Transistor

Grained  

• Low VCC Arrays • Low Leakage  • Blocks Controlled Transistors   Via Sleep • Sleep

 Transistors Transistors  

Energy ADVANTAGE  

• Mobile-Level Power Management  • Energy Efficient Performance  

*Graphics not representative of actual die photo or relative sizIntel Confidentiale  

Page 14: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Intelligent Power Capability   Ultra Fine Grained Power Control

 

Instruction Fetch   and PreDecode  Even during periods of

 high performance execution, many parts of the chip core can be shut off.  Example could be a SW memory initialization executing from front end with IQ operating  

uCode   ROM  

Instruction Queue   5

 Decode   4

 

Rename/Alloc  

2M/4M  shared L2

  Cache  up to  10.4 Gb/s

  FSB  as loop cache.

 Retirement Unit   4

 (ReOrder Buffer)  

Schedulers  ALU

 Branch   FP  

ALU ALU  FP FP Load Store

 

L1 D-Cache and D-TLB  

Page 15: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Intelligent Power Capability   Split Busses (core power feature)

 

By splitting buses to deal with varyingdata widths, we can gain the performance benefit of bus width while

maintaining C dynamic closer to thinner buses

 

Improved Energy Efficiency  

Page 16: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Platformization of Power Management Architecture  yIntegrating best features from Server

and Mobile products  yExposing more to the system  

y PSI-2  yDTS  yPECI   Interface  

Power Status Indicator (Mobile)

Digital Thermal Sensors

  Platform Environment Control  

20  

Page 17: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Power Status Indicator  y Processor communicates power

consumption to external platform   components  

(Mobile)  

–Optimization of voltage regulator efficiency –Load line and power delivery efficiency   PSI-2 / VID

 

VR  

21  

Page 18: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Enabling Efficient Processor and Platform Thermal Control…

 DTS – Digital Thermal Sensor  

y Several thermal sensors are located within the Processor to cover all possible hot spots  y Dedicated logic scans the thermal sensors and measures the maximum temperature on the die at any given time  y Accurately reporting Processor temperature enables advanced thermal control schemes  

DTS  control

  and  status

 

LPF  

LPF  

Core 1  DTS Logic

 

Core 2  DTS Logic

  22  

Page 19: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Platform Environment Control Interface (PECI)  

y Processor provides its temperature reading over a multi drop single wire bus allowing efficient platform thermal control   Processor

 Fan  

PROC #1  

Auxiliary  Fan  

PROC #2  

Manager  

Chassis  Fan 1  PROC #3

 PECI  

Chassis  Fan 2  

23  

Page 20: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Managing Power and Cooling Efficiency

  Silicon:  Moore’s law, Strained silicon, Transistor

leakage control techniques, Clock gating  

Processor:  Power scaling with load,

 Packages  silicon

 

Heat Sinks  

Policy based power allocation Multi-threaded cores

 System Power Delivery:

Fine grain power management, Ultra fine grain power management  

Facilities: Air cooling and liquid cooling options  transistors

Facilities  

Vertical integration of cooling solutions  Systems

 

Page 21: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Increased Transistor Performance With 2nd Generation Strained Silicon   1000

 

100  IOFF

 (nA/um)   10

 

1  

1.0 V PMOS  

90 nm 65 nm  2004 2005  

0.2 0.4 0.6 0.8 1.0   ION (mA/um)

 

NMOS  

1.2 1.4  

~16% higher drive current plus ~20% lower gate capacitance improves transistor switching speed

by >20% at same leakage level  

Page 22: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Reduced Transistor Leakage With 2nd Generation Strained Silicon   1000

 

100  IOFF

 (nA/um)   10

 

1  

1.0 V PMOS  

90 nm 65 nm  2004 2005  

0.2 0.4 0.6 0.8 1.0   ION (mA/um)

 

NMOS  

1.2 1.4  

Or, transistor leakage can be reduced ~5x at same drive current

 

Page 23: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Power Efficient Interconnect Includes 2nd Generation CDO  • Low-k carbon doped oxide (CDO)

  dielectric reduces interconnect  capacitance (improved from 90 nm generation)  

• Metal 8 layer is added for improved density and performance

  (1 more layer than 90 nm generation)  

• Interconnect capacitance is reduced by use of low-k dielectric

  and by ~0.7x line length scaling  

• Lower capacitance improves interconnect performance and

  reduces chip power  

29Intel Confidential  

M8  

M7  

M6  M5  M4  M3  M2  M1  

Page 24: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Sleep Transistors Reduce Leakage Power

 VDD  

SRAM Cache Sub-Block  

NMOS  Sleep Transistor  

70 Mbit SRAM IR photos  

Sleep transistors  VSS

 Normal SRAM

sub-block leakage  

shut off leakage in inactive sub-blocks  

>3x SRAM leakage reduction with use of sleep transistors   30Intel Confidential

 

Page 25: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Gate Dielectric Today is Only a Few Molecular Layers Thick  

Polysilicon   Gate

 Electrode  

SiO2 Gate Oxide  

Silicon  Individual

Substrate Atoms

 

33Intel Confidential  

Page 26: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

High-k Demonstrated >100x Leakage Reduction

 Gate  

1.2nm SiO2  

Silicon substrate  

Gate  

3.0nm High-k  

Silicon substrate  

Benefits compared to current process technologies   High-k vs. SiO2

2

Benefit

Capacitance  

60% greater  

Much faster transistors  

Gate dielectric leakage  

> 100x reduction Far cooler  

34  

Page 27: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Leakage Control  

Body Bias  

Vbp  Vdd

 +Ve  

-Ve Vbn  

2-10X  Reduction  

Stack Effect  

Equal Loading  

5-10X  Reduction  

35  

Sleep Transistor  

Logic Block  

2-1000X  Reduction  

Page 28: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

µArchitecture Techniques   Multi-threading

  Single Thread  Increase on-die Memory

 Full HW Utilization

ST Wait for Mem   Multi-Threading  MT1 Wait for Mem

  MT2 Wait   MT3

 

Improved performance, no impact on thermals & power delivery

 

Chip Multi-processing  

Large  Core  

C1 C2  

Cache  C3 C4

 

37  

Page 29: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·
Page 30: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Energy Efficient Performance   System

 Performance  Performance

Per Watt  

=   System Power

Consumption  Customers want to know how much power the system is

consuming under real workloads – “Watts at the Wall”  Use external power measurement tool  System connects to Wall power outlet via Power Meter  

Page 31: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Breakdown of Platform Power  

CPU  

MCH, ICH  

Power  Desktop Supply

  Loss  

Gfx  

Disk  

Other  

CPU & electronics power in a platform is low Must reduce power of other platform ingredients   39

 

Page 32: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Realization : Platform level  

Power Delivery Improvements  

12V  AC/DC DC/AC

 

UPS  

AC/DC  

Server  

PDU Rack  

DC/DC Loads   VR

 PSU VR

 

VR  PSU

 

- Efficiency continually increasing  - Phase dropping at light loads  - Typical PSU efficiency is 75%

 - 80+% efficiency programs   - EPA Energy Star (proposed), 80PLUS program, SSI specifications

 -Technology exists for ~90% efficient PSUs   - Cost remains a barrier to use, despite recuperation of cost within 1 year

 Pathfinding for 90+% PSU  Light Load (5%) to Full Load (100%)

  41  

Page 33: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Fine-grain Power Management  

Power Supply Response  

Usage  

Time  Improve response time

Bring power supply closer  

Fast Slow   Cache

 Slow Fast  

Provide multiple supply voltages Fine grain Vdd and Freq scaling  

Cache Core Hoppingfor hot spot & power density management

 

45  

Page 34: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Summary  

y Energy Efficiency will continue to be a major design goal  y Holistic approach needed   – Semiconductor process technoloby

– Circuit design  – Micro-architecture  – Platform architecture – Power supply design – Software  

46  

Page 35: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Microprocessor  power  management    

The  ARM  approach  

Page 36: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Slide 88 (of 118)

What Consumers Care About •  Users want more features in their mobile devices:

–  MP3, Camera, Video, GPS...

•  But also need long battery life –  Convenient form factor, affordable price

•  Battery technology is not evolving fast enough! –  Need to manage power consumption

Page 37: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

         

Ba7ery/  Always  On  

A typical low power SOC A complex, asynchronous, mixed signal control system

CPU

PMU  

SOC  Block  

VR  

SoAware  

POR  Logic  

OTP  Pin  Straps  

Bu7on  Press  

Power  switches  IsolaDon  cells  Level  ShiAers  Save/restores  

Power  switches  IsolaDon  cells  Level  ShiAers  Save/restores  

Page 38: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Range of Voltage-Control Techniques

0.9V 1.0V

1.2V

0.6V  

0.9V 1.0V

1.2V

OFF  

0.9V 1.0V

1.2V

Multi-Vdd (MV) MTCMOS power gating (shut down)

Low-VDD Standby

A Z

VDDB

VSSB

Variable VTH (Back Bias – P/N)

Dynamic or Adaptive Voltage Frequency Scaling (DVS, DVFS, AVS, AVFS)

0.9V 1.0V

1.2V

RET  

Power gating with State Retention

0.9-1.2V PWR CTRL

1.0V 0.9V

Page 39: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Process Migration Alone Is No Longer Enough

Faster  

Lower  power  

Page 40: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

RISC is a good starting point...

•  Pure RISC can go too far in reducing the functionality of each instruction –  More instruction fetches, less efficient cache usage (more external fetches)

•  ARM retains some carefully chosen CISC like features –  Conditional instruction execution –  LDM/STM - Load/Store multiple registers –  LDR/STR - Load/Store Register with base plus offset –  Flexible “second operand” on ALU (Barrel Shifter)

•  ARM7TDMI - 16 bit “Thumb” Instruction Set –  High code density for system size/cost/power savings –  Greater than 20% code size savings over 32-bit ARM code –  Memory footprint comparable to 8/16-bit microcontrollers

The cheapest, fastest, most reliable components of a computer system are those that are not there!

--Gordon Bell

Page 41: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Low Power Is A System Problem

•  Operating System with Software Policies –  Managing the entry and exit to and from system sleep states

•  System Level Control IP –  Architectural design partitioning, hardware control –  Sleep transition protocol management

•  Library Level Support –  Comprehensive low power components (ISO, Switch, Retention)

•  EDA Software –  Comprehensive automation yielding ultra low power design with optimal

QoR •  Power Supply Management

–  External power supply control, power supply tolerances, etc. •  Process Technology

–  Trade-off between a high performance and low leakage process

Page 42: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Power Dissipation

Minimize Ileak by: –  Reducing operating

voltage –  Fewer leaking transistors –  Reduce transistor

leakage

Minimize Iswitch by: –  Reducing operating voltage –  Less switching cap –  Less switching activity

Total Power Dissipation

Dynamic Power Dissipation

Static Power Dissipation

dtfCVIVEt

cDDleakDD )(0

2∫ +=

∫t

leakDD dtIV0

Total Power Dissipation

Switching Power Dissipation

Leakage Power Dissipation ∫

t

cDD dtfCV0

2

Ileak

Iswitch

Page 43: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Dynamic Power Optimization

•  Dynamic Frequency Scaling (DFS) –  Reduce operating frequency if possible –  Reduces average power (but not task energy) –  Eliminates NOPs

•  Dynamic Voltage & Frequency Scaling (DVFS) –  Requires DFS –  Reduces voltage if frequency is reduced –  Reduces task energy –  Based on characterized frequency – voltage pairs (lookup table)

•  Adaptive Voltage Scaling (AVS) –  Closed loop optimization of VDD at run-time –  Can save energy even at fixed frequency

Page 44: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

ARM IEM* Principles

Time

Voltage

Reduce Voltage

Reduce Voltage

Reduce Voltage

Task 1 Task 2 Task 3 Idle

Energy

Energy Saved

Energy

Run Task Slow as Possible

Run Task in Available Time

•  Batteries have finite amounts of energy stored in them •  Running fast and then idling wastes energy

Only need to run just fast enough to meet the application deadlines

Page 45: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

ARM IEM Technology

Hardware  and  soAware  soluDon  for  energy  management  Dynamic  control  of  voltage  and  frequency  scaling.  

•  IEM software connects to OS kernel and collects data. •  Multiple policies categorize the software workload. •  Prediction of future performance requirement is made. •  Suitable operating point (Voltage and Frequency) is set.

OS

Apps

Apps

Apps

IEM software

Policy

Policy Evaluation Stack

Policy

Policy

Required Performance

Volts, MHz

Intelligent Energy

Controller

Dynamic Voltage

Controller

Dynamic Clock

Generator

Page 46: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

ARM IEM System Implementation

Page 47: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

ARM IEM System Implementation

Page 48: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Trends In Power Dissipation

•  Static power dissipation can no longer be ignored –  It became significant at 90nm and dominant at 65nm

•  Leakage currents are rising fast –  Must be controlled by circuit design and optimization tools

Page 49: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Leakage Currents •  Transistors are not perfect switches – they always “leak”

–  Especially the high performance (low Vt) ones

•  Currently sub-threshold leakage dominates

–  Multi-threshold and Power Gating most effective •  However gate leakage is becoming significant

–  Can be mitigated by high K dielectric material

ISUB: Sub-threshold Leakage

IGATE: Gate Leakage

IGIDL: Gate Induce Drain Leakage

IREV: Reverse Bias Junction Leakage N+ N+

Psub

Source Gate Drain

ISUB

IGIDL IGATE IREV

Total  Leakage  =  ISUB  +  IGATE  +  IGIDL  +  IREV  

Page 50: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Coarse Grain Power Gating

•  Power switches shared by many cells –  Reduced area and performance impact

•  Ring Based Switch Topology –  Rings of switches encapsulate the power down block –  Good for legacy IP, non-intrusive for optimized blocks –  Preferable if no state retention used – no always-on mesh –  Can add significant area cost to existing IP

•  Distributed Switch Topology –  Distributed switch cells in power down block – smaller –  Can be implemented as a sparse array, in rows or columns –  Seems to provide better QoR and control of IR drop –  Better trickle charge management during power-up

PMOS “Header” Switches

SLEEP

Virtual VDD

VDD

VDD

VVDD

VDD

VVDD

Page 51: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

Managing  Voltage  Drop    

•  A  reduced  supply  voltage  impacts  performance  –  Adding  switches  to  a  power  network  will  necessarily    

induce  a  voltage  drop  in  that  network  in  addiDon  to  the    voltage  drop  due  to  power  distribuDon  across  the  mesh  

–  Must  minimize  this  addiDonal  switch  induced  voltage    drop  through  considered  architecture  of  the  switch    topology  and  switch  size  

–  Voltage  drop  across  switch  also  causes  standard    cells  to  operate  slightly  reverse  biased  if  well  is    Ded  to  VDD    

•  Distributed  switching  can  help  limit  voltage  drop    –  Provides  a  finer  control  resoluDon  on  the  switch  size  and  placement  –  Increase  the  switch  density  and  size  in  power  hot  spots  –  Can  reuse  the  always-­‐on  power  networks  (for  switch  control)  to  power  

retenDon  registers  and  addiDonal  always-­‐on  logic  (save  &  restore  signals)  

IN

VSS

NSLEEP

VDD

VVDD

NOT_IN

Limit  the  voltage    drop  across  the  switch  

Page 52: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

State Retention Considerations •  Three possible approaches to state retention

–  Software based state save and restore (OS driven) –  Hardware based state save and restore via scan structures (via AMBA) –  Hardware based local state retention with retention registers

•  Choice of retention scheme dependant on a number of factors: –  Area overhead of retention registers and size of state space to be maintained –  Performance impact of retention registers –  Energy cost for save and restore when saving state externally –  Real time cost for save and restore when saving state externally

Approach Standby Leakage Area Overhead S/R Energy Cost Power Gating Only Power Switches & AO Logic Power Switches & AO Logic Complete Reset Required

Power Gating with Software Based Retention

Power Switches & AO Logic Power Switches & AO Logic State Restore via Software

Power Gating with Scan Based Hibernation

Power Switches & AO Logic Power Switches & AO Logic State Restore via Scan Shift From Memory

Power Gating with Local State Retention

Power Switches, AO Logic, Retention Registers

Power Switches, AO Logic, Retention Registers

Minimal as state maintained locally

Page 53: Microprocessor)power)management …Microprocessor)power)management) The)ARMapproach) Slide 88 (of 118) What Consumers Care About • Users want more features in their mobile devices:rlopes/Mod11.1.pdf ·

In Summary: •  Manage power in all modes in which a design operates

–  Dynamic power during device operation including active leakage –  Static power dissipation during standby

•  Maintain device performance while minimizing power consumption –  Meet most aggressive performance targets while minimizing power –  Aggressive power optimization when running at reduced performance levels –  Minimize impact to performance by employing aggressive low power techniques –  Employ a number of low power techniques in a single processor implementation

•  Aggressive techniques for power management –  Dynamic power minimized through OS directed performance scaling –  Dynamic power minimized through use of Multi-Vt and Multi-L libraries –  Standby power minimized through power gating with state retention –  Additional standby power savings through use of threshold scaling (bias)

•  Dynamic voltage and frequency scaling at the system level for power control –  Hardware and software solution for energy management

•  Utilize RISC architecture