LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP...

125
Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガ イ ド PG201 2016 6 8 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資 料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情 報につきましては、必ず最新英語版をご参照ください。

Transcript of LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP...

Page 1: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System v1.2

LogiCORE IP 製品ガイド

PG201 2016 年 6 月 8 日

本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 2

PG201 2016 年 6 月 8 日 japan.xilinx.com

目次

第 1章 : 概要機能概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

サポート されない機能と既知の制限 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

ラ イセンスおよび注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

第 2章 : 製品仕様機能の説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

パフォーマンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

リ ソース使用率 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

レジスタ空間 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

第 3章 : コアを使用するデザイン一般的なデザイン ガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

割り込み . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

ク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

第 4章 : デザイン  フローの手順コアのカスタマイズおよび生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

PS Zynq UltraScale+ MPSoC のブロ ッ ク デザイン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

DDR コンフ ィギュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26

PS-PL コンフ ィギュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

高度な設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

ユーザー パラ メーター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

出力の生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

第 5章 : サンプル デザイン

付録 A : 移行およびアップグレード

付録 B : ポートの説明

付録 C : ユーザー パラメーター

Page 3: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 3

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 D : デバッグザイ リ ンクス ウェブサイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124

資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124

アンサー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125

テクニカル サポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125

付録 E : その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127

お読みください : 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128

Page 4: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 4

PG201 2016 年 6 月 8 日 japan.xilinx.com Production 製品仕様

はじめに

ザイ リ ンクス® Zynq® UltraScale+™ Processing System LogiCORE™ IP コアは、 Zynq UltraScale+ プロセッシング システム周辺のソフ ト ウェア インターフェイスです。 Zynq UltraScale+ MPSoC ファ ミ リは、 プロセッシング システム (PS) とプログラマブル ロジッ ク (PL) をシステム オン チップ (SoC) 方式で統合したユニッ トであ り、 シングル チップで拡張性と柔軟性に優れた SoC ソ リ ューシ ョ ンを提供します。

機能

• I/O ペリ フェラル (IOP) の有効化/無効化

• AXI I/O ポートの有効化/無効化

• MIO (Multiplexed I/O) コンフ ィギュレーシ ョ ン

• EMIO (Extended Multiplexed I/O)

• PL のクロ ッ クおよび割り込み、 リセッ ト

• Vivado Design Suite IP – PS インターフェイス用のインターコネク ト ロジッ ク

• PS の内部クロ ッキング

• システム レベル コンフ ィギュレーシ ョ ン レジスタ (SLCR) の生成

• 高速 SerDes コンフ ィギュレーシ ョ ン

IP の概要

この LogiCORE IP について

コアの概要

サポート される

デバイス ファ ミ リ (1)

Zynq UltraScale+ MPSoC

サポート される

ユーザー インターフェイス

該当なし

リ ソース 該当なし

コアに含まれるもの

デザイン ファイル Verilog

サンプル デザイン第 5 章 「サンプル デザイン」 を

参照して ください。

テス トベンチ なし

制約ファイル なし

シ ミ ュレーシ ョ ン モデル

なし

サポート される ソフ ト ウェア ド ラ イバー

N/A

テスト済みデザイン  フロー (2)

デザイン入力 Vivado Design Suite

シ ミ ュレーシ ョ ン 該当なし

合成 Vivado 合成

サポート

ザイ リ ンクス サポート ウェブ ページで提供

注記 :1. サポート されているデバイスの一覧は、 Vivado IP カタログを参

照して ください。

2. サポート されているツールのバージ ョ ンは、 『Vivado Design Suite ユーザー ガイ ド : リ リース ノート ガイ ド、 インス トール

およびライセンス』 を参照してください。

Page 5: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 5

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 1章

概要Zynq® UltraScale+™ MPSoC ファ ミ リは、 ザイ リ ンクスの All Programmable システム オン チップ (AP MPSoC) アーキテクチャをベースに構築されています。 Zynq UltraScale+ Processing System コアは、 プロセッシング システム (PS) とプログラマブル ロジッ ク (PL) 間のロジッ ク接続と して機能し、 Vivado® IP インテグレーターを使用して、 カスタム IP コアや統合された IP コアをプロセッシング システムと容易に統合できます。

コアの詳細は、 第 2 章 「製品仕様」 を参照してください。

機能概要

「IP の概要」 に記載された 「機能」 を参照して ください。

サポート されない機能と既知の制限

この IP コアは、Vivado 統合設計環境 (Vivado IDE) による PS インスタンスおよび PS I/O のコンフ ィギュレーシ ョ ンを提供します。 PS の柔軟性によ り、 このコアがコンフ ィギュレーシ ョ ンするのは、 一般的な機能、 I/O 構成、 ペリフェラル設定に限られます。 ユーザー独自のレジスタ アクセスには、 追加のレジスタ設定が必要な場合があ り ます。

ザイ リ ンクスは各リ リースの既知の問題のリ ス ト を頻繁に更新しています。 新情報については、マスター アンサー66183、 「Zynq UltraScale+ MPSoC Processing System IP - リ リース ノートおよび既知の問題」 を参照してください。

ライセンスおよび注文情報

このザイ リ ンクス LogiCORE™ IP モジュールは、ザイ リ ンクス エンドユーザー ライセンス規約のも とザイ リ ンクス Vivado Design Suite を使用して追加コス ト なしで提供されています。 この IP およびその他のザイ リ ンクス LogiCORE IP に関する情報は、 ザイ リ ンクス IP コア ページから入手できます。 その他のザイ リ ンクス LogiCORE IP モジュールおよびツールの価格や提供状況については、 ザイ リ ンクス販売代理店にお問い合わせください。

詳細は、 Zynq UltraScale+ MPSoC Processing System IP の製品ページを参照して ください。

Page 6: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 6

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 2章

製品仕様

機能の説明

Zynq® UltraScale+™ MPSoC Processing System ラ ッパーは、Zynq UltraScale+ MPSoC のプロセッシング システム部分をインスタンシエート し、 プログラマブル ロジッ クおよび外部ボード ロジッ ク と接続します。 このラ ッパーには、 非オルタード接続と (一部の信号用の) 若干のロジッ ク機能が含まれます。 プロセッシング システムのアーキテクチャについては、『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] を参照してください。

この IP コアは、 インターフェイス信号と、 プログラマブル ロジッ ク内のエンベデッ ド システムのその他の部分を接続します。 プロセッシング システムとプログラマブル ロジッ クの間のインターフェイスは、 主に、 Extended Multiplexed I/O (EMIO)、 プログラマブル ロジッ ク I/O、 AXI I/O の 3 つの主要グループで構成されます。 Device Configuration Wizard は、 Zynq UltraScale+ MPSoC Processing System コアのコンフ ィギュレーシ ョ ンを行います。 この IP コアは、 次のサブセクシ ョ ンで説明する機能を実行します。

図 2-1 に、 上位のブロ ッ ク図を示します。

Page 7: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 7

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 :製品仕様

X-Ref Target - Figure 2-1

図 2‐1 : Zynq UltraScale+ MPSoc の最上位のブロック図

RPU

256 KBOCM

LPDMA

CSUPMU

Processing System

Cortex-R532 KB I/D

128 KB TCM

Cortex-R532 KB I/D

128 KB TCM

4 x 1GE

APU

Cortex-A5332 KB I/D

Cortex-A5332 KB I/D

Cortex-A5332 KB I/D

Cortex-A5332 KB I/D

GIC

SCU

ACP 1 MB L2

GPUMali-400 MP2

64 KB L2

2 x USB 3.0

NAND x8ONFI 3.1

2 x SD3.0/eMMC4.51

QSPI x 8

2 x SPI

2 x CAN

2 x I2C

2 x UART

GPIOs

SYSMON

MIO Central

Switch

FPDMA

VCU H.264/H.265

PCIe Gen4

DP v1.2x1, x2

2 x SATAv3.0

PCIe Gen2x1, x2, or x4

SHA3AES-GCMRSA

Processor System BPU

DDRC (DDR4/3/3L, LPDDR3/4)

Programmable Logic

128 KB RAM

PL_

LPD

HP

GICLL

LP

LLLP

RGMII

ULPI PS-G

TR

SMMU/CCI

GFC

USB 3.0

SGMII

Low Power Switch

To ACP

Low Power Full PowerBattery Power

32-bit/64-bit

64-bitM S

128-bitM S

UG1085_c1_01_04131

LPD

_PL

HP

CH

PS

GTY Quad

GTH Quad

Interlaken 100G Ethernet

AC

E

Page 8: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 8

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 :製品仕様

接続

ddr、 mio、 por/clk/srst ポートは非オルタード ポートです。

• fclk は、 アレイ FCLKCLK (3:0) の代わりに個々の信号で構成されるこ と もあ り ます。

• PS PL IRQ は、 個々の信号 ps_pl_irq_can0、 ps_pl_irq_can1、 ps_pl_irq_enet0、 ps_pl_irq_enet1、 ps_pl_irq_enet2、ps_pl_irq_enet3、 ps_pl_irq_enet0_wake0、 ps_pl_irq_enet0_wake1、 ps_pl_irq_enet0_wake2、 ps_pl_irq_enet0_wake3、ps_pl_irq_gpio、 ps_pl_irq_i2c0、 ps_pl_irq_i2c1、 ps_pl_irq_uart0、 ps_pl_irq_uart1、 ps_pl_irq_sdio0、ps_pl_irq_sdio1、 ps_pl_irq_sdio0_wake、 ps_pl_irq_sdio1_wake、 ps_pl_irq_spi0、 ps_pl_irq_spi1、 ps_pl_irq_qspi、ps_pl_irq_ttc0_0、 ps_pl_irq_ttc0_1、 ps_pl_irq_ttc0_2、 ps_pl_irq_ttc1_0、 ps_pl_irq_ttc1_1、 ps_pl_irq_ttc1_2、ps_pl_irq_ttc2_0、 ps_pl_irq_ttc2_1、 ps_pl_irq_ttc2_2、 ps_pl_irq_ttc3_0、 ps_pl_irq_ttc3_1、 ps_pl_irq_ttc3_2、ps_pl_irq_csu_pmu_wdt、 ps_pl_irq_lp_wdt、 ps_pl_irq_usb3_0_endpoint、 ps_pl_irq_usb3_0_otg、ps_pl_irq_usb3_1_endpoint、 ps_pl_irq_usb3_1_otg、 ps_pl_irq_adma_chan、 ps_pl_irq_usb3_0_pmu_wakeup、ps_pl_irq_gdma_chan、 ps_pl_irq_csu、 ps_pl_irq_csu_dma、 ps_pl_irq_efuse、 ps_pl_irq_xmpu_lpd、 ps_pl_irq_ddr_ss、ps_pl_irq_nand、 ps_pl_irq_fp_wdt、 ps_pl_irq_pcie_msi、 ps_pl_irq_pcie_legacy、 ps_pl_irq_pcie_dma、ps_pl_irq_pcie_msc、 ps_pl_irq_dport、 ps_pl_irq_fpd_apb_int、 ps_pl_irq_fpd_atb_error、 ps_pl_irq_dpdma、ps_pl_irq_apm_fpd、 ps_pl_irq_gpu、 ps_pl_irq_sata、 ps_pl_irq_xmpu_fpd、 ps_pl_irq_apu_cpumnt、 ps_pl_irq_apu_cti、ps_pl_irq_apu_pmu、 ps_pl_irq_apu_comm、 ps_pl_irq_apu_l2err、 ps_pl_irq_apu_exterr、 ps_pl_irq_apu_regs、ps_pl_irq_intf_ppd_cci、 ps_pl_irq_intf_fpd_smmu、 ps_pl_irq_atb_err_lpd、 ps_pl_irq_aib_axi、 ps_pl_irq_ams、ps_pl_irq_lpd_apm ps_pl_irq_rtc_alaram、 ps_pl_irq_rtc_seconds、 ps_pl_irq_clkmon、 ps_pl_irq_pl_ipi、ps_pl_irq_rpu_ipi、 ps_pl_irq_apu_ipi、 ps_pl_irq_rpu_pm、 ps_pl_irq_ocm_error、 ps_pl_irq_lpd_apb_intr、ps_pl_irq_r5_core0_ecc_error、 ps_pl_irq_r5_core1_ecc_error で構成されます。

• spi または spi* sson は、 個々の信号 spi*_ss2_o、 spi*_ss1_o、 spi*_ss_o で構成されます。

I/O ペリフェラル

I/O ペリ フェラル (IOP) には次が含まれます。

• クアッ ド シ リ アル ペリ フェラル インターフェイス (SPI) フラ ッシュ メモ リ

• NAND フラ ッシュ

• UART

• I2C

• SPI フラ ッシュ メモ リ

• セキュア デジタル入力/出力 (SDIO)

• 汎用 I/O (GPIO)

• コン ト ローラー エリ ア ネッ ト ワーク (CAN)

• USB

• イーサネッ ト

『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] で説明されているよ うに、 これらの I/O ペリ フェラル (IOP) 用のインターフェイスは、MIO ポートおよび Extended Multiplexed I/O (EMIO) インターフェイスに配線可能です。

• PS 内で利用可能な低電力ド メ イン (LPD) ペリ フェラルには、 次があ り ます。

° 4 X ギガビッ ト イーサネッ ト

° 2 X USB3

° 2 X SDIO

° 2 X SPI

° 2 X CAN

° 2 X I2C

Page 9: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 9

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 :製品仕様

° 2 X UART

° NAND コン ト ローラー

° クアッ ド SPI フラ ッシュ メモ リ

° コン ト ローラー、 GPIO

° システム モニター

• PS 内で利用可能なフル電力ド メ イン (FPD) ペリ フェラルには、 次があ り ます。

° PCIe® Gen2

° 2 X Serial Advanced Technology Attachment (SATA)

° DisplayPort V1.2

MIO ポート

Zynq UltraScale+ MPSoC デザイン ツールを使用して、 コアの MIO ポート をコンフ ィギュレーシ ョ ンできます。 プロセッシング システムから 大 78 の MIO ポートが利用可能です。 MIO ポートに接続されるペリ フェラル ポートはウ ィザードで選択できます。

Extended MIO ポート

利用可能な MIO ポートは 大 78 個しかないため、 その数を超えるペリ フェラル I/O ポートは、 Extended MIO (EMIO) インターフェイスを介してプログラマブル ロジッ クに配線するこ とにな り ます。 IOP インターフェイスをプログラマブル ロジッ クに配線する代替経路を使用するこ とで、 プロセッシング システムで利用可能な IOP を十分に活用できます。

I2C、 SPI フラ ッシュ メモ リ、 イーサネッ ト管理データ入力/出力 (MDIO)、 ARM® JTAG (PJTAG)、 SDIO、 GPIO ト ライステート イネーブル信号用の EMIO は、 Zynq UltraScale+ MPSoC Processing System コア内で反転されます。

Zynq UltraScale+ MPSoC Processing System コアでは、 大 96 ビッ ト までの GPIO を選択できます。 Zynq UltraScale+ MPSoC Processing System は、 ユーザーが選択した、 プロセッシング システムへのフローの幅を調整する制御ロジックを備えています。

第 4 章の 「MIO Voltage Standard」 を参照してください。

AXI4 I/O 準拠インターフェイス

AMBA® AXI4 準拠インターフェイスには、 次があ り ます。

• 3 個の PS 汎用マスター インターフェイス (設定可能な幅は 32、 64、 128 ビッ ト )。 デフォルトは 128 ビッ トです。

• 7 個の PL 汎用マスター インターフェイス (設定可能な幅は 32、 64、 128 ビッ ト )。 デフォルトは 128 ビッ トです。

• A53 L1 および L2 キャ ッシュ システムに対するコ ヒーレン ト I/O 用の 128 ビッ ト PL マスター AXI Coherency Extension (ACE) インターフェイス。

• PL マスターからの L2 キャ ッシュ アロケーシ ョ ンをサポートする、 128 ビッ ト PL マスター ACP インターフェイス。 64 バイ ト キャ ッシュ ラインの転送専用です。

第 4 章の 「PS-PL コンフ ィギュレーシ ョ ン」 を参照してください。

Page 10: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 10

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 :製品仕様

Vivado Design Suite IP ‐ Processing System インターフェイス用のロジック

Zynq UltraScale+ MPSoC Processing System コアによって、 プロセッシング システムと インターフェイスするための Vivado® IP コアをプログラマブル ロジッ ク内に追加できます。 PL 内にカスタム ダイレク ト メモ リ アクセス (DMA) 機能をインプ リ メン ト し、 プロセッサの介入とは無関係にデータ転送を管理できます。

プログラマブル ロジックのクロックと割り込み

プロセッシング システムの I/O ペリ フェラル (IOP) からの割り込みは、 PL に転送され、 fclk ク ロ ッ クに対して非同期でアサート します。

PL は、 PS に対する 大 20 個の割り込みを非同期でアサートできます。

• 16 個の割り込み信号は割り込みコン ト ローラーにペリ フェラル割り込みと してマップされており、 それぞれに特定の優先度が割り当てられた後、 1 つまたは両方の CPU にマップされます。 2 つ以上の割り込み信号を使用するには、 Vivado IP インテグレーター内で Concat ブロ ッ クを使用して、 割り込みベクターの幅を自動的に決めます。

• 残り 4 つの PL 割り込み信号は反転され、 nFIQ および nIRQ 割り込みに直接接続されて割り込みコン ト ローラーのプライベート ペリ フェラル割り込み (PPI) ユニッ トに入力されます。 2 つの CPU のそれぞれに、 nFIQ 割り込みと nIRQ 割り込みが 1 つずつあり ます。

表 2-1 に、 PS と PL 間の割り込みの一覧を示します。 割り込み信号の詳細は、 『Zynq UltraScale+ MPSoC テクニカルリ ファレンス マニュアル』 (UG1085) [参照 1] の 「割り込み」 の章を参照してください。

Vivado Design Suite によるインプ リ メ ンテーシ ョ ンについては、 第 4 章の 「PS-PL コンフ ィギュレーシ ョ ン」 を参照してください。

表 2‐1 : PS Configuration Wizard (PCW) の割り込みマップ

信号 # 割り込み ID 割り込み名 説明 タイプ

PL‐PS 割り込み (PL から  PS への割り込み)

1 121-128、137-144

IRQ-F2P[15:0]

PL ロジッ クから リ アルタイム プロセッシング ユニッ ト (RPU) またはアプリ ケーシ ョ ン プロセッシング ユニッ ト (APU) の GIC への共有割り込み

共有割り込み

2 31 A53-Core_0 nIRQ Cortex™ A53 コア 0 プライベート ペリ フェラル レガシ IRQ 割り込み

プライベート ペリ フェラル割り込み

3 31 A53-Core_1 nIRQ Cortex A53 コア 1 プライベート ペリ フェラル レガシ IRQ 割り込み

プライベート ペリ フェラル割り込み

4 31 A53-Core_2 nIRQ Cortex A53 コア 2 プライベート ペリ フェラル レガシ IRQ 割り込み

プライベート ペリ フェラル割り込み

5 31 A53-Core_3 nIRQ Cortex A53 コア 3 プライベート ペリ フェラル レガシ IRQ 割り込み

プライベート ペリ フェラル割り込み

6 28 A53-Core_0 nFIQ Cortex A53 コア 0 プライベート ペリ フェラル レガシ FIQ 割り込み

プライベート ペリ フェラル割り込み

7 28 A53-Core_1 nFIQ Cortex A53 コア 1 プライベート ペリ フェラル レガシ FIQ 割り込み

プライベート ペリ フェラル割り込み

Page 11: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 11

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 :製品仕様

8 28 A53-Core_2 nFIQ Cortex A53 コア 2 プライベート ペリ フェラル レガシ FIQ 割り込み

プライベート ペリ フェラル割り込み

9 28 A53-Core_3 nFIQ Cortex A53 コア 3 プライベート ペリ フェラル レガシ FIQ 割り込み

プライベート ペリ フェラル割り込み

PS‐PL 割り込み (PS から  PL への割り込み)

1 1 IRQ_P2F_RPU パフォーマンス モニター 0

RPU パフォーマンス モニター 0 割り込み 共有割り込み

2 1 IRQ_P2F_RPU パフォーマンス モニター 1

RPU パフォーマンス モニター 1 割り込み 共有割り込み

3 1 IRQ_P2F_OCM エラー オンチップ RAM (OCM) エラー割り込み 共有割り込み

4 1 IRQ_P2F_LPD APB 割り込み

LPD からのすべての AMBA ペリ フェラル バス (APB) 割り込みの論理和。 APB 割り込みおよびレジスタの詳細は、 テクニカル リ ファレンス マニュアルを参照してください。

共有割り込み

5 1 IRQ_P2F_R5 Core0_ECC_ErrorRPU CPU0 誤り訂正符号

(ECC) エラー割り込み。CPU0 のすべての ECC 割り込みは、 この割り込みに集約されます。

共有割り込み

6 1 IRQ_P2F_R5 Core1_ECC_ErrorRPU CPU1 ECC エラー割り込み。 CPU1 のすべての ECC 割り込みは、 この割り込みに集約されます。

共有割り込み

7 1 IRQ_P2F_NAND NAND/NOR/SRAM スタティ ッ ク メモ リ コント ローラー割り込み

共有割り込み

8 1 IRQ_P2F_QSPI SPI フラ ッシュ メモ リ割り込み 共有割り込み

9 1 IRQ_P2F_GPIO GPIO 割り込み 共有割り込み

10 1 IRQ_P2F_I2C0 I2C0 割り込み 共有割り込み

11 1 IRQ_P2F_I2C1 I2C1 割り込み 共有割り込み

12 1 IRQ_P2F_SPI0 SPI0 割り込み 共有割り込み

13 1 IRQ_P2F_SPI1 SPI1 割り込み 共有割り込み

14 1 IRQ_P2F_UART0 UART0 割り込み 共有割り込み

15 1 IRQ_P2F_UART1 UART1 割り込み 共有割り込み

16 1 IRQ_P2F_CAN0 CAN0 割り込み 共有割り込み

17 1 IRQ_P2F_CAN1 CAN1 割り込み 共有割り込み

18 1 IRQ_P2F_LPD_APM すべての LPD AXI パフォーマンス モニター (APM) の論理和

共有割り込み

19 1 IRQ_P2F_RTC_ALARM RTC アラーム割り込み 共有割り込み

20 1 IRQ_P2F_RTC_SECONDS RTC 秒割り込み 共有割り込み

21 1 IRQ_P2F_CLKMON CRL からのクロ ッ ク モニター 共有割り込み

22 1 IRQ_P2F_PL_IPI0 RPU PL0 をターゲッ ト とするすべてのプロセッサ間割り込み (IPI) の論理和

共有割り込み

表 2‐1 : PS Configuration Wizard (PCW) の割り込みマップ (続き)

信号 # 割り込み ID 割り込み名 説明 タイプ

Page 12: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 12

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 :製品仕様

23 1 IRQ_P2F_PL_IPI1 RPU PL1 をターゲッ ト とするすべての IPI の論理和

共有割り込み

24 1 IRQ_P2F_PL_IPI2 RPU PL2 をターゲッ ト とするすべての IPI の論理和

共有割り込み

25 1 IRQ_P2F_PL_IPI3 RPU PL3 をターゲッ ト とするすべての IPI の論理和

共有割り込み

26 1 IRQ_P2F_RPU_IPI0 RPU CPU0 をターゲッ ト とするすべての IPI の論理和

共有割り込み

27 1 IRQ_P2F_RPU_IPI1 RPU CPU1 をターゲッ ト とするすべての IPI の論理和

共有割り込み

28 1 IRQ_P2F_APU_IPI0 APU CPU をターゲッ ト とするすべての IPI の論理和

共有割り込み

29 1 IRQ_P2F_TTC0_0 ト リプル タイマー 0 カウンター 0 の割り込み 共有割り込み

30 1 IRQ_P2F_TTC0_1 ト リプル タイマー 0 カウンター 1 の割り込み 共有割り込み

31 1 IRQ_P2F_TTC0_2 ト リプル タイマー 0 カウンター 2 の割り込み 共有割り込み

32 1 IRQ_P2F_TTC1_0 ト リプル タイマー 1 カウンター 0 の割り込み 共有割り込み

33 1 IRQ_P2F_TTC1_1 ト リプル タイマー 1 カウンター 1 の割り込み 共有割り込み

34 1 IRQ_P2F_TTC1_2 ト リプル タイマー 1 カウンター 2 の割り込み 共有割り込み

35 1 IRQ_P2F_TTC2_0 ト リプル タイマー 2 カウンター 0 の割り込み 共有割り込み

36 1 IRQ_P2F_TTC2_1 ト リプル タイマー 2 カウンター 1 の割り込み 共有割り込み

37 1 IRQ_P2F_TTC2_2 ト リプル タイマー 2 カウンター 2 の割り込み 共有割り込み

38 1 IRQ_P2F_TTC3_0 ト リプル タイマー 3 カウンター 0 の割り込み 共有割り込み

39 1 IRQ_P2F_TTC3_1 ト リプル タイマー 3 カウンター 1 の割り込み 共有割り込み

40 1 IRQ_P2F_TTC3_2 ト リプル タイマー 3 カウンター 2 の割り込み 共有割り込み

41 1 IRQ_P2F_SDIO0 SDIO0 割り込み 共有割り込み

42 1 IRQ_P2F_SDIO1 SDIO1 割り込み 共有割り込み

43 1 IRQ_P2F_SDIO0_wake SDIO0 ウェークアップ割り込み 共有割り込み

44 1 IRQ_P2F_SDIO1_wake SDIO1 ウェークアップ割り込み 共有割り込み

45 1 IRQ_P2F_LP_WDTLPD (IOU) 内のウォッチド ッグ タイマー (WDT)

(IOU は入力/出力ユニッ ト )

共有割り込み

46 1 IRQ_P2F_CSUPMU_WDTコンフィギュレーション セキュ リティ ユニッ ト パフォーマンス モニター ユニッ ト (CSUPMU) 内の WDT

共有割り込み

47 1 IRQ_P2F_ATB Err LPD AMBA ト レース バス (ATB) 割り込み 共有割り込み

48 1 IRQ_P2F_AIB_AXI AXI アイソレーシ ョ ン ブロ ッ ク (AIB) AXI 割り込み

共有割り込み

49 1 IRQ_P2F_AMS アナログ ミ ッ クス ド シグナル ユニッ ト (AMS) 割り込み

共有割り込み

50 1 IRQ_P2F_GigabitEth0 イーサネッ ト 0 割り込み 共有割り込み

表 2‐1 : PS Configuration Wizard (PCW) の割り込みマップ (続き)

信号 # 割り込み ID 割り込み名 説明 タイプ

Page 13: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 13

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 :製品仕様

51 1IRQ_P2F_GigabitEth_Wake0 イーサネッ ト 0 ウェークアップ割り込み

共有割り込み

52 1 IRQ_P2F_GigabitEth1 ギガビッ ト イーサネッ ト 1 割り込み 共有割り込み

53 1IRQ_P2F_GigabitEth_wakeup1

ギガビッ ト イーサネッ ト 1 ウェークアップ割り込み

共有割り込み

54 1 IRQ_P2F_GigabitEth2 ギガビッ ト イーサネッ ト 2 割り込み 共有割り込み

55 1IRQ_P2F_GigabitEth2_wakeup

ギガビッ ト イーサネッ ト 2 ウェークアップ割り込み

共有割り込み

56 1 IRQ_P2F_GigabitEth3 ギガビッ ト イーサネッ ト 3 割り込み 共有割り込み

57 1IRQ_P2F_GigabitEth3_wake up

ギガビッ ト イーサネッ ト 3 ウェークアップ割り込み

共有割り込み

58 4 IRQ_P2F_USB3_0_Endpoint

USB3_0 エンドポイン ト関連割り込み。 4 つの割り込みが有効です。 バルク、 アイ ソ ク ロナス、 インタラプ ト、 制御の各タイプごとに 1 つの割り込みがあ り ます。

共有割り込み

59 1 IRQ_P2F_USB3_0_OTG USB3_0 OTG 割り込み 共有割り込み

60 4 IRQ_P2F_USB3_1_Endpoint

USB3_1 エンドポイン ト関連割り込み。 4 つの割り込みが有効です。 バルク、 アイ ソ ク ロナス、 インタラプ ト、 制御の各タイプごとに 1 つの割り込みがあ り ます。

共有割り込み

61 1 IRQ_P2F_USB3_1_OTG USB3_1 OTG 割り込み 共有割り込み

62 1IRQ_P2F_USB3_0_1 PMU_WAKEUP

ビッ ト 0 は USB3_0 から電源モニター ユニット (PMU) へのウェークアップ、 ビッ ト 1 は USB3_1 から PMU へのウェークアップ

共有割り込み

63 1 IRQ_P2F_ADMA_Chan_0 ACP DMA (ADMA) チャネル 0 割り込み 共有割り込み

64 1 IRQ_P2F_ADMA_Chan_1 ADMA チャネル 1 割り込み 共有割り込み

65 1 IRQ_P2F_ADMA_Chan_2 ADMA チャネル 2 割り込み 共有割り込み

66 1 IRQ_P2F_ADMA_Chan_3 ADMA チャネル 3 割り込み 共有割り込み

67 1 IRQ_P2F_ADMA_Chan_4 ADMA チャネル 4 割り込み 共有割り込み

68 1 IRQ_P2F_ADMA_Chan_5 ADMA チャネル 5 割り込み 共有割り込み

69 1 IRQ_P2F_ADMA_Chan_6 ADMA チャネル 6 割り込み 共有割り込み

70 1 IRQ_P2F_ADMA_Chan_7 ADMA チャネル 7 割り込み 共有割り込み

71 1 IRQ_P2F_CSU デバイス コンフ ィギュレーシ ョ ン モジュールの割り込み

共有割り込み

72 1 IRQ_P2F_CSU_DMA コンフ ィギュレーシ ョ ンおよびセキュ リティ ユニッ ト (CSU) 割り込みの DMA

共有割り込み

73 1 IRQ_P2F_EFUSE EFUSE 割り込み 共有割り込み

74 1 IRQ_P2F_XMPU_LPD LPD のザイ リ ンクス メモ リ保護ユニッ ト (XMPU) エラー割り込み

共有割り込み

75 1 IRQ_P2F_DDR_SS DDR コン ト ローラー サブシステムの割り込み 共有割り込み

76 1 IRQ_P2F_FP_WDT 上位ウォッチド ッグ タイマー割り込み 共有割り込み

表 2‐1 : PS Configuration Wizard (PCW) の割り込みマップ (続き)

信号 # 割り込み ID 割り込み名 説明 タイプ

Page 14: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 14

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 :製品仕様

Zynq UltraScale+ MPSoC Processing System コアは、 ロジッ クを使用して PL 割り込みを処理します。 割り込みの数は、ユーザーの選択に応じて 1 ~ 16 個です。 IRQ_F2P に接続される割り込みの数が計算され、 ロジッ クは割り込みの割り当てが正しい順序であるこ とを保証します。

IOP からの Zynq UltraScale+ MPSoC Processing System 割り込みは、 PL 内のカスタム マスター インターフェイスから利用可能です。

77 1 IRQ_P2F_PCIE_MSI

PCIE_MSI[0] = MSI ベクター 31 ~ 0 に対する PCIe 割り込み

PCIE_MSI[1] = MSI ベクター 63 ~ 32 に対する PCIe 割り込み

共有割り込み

78 1 IRQ_P2F_PCIE_Legacy PCIE レガシ (INTA/BC/D) 割り込み 共有割り込み

79 1 IRQ_P2F_PCIE_DMA PCIE ブリ ッジ DMA 割り込み 共有割り込み

80 1 IRQ_P2F_PCIE_MSC PCIE のその他の (エラーなどの) 割り込み 共有割り込み

81 1 IRQ_P2F_DPORT DisplayPort の汎用割り込み 共有割り込み

82 1 IRQ_P2F_FPD_APB_INT LPD からのすべての APB 割り込みの論理和 共有割り込み

83 1 IRQ_P2F_FPD ATB エラー FPD の ATB 割り込み 共有割り込み

84 1 IRQ_P2F_DPDMA 割り込み DPDMA 割り込み 共有割り込み

85 1 IRQ_P2F_APM FPD FPD のすべての APM の論理和 共有割り込み

86 1 IRQ_P2F_GDMA_Chan_0 汎用 DMA (GDMA) チャネル 0 からの割り込み 共有割り込み

87 1 IRQ_P2F_GDMA_Chan_1 GDMA チャネル 1 からの割り込み 共有割り込み

88 1 IRQ_P2F_GDMA_Chan_2 GDMA チャネル 2 からの割り込み 共有割り込み

89 1 IRQ_P2F_GDMA_Chan_3 GDMA チャネル 3 からの割り込み 共有割り込み

90 1 IRQ_P2F_GDMA_Chan_4 GDMA チャネル 4 からの割り込み 共有割り込み

91 1 IRQ_P2F_GDMA_Chan_5 GDMA チャネル 5 からの割り込み 共有割り込み

92 1 IRQ_P2F_GDMA_Chan_6 GDMA チャネル 6 からの割り込み 共有割り込み

93 1 IRQ_P2F_GDMA_Chan_7 GDMA チャネル 7 からの割り込み 共有割り込み

94 1 IRQ_P2F_GPU すべての GPU 割り込みの論理和 共有割り込み

95 1 IRQ_P2F_SATA SATA コン ト ローラーの割り込み 共有割り込み

96 1 IRQ_P2F_XMPU FPD すべての FPD に対する XMPU エラー割り込み 共有割り込み

97 4 IRQ_P2F_APU_CPUMNT VCPUMT 共有割り込み

98 4 IRQ_P2F_APU_CTI ク ロス ト リ ガー インターフェイス (CTI) 共有割り込み

99 4 IRQ_P2F_APU_PMU パフォーマンス モニター ユニッ ト割り込み 共有割り込み

100 4 IRQ_P2F_APU_COMM APU 通信エラー 共有割り込み

101 1 IRQ_P2F_APU_L2ERR L2 エラー 共有割り込み

102 1 IRQ_P2F_APU_EXTERR EXTERR (外部エラー ) 共有割り込み

103 1 IRQ_P2F_APU_REGS レジスタ割り込み 共有割り込み

104 1 IRQ_P2F_INTF_PPD_CCI FPD からのキャ ッシュ コ ヒーレン ト インターコネク ト (CCI) 割り込み

共有割り込み

105 1 IRQ_P2F_INTF_FPD_SMMU FPD からのシステム メモ リ管理ユニッ ト (SMMU) 割り込み

共有割り込み

表 2‐1 : PS Configuration Wizard (PCW) の割り込みマップ (続き)

信号 # 割り込み ID 割り込み名 説明 タイプ

Page 15: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 15

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 :製品仕様

PL クロック

Zynq UltraScale+ MPSoC Processing System は、4 つのクロ ッ クを PL に提供します。Zynq UltraScale+ MPSoC Processing System によって、 PL 内で使用されるこれらのクロ ッ クのコンフ ィギュレーシ ョ ンが可能です。 Zynq UltraScale+ MPSoC Processing System は、 C_FCLK_CLK0_BUF などのパラ メーターによって各 PL ク ロ ッ ク用の BUFG を挿入します。 ファブ リ ッ ク ク ロ ッ クは、 デフォルトで 100MHz に設定されます。

規格

Zynq UltraScale+ MPSoC Processing System コアは、AXI4 インターフェイス と互換性があ り ます。AXI インターフェイスは、 ARM コアに接続された AXI4 準拠マスターまたはスレーブによって使用されます。

『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] の 「インターコネク ト 」 の章を参照してください。

パフォーマンス

『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] の「プログラマブル ロジッ ク デザイン ガイ ド」 の章の 「PL およびメモリ システムのパフォーマンスの概要」 を参照してください。

最大周波数

『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] を参照してください。

レイテンシ

『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] を参照してください。

スループッ ト

『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] を参照してください。

消費電力

『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] を参照してください。

リソース使用率

Zynq UltraScale+ MPSoC はハード IP コアであるため、 このセクシ ョ ンはこのコアには適用されません。

Page 16: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 16

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 :製品仕様

ポートの説明

付録 B 「ポートの説明」 に、 すべてのポートの表が記載されています。

レジスタ空間

注記 : レジスタの詳細は、『Zynq UltraScale+ MPSoC レジスタ リ ファレンス』 (UG1087) [参照 2] を参照してください。

Zynq UltraScale+ MPSoC Processing System コアは、 AXI FIFO Interface (AFI) インターフェイスを介して、 PL マスターから PS の内部ペリ フェラルおよびメモ リへのアクセスを提供します。 Vivado IP インテグレーター アドレス エディターは、 各種のアドレス セグメン ト と各スレーブ インターフェイスの固定アドレスを提供します。 アドレス セグメン トの利用可能性は、 次のアドレス指定パラ メーターによって制御されます。

• Detailed IOP address space : PS 内部ペリ フェラルに個々のアドレス空間を提供します。

• Allow access to PS/SLCR registers : PS およびシステム レベル制御レジスタ (SLCR) レジスタ空間へのアドレス マッピングを許可します。

• Detailed PS/SLCR address space : PS/SLCR レジスタに個々のアドレス空間を提供します。

PL からアクセスできる PS アドレス空間は、 DDR、 OCM、 スタティ ッ ク メモ リ コン ト ローラー (SMC) メモ リ、SLCR レジスタ、 PS I/O ペリ フェラル レジスタ、 PS システム レジスタで構成されます。 詳細は、 『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] の 「システム アドレス」 の章を参照してください。

Page 17: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 17

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 3章

コアを使用するデザインこの章では、 コアを使用した設計をよ り容易にするためのガイ ド ラインおよび追加情報を紹介します。

一般的なデザイン  ガイド ライン

Zynq® UltraScale+™ Processing System コアと PL 側ペリ フェラル間のアクセスに使用されるインターフェイスは 3 種類あり ます。 詳細は、 『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] の 「インターコネク ト 」 の章の 「AXI_HP インターフェイス」 および 「AXI_ACP インターフェイス」 を参照してください。

割り込み

IP インテグレーター内で複数の割り込みを接続するには、 Concat ブロ ッ クを使用して、 コアへの接続の前に個々の信号を結合します。 割り込みポートは、 Concat ブロ ッ クによって得られる出力に合わせて自動的に拡張されます。

クロッキング

ク ロ ッ ク グループには次の 4 つがあ り ます。

• メ イン ク ロ ッ ク グループ (MCG)。 このグループは 5 つの PLL を備えています。

° I/O PLL

° RPU PLL

° APU PLL

° DDR PLL

° ビデオ PLL

• セキュア ク ロ ッ ク グループ (SCG)。 このグループは 2 つの PLL を備えています。

° eFuse

° PMU

• RTC ク ロ ッ ク グループ (RCG)。 バッテ リ電源ユニッ ト (BPU) 内の RTC に明示的に提供されるク ロ ッ クが 1 つあり ます。

• インターフェイス ク ロ ッ ク グループ (ICG)。 このグループには、 物理層側インターフェイス (PHY) および PL からのクロ ッ クのよ うに、 外部で提供されるク ロ ッ クがあ り ます。

PL 側ペリ フェラルは、ファブ リ ッ ク ク ロ ッ ク (FCLK_CLK0…3) によって動作できます。 これらのクロ ッ クは、0.1 ~250MHz の周波数範囲を生成します。

Page 18: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 18

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : コアを使用するデザイン

リセッ ト

適用可能な リセッ トが多数あ り ます。

• 外部パワーオン リセッ ト (POR) - 外部ピンのアサートによって ト リガーされます。

• 内部 POR - ソフ ト ウェア レジスタの書き込みまたは安全性エラーによって ト リガーされます。

• システム リセッ ト - 外部ピンのアサート、 レジスタの書き込み、 または安全性エラーによって ト リガーされます。 この リセッ トはデバッグ ロジッ クを リセッ ト しません。

• PS システム リセッ ト - ハードウェア エラーまたはレジスタの書き込みによって ト リガーされます。 PS のみがリセッ ト され、 PL はアクティブなままにな り ます。

• PS POR リセッ ト - 外部 POR リセッ ト と同様ですが、 PS にのみ作用します。

• フル電源サブシステム (FPS) リセッ ト - エラーまたはレジスタの書き込みによって ト リガーされ、 フル電源ド メインの リセッ トに使用されます。

• RPU リセッ ト - エラーまたはレジスタの書き込みによって ト リガーされ、 RPU を明示的にリセッ ト します。

第 4 章の 「Fabric Reset Enable」 を参照してください。 個々のリセッ トの詳細は、 『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] を参照してください。

Page 19: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 19

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4章

デザイン フローの手順この章では、 コアのカスタマイズと生成、 制約、 およびシ ミ ュレーシ ョ ン/合成/インプリ メンテーシ ョ ンの手順について説明します。 一般的な Vivado® デザイン フローおよび IP インテグレーターの詳細は、 次の Vivado Design Suite ユーザー ガイ ドを参照してください。

• 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 3]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 4]

• 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 5]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 6]

コアのカスタマイズおよび生成

このセクシ ョ ンでは、 Vivado Design Suite を使用してコアを生成およびカスタマイズする方法について説明します。

Vivado IP インテグレーターでコアをカスタマイズおよび生成する場合は、 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 3] を参照して ください。 このセクシ ョ ンで説明するよ うに、 デザインの検証または生成時に一部の設定値が IP インテグレーターによって自動的に計算される場合があ り ます。 値が変わるかど うかを確認するには、 この章のパラ メーターの説明を参照してください。 パラ メーター値を確認するには、 Tcl コンソールから validate_bd_design コマンドを実行してください。

この IP コアはユーザー デザインに合わせてカスタマイズできます。 それには、 IP インテグレーター内で IP コアに関連する各種パラ メーターの値を次の手順に従って指定します。

1. Vivado IP カタログから IP を選択します。

2. 選択した IP をダブルク リ ッ クするか、 ツールバーまたは右ク リ ッ ク メニューから [Customize IP] コマンドをクリ ッ ク します。

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 4] および 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 5] を参照してください。

ブロ ッ ク図を示す [Zynq UltraScale+ Block Design] ページがウ ィンド ウに表示されます (図 4-1)。 ブロ ッ ク図の内容を確認します。 ブロ ッ ク図の緑色のブロ ッ クはコンフ ィギュレーシ ョ ン可能です。

ヒン ト : 対応するコンフ ィギュレーシ ョ ン ページを開くには、 緑色のブロ ッ クをク リ ッ クするか、 または左側の Page Navigator でそのページを選択します。

注記 : この章の図には Vivado 統合設計環境 (IDE) のスク リーンシ ョ ッ トが使用されていますが、現在のバージ ョ ンとはレイアウ トが異なる場合があ り ます。

Page 20: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 20

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

PS Zynq UltraScale+ MPSoC のブロック  デザイン

[PS UltraScale™ Block Design] ページの色分けには次の意味があ り ます。

• 明るい緑色は低電力ド メ インを示します。

• 明るいオレンジ色はフル電力ド メ インを示します。

• 濃い緑色はユーザーが設定可能なコンポーネン ト を示します。

X-Ref Target - Figure 4-1

図 4‐1 : [PS UltraScale+ Block Design] ページ 

Page 21: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 21

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

I/O コンフ ィギュレーシ ョ ン

このページは、 PS コンポーネン トのインターフェイスの個々の信号に対するピン割り当てを示します。 これらの信号に属性を割り当てるこ とができます。 次のサブセクシ ョ ンを参照してください。

MIO Voltage Standard

これらの I/O ピンは、 それぞれ必要に応じて MIO、 EMIO、 または GT レーンを介して配線できます。 各ペリ フェラル ピンは、 必要に応じて 78 個の MIO の一部を介して配線できます。 あるいは、 各ペリ フェラルからの同じピンを EMIO 信号に配線し、 デバイスの PL 部分に信号を転送してさ らに処理させるこ と も可能です。

MIO および EMIO の詳細は、『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] の第 26 章 「Multiplexed I/O」 を参照して ください。

ペリ フェラル ピンアウ トに使用できる MIO は、 バンク 0 (MIO 0 ~25)、 バンク 1 (MIO 26 ~ 51)、 バンク 2 (MIO 52 ~ 77) の 3 つのバンクに分けられます。 各バンクは、 そのバンクのすべての MIO に共通の I/O 電圧規格に従います。そのデフォルト値は LVCMOS33 です。

Peripheral

Low Speed

• Memory Interface : PS 内にあるスタティ ッ ク メモ リ コン ト ローラーです。

• I/O Peripherals : PS 内にある I/O ペリ フェラルです。

• Application Processing Unit : ウォッチド ッグ タイマー、 ト レース / ト リプル タイマー カウンターなどの APU 固有のリ ソースです。

High Speed

PCIe、 SATA、 ギガビッ ト イーサネッ ト モジュール (GEM) (SGMII モード )、 DisplayPort、 USB 3.0 などの高速ペリフェラルからのピンは、 I/O の列で適切な GT レーンを選択するこ とで SERDES に配線できます。

X-Ref Target - Figure 4-2

図 4‐2 : [I/O Configuration] ページ

Page 22: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 22

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

[I/O Configuration] の列

• I/O – 各ペリ フェラルの I/O ピンの設定に使用されます。

• Signal – 各 I/O ピンによって駆動される信号に関する情報を表示します。

• I/O Type – CMOS/Schmitt。 入力 I/O 電圧タイプと して CMOS または Schmitt を選択します。 Schmitt 電圧タイプは、 CMOS 電圧タイプよ り も高いノ イズ耐性を備えています。

• Drive Strength (mA) – 駆動電流の選択に使用されます。 有効な値は、 2、 4、 8、 および 12 です。

• Speed – Fast/Slow。 スルー レートに基づいて、高速デバイスか低速デバイスかを指定します。 スルー レートが 0 の場合は、 高速デバイスです。 それ以外の場合は、 低速デバイスです。

• Pull Type – デバイスの有効化/無効化と、 プルアップ/プルダウンの選択に使用されます。 有効な値は、 [pullup]、[pulldown]、 [disable] です。

• Direction – 特定の信号の方向を固定できます。

クロック  コンフ ィギュレーシ ョ ン

このページでは、 ペリ フェラル ク ロ ッ ク、 ファブ リ ッ ク ク ロ ッ ク、 DDR ク ロ ッ ク、 CPU ク ロ ッ クを設定できます。PCW には [Automatic Mode] と [Manual Mode] の 2 つのオプシ ョ ンがあ り、関連する各種のクロ ッ クを設定できます。

Automatic Mode

このモードでは、 要求された周波数に も近い有効な値が得られるよ うに、 ツールが M (逓倍) と D (分周) の値を自動的に計算します。 ユーザーは希望の周波数を入力する必要があ り ます。 ツールは内部で計算を実行し、 実際の周波数を求めます。

X-Ref Target - Figure 4-3

図 4‐3 : [Automatic Mode] の [Clock Configuration] ページ

Page 23: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 23

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

PLL reference Clocks

• Input reference frequency – オンボードのクロ ッ ク ソースが提供するクロ ッ クの周波数です。 基準クロ ッ クには、PS_REF_CLK、 PSS_ALT_REF_CLK、 VIDEO_REF_CLK の 3 つがあ り ます。

• PLL source select – APLL、 DPLL、 VPLL、 IOPLL、 RPLL の 5 つの PLL への入力ソース ク ロ ッ ク周波数です。PS_REF_CLK、 PS_ALT_REF_CLK、 または VIDEO_REF_CLK のいずれかを選択します。

• Interconnect and Switch clocks – PS 内部のインターコネク トおよびスイ ッチによって使用されるクロ ッ クです。

Low Power Domain Clocks

• Processor/Memory Clocks – CPU_R5 プロセッサのクロ ッ ク コンフ ィギュレーシ ョ ン。

• Interconnect and Switch clocks – PS 内部のインターコネク トおよびスイ ッチによって使用されるクロ ッ クです。

• PL Fabric Clocks – PS によって生成される、 PL ファブリ ッ ク (PL0、 PL1、 PL2、 PL3) へのクロ ッ クです。

• System Debug Clocks – デバッグ モジュール DBG_LPD のクロ ッ ク コンフ ィギュレーシ ョ ン。

Full Power Domain Clocks

• Processor/Memory Clocks – ARM® Cortex™-9 CPU (ACPU)、 GPU、 DDR のクロ ッ ク コンフ ィギュレーシ ョ ン。

• SERDES IO Clocks – ビデオおよび高速 I/O (PCIE、 SATA、 DP_VIDEO、 DP_AUDIO、 DP_STC) のクロ ッ ク コンフ ィギュレーシ ョ ン。

• Interconnect and Switch clocks – PS 内部のインターコネク トおよびスイ ッチによって使用されるクロ ッ クです。

• System Debug Clocks – デバッグ モジュール (DBG_FPD、 DBG_TRACE、 DBG_TSTMP) のクロ ッ ク コンフ ィギュレーシ ョ ン。

• GT Lane clocking高速 I/O ペリ フェラルに使用できる GT レーンは 4 つあり ます (GT レーン # (# -> 0 ~ 3))。 4 つの GT レーン用に、 4 つの基準クロ ッ クがあ り ます。 4 つの GT レーンすべてに同じ基準クロ ッ クを使用するこ と も、 個々の GT レーンに個別の基準クロ ッ クを使用するこ と もできます。基準クロ ッ クは、 PCW の [Advanced Configuration] ウ ィンド ウで選択できます。 これらの基準クロ ッ クの周波数は、 [Clock Configuration] ページの [Automatic Mode] で設定できます。

[Clock Configuration] ページで [Manual Mode] に進み、 [Enable Manual Mode] をオンにします。 これで自動設定の値を無効にできます。

[Automatic Mode] の [Clock Configuration] の列

• Source – 対応するペリ フェラルのソース PLL です。

• Requested Freq (MHz) – 対応するペリ フェラルで要求される入力周波数です。

• Actual Freq (MHz) – Processor Configuration Wizard によって計算される実際の周波数です。 クロ ッキング アルゴリズムは、 複数の要因 (ペリ フェラル、 PLL、 優先度) を考慮に入れます。 したがって、 場合によっては、 実際の周波数は入力周波数とは異なり ます。

• Range (MHz) – 対応するペリ フェラルの動作周波数の 小/ 大範囲です。

Page 24: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 24

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

Manual Mode

このモードでは、 ユーザーが M と D の値を設定して希望の周波数を求める必要があ り ます。 このモードが有効にされる と、 自動モードで要求された値は無効になり ます。

注記 :手動モードでクロ ッ ク周波数/分周値を変更するには、 自動モードで対応するクロ ッ クが有効になっている必要があ り ます。

PLL Multiplier

• PLL – PS 内で利用可能な 5 つの PLL (APLL、 VPLL、 DPLL、 IOPLL、 RPLL) のうち 1 つ。

• DIV2 – PLL 内部の 2 分周する機能を有効にします。 この機能は、 VCO 周波数を変更せずに出力周波数のみを変更します。 手動モードでは、 この機能を無効にするオプシ ョ ンがあ り ます。 ただし、 自動モードでは、 DIV2 が有効になっているこ とを前提にして計算が実行されます。

• Multiplier – PLL の逓倍値。 この値で PLL 周波数を逓倍し、 PLL 周波数を生成します。

• PLL Freq (MHz) – PLL 周波数を逓倍した後の PLL 周波数。

Cross Domain PLL

MPSoC 内で利用可能な PLL には、 LPD と FPD の 2 つのド メ インにわたる 5 つの PLL があ り ます。 FPD ド メ インには APLL、 DPLL、 VPLL の 3 つの PLL があ り、 LPD ド メ インには RPLL と IOPLL があ り ます。 PCW には、 FPD と LPD にまたがるペリ フェラルへのソース と してクロス ド メ イン PLL を使用するオプシ ョ ンがあ り、すべての PLL のプールから選択できる追加オプシ ョ ンを提供します。

• PLL – PS 内で利用可能な 5 つの PLL (APLL、 VPLL、 DPLL、 IOPLL、 RPLL) のうち 1 つ。

• Divisors – ク ロス ド メ イン PLL の分周値。 PLL 周波数をこの値で分周し、 ク ロス ド メ イン PLL 周波数を生成します。

X-Ref Target - Figure 4-4

図 4‐4 : [Manual Mode] の [Clock Configuration] ページ

Page 25: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 25

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

• PLL Freq (MHz) – PLL 周波数を分周値で分周した後のクロス ド メ イン PLL 周波数。

Enable Manual Mode

PLL Reference Clocks

• Input Reference frequency – PSS_REF_CLK、 PSS_ALT_REF_CLK、 VIDEO_REFCLK、 AUX_REF_CLK、GT_REF_CLK の 5 つがあ り ます。

• PLL source select – APLL、 VPLL、 DPLL、 IOPLL、 RPLL の 5 つがあ り ます。

Low Power Domain Clocks

• Processor/Memory Clocks – CPU_R5 プロセッサのクロ ッ ク コンフ ィギュレーシ ョ ン。

• Peripherals/IO Clocks – 低速ペリ フェラル デバイスのクロ ッ ク コンフ ィギュレーシ ョ ン。

• Interconnect and Switch clocks – PS 内部のインターコネク トおよびスイ ッチによって使用されるクロ ッ クです。

• PL Fabric Clocks – PS によって生成される、 PL ファブリ ッ ク (PL0、 PL1、 PL2、 PL3) へのクロ ッ クです。

• System Debug Clocks – デバッグ モジュール DBG_LPD のクロ ッ ク コンフ ィギュレーシ ョ ン。

Full Power Domain Clocks

• Processor/Memory Clocks – ARM® Cortex™-9 CPU (ACPU)、 GPU、 DDR のクロ ッ ク コンフ ィギュレーシ ョ ン。

• SERDES IO Clocks – ビデオおよび高速 I/O (PCIE、 SATA、 DP_VIDEO、 DP_AUDIO、 DP_STC) のクロ ッ ク コンフ ィギュレーシ ョ ン。

• Interconnect and Switch clocks – PS 内部のインターコネク トおよびスイ ッチによって使用されるクロ ッ ク。

• System Debug Clocks – デバッグ モジュール (DBG_FPD、 DBG_TRACE、 DBG_TSTMP) のクロ ッ ク コンフ ィギュレーシ ョ ン。

[Automatic Mode] の [Clock Configuration] の列

• Source – 対応するペリ フェラルのソース PLL です。

• Requested Freq (MHz) – 対応するペリ フェラルで要求される入力周波数です。

• Actual Freq (MHz) – Processor Configuration Wizard によって計算される実際の周波数です。 クロ ッキング アルゴリズムは、 複数の要因 (ペリ フェラル、 PLL、 優先度) を考慮に入れます。 したがって、 場合によっては、 実際の周波数は入力周波数とは異なり ます。

• Range (MHz) – 対応するペリ フェラルの動作周波数の 小/ 大範囲です。

Page 26: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 26

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

DDR コンフ ィギュレーシ ョ ン

このページでは、 DDR コン ト ローラーのコンフ ィギュレーシ ョ ンを設定できます。

DDR Configuration

• Enable DDR – Zynq PS の DDR コン ト ローラーを有効にします。

• Memory Type – メモ リ インターフェイスのタイプ。 個々のリセッ トの詳細は、 『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] を参照してください。

• Effective DRAM Bus Width – DDR インターフェイスのデータ幅 (ECC データ幅は含まない)。

• ECC – 誤り訂正符号のサポート を有効にします。 ECC は、 16 ビッ トの実効データ幅でのみサポート されます。

• Burst Length – DDR コンポーネン ト と通信する際にコン ト ローラーが使用するデータ ビートの 小数。

• Internal Vref – 内部基準電圧ソースを有効にします。 基準電圧に外部 Vref ピンを使用する場合は無効にします。

DDR Device Configuration

• DRAM IC Bus Width – 個々の DRAM コンポーネン トの幅。

• DRAM Device Capacity – 個々の DRAM コンポーネン トのス ト レージ容量。

• Bank Group Address Count (Bits) – バンク アドレス ピンの数。

• Rank Address Count (Bits) – ランク アドレス ピンの数。

• Row Address Count (Bits) – 行アドレス ピンの数。

X-Ref Target - Figure 4-5

図 4‐5 : [DDR Configuration] ページ

Page 27: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 27

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

• Col Address Count (Bits) – 列アドレス ピンの数。

• DDR Size (in Hex) – DDR の合計サイズ。

• Speed Bin and Operating Conditions

° Operating Frequency (MHz) – 意図した動作周波数。

° CAS Latency (cycles) – メモ リ ク ロ ッ ク サイ クル内の列アクセス ス ト ローブ レイテンシ。 データがメモ リ モジュールのピン上に出力されるまでの時間を示します。

° CAS Write Latency (cycles) – メモ リ ク ロ ッ ク サイ クル内の書き込みレイテンシの設定。

° RAS To CAS (cycles) – 行アドレスから列アドレスへの遅延時間。 メモ リ コン ト ローラーが行アドレス ス トローブ (RAS) をアサート してから列アドレス ス ト ローブ (CAS) をアサートするまでにかかる時間です。

° Precharge Time (cycles) – プリチャージ タイムとは、 開かれたメモ リ行を終了して次の行へのアクセスを開く までにかかるクロ ッ ク サイクル数です。

° tRC (ns) – 行サイクル時間。

° tRASmin (ns) – アクティブ コマンド とプ リチャージ コマンドの間のメモリ ク ロ ッ ク サイクルの 小数。

° tFAW (ns) – 特定の時間幅で実行可能なアクティベーシ ョ ンの回数を指定します。

Advanced Parameters

Training/Board Details

• DRAM Training – DRAM ト レーニングを有効/無効にします。 デフォルトは無効です。

DDR Advanced Parameters

• ECC scrub – シングル ビッ ト ECC エラーの発生時に Read-Modify-Write を実行します。

• Enable Vref training – 「train」 フラグがセッ ト されている場合、 Vref ト レーニング (DDR4/LPDDR4) を有効にします。

• Bank-Row-Col mapping – ユーザ インターフェイス アドレス バスと物理メモリの間のマッピングを指定します。

• Clock Stop enable – SDRAM がクロ ッ クを要求していないと きは出力クロ ッ クをオフにします。

• DDR4 CRC control – (DDR4) Write CRC の生成を有効にします。

• DDR4 Addr mapping – DDR4 アドレス マッピング。 BL8 ト ランザクシ ョ ンの位置に BG0 ビッ ト を配置し、tCCD_S ではなく tCCD_L を利用します。 DDR4 内で 1 にセッ ト されます。

• Fine granularity refresh mode (DDR4) – リ フレッシュ信号の送信頻度を上げます。

• DIMM Address mirror – 奇数ランク上のスワップされたアドレス ビッ ト を補正します。

• Data mask for DDR4/LPDDR4 – DM 信号を使用します。

• DDR4 max power saving enable (DDR4) – ト ランザクシ ョ ン ス ト アが空のと き、 SDRAM を 大省電力モードに移行します。

• Deep Power down – LPDDR3 専用のパラ メーター。 ト ランザクシ ョ ン ス ト アが空のと き、 SDRAM をディープ パワーダウン モードに移行します。

• PLL Bypass – ビッ トの選択に基づいて、 ソース PLL をバイパスします。

• Temp controlled refresh mode – 温度で制御される リ フレッシュ モードを有効にします。

• Temp controlled refresh range – 大動作温度範囲。

• Read Data Bus Inversion – DBI 読み出しを実行します。

• Write Data Bus Inversion – DBI 書き込みを実行します。

• PHy performs data bus inversion – コン ト ローラーではなく PHY 内で DBI 動作を実行します。

Page 28: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 28

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

• Address Copy enable – 使用されていないアドレス信号上でアドレスをコピーします。

• Parity – コマンド /アドレス パリティ エラーの生成と検出を有効にします。

• CAL mode – DDR4 CAL モード (CS_n ~ Command Address Latency)

• Low power auto self-refresh – プログラム可能なアイ ドル サイ クル数の経過後、 SDRAM をセルフ リ フレッシュに移行します。

PS‐PL コンフ ィギュレーシ ョ ン

このページでは、 AXI、 HP、 ACP の各バス インターフェイスを含む PS-PL インターフェイスをコンフ ィギュレーシ ョ ンできます。

X-Ref Target - Figure 4-6

図 4‐6 : [PS‐PL Configuration] ページ 

Page 29: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 29

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

General

Interrupts

Fabric Reset Enable

ファブ リ ッ ク リセッ トは、 [PS - PL Configuration] → [General] → [Fabric Reset Enable] で有効にできます。 大 4 つまでの PL リセッ ト信号を有効にできますが、 デフォルトで有効になっている リセッ ト信号は 1 つです。

利用可能な PS-PL リセッ トは合計で 4 つあり ます。 PCW からユーザーが選択できる 4 つの PL リセッ トは、 96 個の EMIO のうち利用可能な 後の 4 つを使用します。0 ~ 4 の選択に基づいて、考慮に入れられる EMIO の数は 96 から 92 に減少します。 ファブ リ ッ ク リセッ トは、 [PS-PL Configuration] ページの [General] から選択できます。

PL リセッ ト を実現するための EMIO のト グルに必要と される対応するレジスタは、 生成される出力ファイルの一部を介して PCW によって管理されます。

Address Fragmentation

PS 内では複数のペリ フェラルが利用可能なため、 PCW は、 これらのペリ フェラルにアクセスする組織的な方法を提供します。 [Address Fragmentation] によ り、 Zynq Ultra Scale+ MPSoC 内でペリ フェラルが割り当てられるアドレス空間に基づいてペリ フェラルを拡張できます。 下位 LPD スレーブ、 上位 LPD スレーブ、 FPD スレーブなどが選択可能です。 この選択に基づいて、 選択されたセグメン トのみが、 PL マスターに対してマッピングされるアドレス と共に、 Vivado のアドレス エディ ターに表示されます。

この場合、 選択されたペリ フェラルのリ ス トのみがアドレス エディ ターに表示されます。 単一のアドレス ブロッ クを Zynq Ultra Scale+ MPSoC のアドレス指定可能な複数のコンポーネン トに割り当てるのではなく、 PL コンポーネントに対して利用可能なアドレス空間を拡張する必要がある場合、 この方法を使用できます。

注記 :1. DDR のサイズが 2GB 以下の場合、 上位 DDR セグメン トは有効になり ません。

2. DDR のサイズが 2GB よ り大きい場合、 上位 DDR セグメン ト を使用して、 上位アドレス空間で DDR をアドレス指定できます。

これは 4GB の DDR サイズに制限されます。

3. 4GB を超える上位アドレス空間にアクセスするには、 PL 内に 64 ビッ ト マスターをインプリ メン トする必要があ り ます。

詳細は、 『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] を参照してください。

Others

• Use ADMA – 低電力ド メ イン内の DMA

• Use GDMA – フル電力ド メ イン内の DMA

• USE RTC – リ アルタイム ク ロ ッ ク

• [Use Event RPU] および [Use Proc Event Bus] – プロセッサには、 発生する可能性のある各種のイベン ト (たとえば、 キャ ッシュ ミ ス) を検出するためのロジッ クがあ り ます。 こ これらのイベン トから得られる情報は、 コードのデバッグおよびプロファイル時にプロセッサの動作を把握するために役立ちます。 イベン トは出力イベント バスで観察でき、 パフォーマンス モニター ユニッ トのレジスタを使用してカウン トできます。

• [Live Audio] および [Live Video] – DisplayPort コン ト ローラーは、 PL からのライブ オーディオ チャネルおよびライブ ビデオ チャネルをサポート します。 これらのオーディオおよびビデオ ス ト リームは DisplayPort コン トローラーに接続し、 PL からのライブ オーディオおよびライブ ビデオ オーバーレイを提供します。

PS‐PL Interfaces

Master Interface

° AXI HPM0 FPD – フル電力ド メ イン内の高性能マスター 0

Page 30: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 30

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

° AXI HPM1 FPD – フル電力ド メ イン内の高性能マスター 1

° AXI HPM0 LPD – 低電力ド メ イン内の高性能マスター 0

各インターフェイスは、 32、 64、 初の 128 ビッ トのデータ幅をサポート します。

Slave Interface

° [AXI HP] およびサブオプシ ョ ン – フル電力ド メ イン内の 2 つの高性能 AXI I/O コ ヒーレン ト マスター インターフェイス (AXI HPC0 FPD、 AXI HPC1 FPD)、 フル電力ド メ イン内の 4 つの高性能スレーブ AXI インターフェイス (AXI HP0 FPD、 AXI HP1 FPD、 AXI HP2 FPD、 AXI HP3 FPD)、 低電力ド メ イン内の 1 つの AXI インターフェイス (AXI LPD) があ り ます。

各インターフェイスは、 32、 64、 初の 128 ビッ トのデータ幅をサポート します。

° S AXI ACP – DMA エンジンまたは非キャッシュ コ ヒーレン ト マスターに接続できる、 1 つのアクセラレーター コ ヒーレンシ ポートがあ り ます。

° S AXI ACE – 1 つの AXI コ ヒーレンシ拡張スレーブがあ り ます。

Debug

デバッグ機能によ り、 クロス ト リガー信号のコンフィギュレーシ ョ ンが可能です。 これによ り、 PS のデバッグ構造にアクセスするためのデバッグ機能が得られ、 統合されたテス ト とデバッグを PS および PL 上で同時に実行できます。

プログラマブル ロジックのテストおよびデバッグ用のファブリ ック  ト リガー マクロセル (FTM) 

FTM は、 ARM® CoreSight® アーキテクチャに基づいています。 FTM は、 PL から ト レース データを受信し、 PTM や ITM (インスツルメンテーシ ョ ン ト レース マクロセル) などの別のト レース ソース コンポーネン トからの ト レース パケッ ト と結合できるよ うに、 ト レース パケッ ト形式に変換します。 この機能を使用するこ とで、 PL イベン ト と PS イベン ト を簡単かつ同時にト レースできます。

FTM は、PS と PL 間のクロス ト リ ガ リ ングもサポート します ( ト レースのダンプ機能を除く )。その他に FTM は、PS と PL 間の汎用デバッグ信号を提供します。

このブロ ッ クは次を提供します。

• 汎用 I/O (PL へ 32 ビッ ト 、 PL から 32 ビッ ト )。 これらは、 レジスタへの読み出し と書き込みに使用します。

• ト リ ガー信号 (PL へ 4 ペア、 PL から 4 ペア)。 各ペアはト リガー信号と ACK 信号で構成され、 ARM 規格 CTI ハンドシェイ ク プロ ト コルに準拠しています。

Page 31: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 31

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

オプシ ョ ン

• PL to PS Cross Trigger Inputs – ト リ ガー信号 (PL からの 4 ペア)。 各ペアはト リガー信号と ACK 信号で構成され、ARM 規格 CTI ハンドシェイ ク プロ ト コルに準拠しています。

• PL to PS Cross Trigger Outputs およびサブオプシ ョ ン – ト リ ガー信号 (PL に対して 4 ペア)。 各ペアはト リガー信号と ACK 信号で構成され、 ARM 規格 CTI ハンドシェイ ク プロ ト コルに準拠しています。

• GP Input[0:31]、 GP Output[0:31] – 汎用 I/O (PL へ 32 ビッ ト 、 PL から 32 ビッ ト )。 これらは、 レジスタの読み出し と書き込みに使用されます。

高度な設定

CSU Tamper Response settings

CSU は、 セキュア ブート モードおよび非セキュア ブート モードの両方で、 プロセッシング システム (PS) の第 1 段階ブート ローダー (FSBL) コードをオンチップ RAM (OCM) にロード します。 Cortex®-R5 プロセッサまたは Cortex-A53 プロセッサのどちらで FSBL を実行するかは、 ブート ヘッダーを介して選択できます。 FSBL の実行開始後、 CSU はポス ト コンフ ィギュレーシ ョ ン段階に移行し、 システムの不正操作に対して応答します。

CSU は、 PL シングル イベン ト アップセッ ト (SEU) エラー、 温度アラーム、 電圧アラームなどのエラーに対して、セキュア ロ ッ クダウン、 システム リセッ ト 、 システム割り込みを実行するよ うに設定できます。 これらのオプシ ョンは、 [Advanced Configuration] ページの [CSU Tamper Response settings] で利用可能です。

X-Ref Target - Figure 4-7

図 4‐7 : [Advanced Configuration] ページ 

Page 32: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 32

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

Interrupts

1 つの外部不正操作割り込みが MIO を介して CSU にマッピングされます。 CSU (PS) から PL への割り込みは 3 つ (CSU WDT 割り込み、 CSU DMA 割り込み、 CSU 割り込み) あ り ます。

CSU 割り込みは、 CSU ロジッ ク内の何らかのイベン トが割り込みを発生させたこ とを示します。 CSU 割り込みステータス レジスタは、 DMA を除くすべての CSU ロジッ クの割り込みビッ ト を保持します。 次の値は、 CSU 内で割り込みを発生させるこ とができます。

詳細は、 『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] を参照してください。

• AES done – AES (Advanced Encryption Standard) 暗号化が完了したこ とを通知するビッ ト。

• PL INIT complete – PL の初期化が完了したこ とを示すビッ ト 。

• AES error – AES 暗号化エラーを示すビッ ト。

• RSA done – RSA 暗号化が完了したこ とを示すビッ ト。

• PL POR_B – PL パワーオン リセッ ト ステータスを示すビッ ト。

• TMR fatal error – ト リプル モード リ ダンダン ト (TMR) 致命的エラーを示すビッ ト。

• SHA done – SHA (Secure Hash Algorithm) 暗号化が完了したこ とを示すビッ ト。

• PL SEU error flag – シングル イベン ト アップセッ ト エラーを示すビッ ト 。

• APB SLVERR – 転送の失敗を示すエラー ビッ ト 。

• PL CFG done – PL コンフ ィギュレーシ ョ ンの完了を示すステータス ビッ ト 。

• PCAP FIFO overflow – プロセッサ コンフ ィギュレーシ ョ ン アクセス ポートの FIFO オーバーフローを示すステータス ビッ ト 。

• CSU RAM ECC error – CSU RAM ECC エラーを示すビッ ト。

CSU_DMA_IRQ は、 DMA が割り込みを生成したこ とをシステムに警告します。 CSU WDT 割り込みは、 CSU ウォ ッチド ッグ タイマー割り込みから発生します。

オプシ ョ ン

詳細は、 『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085) [参照 1] を参照してください。

• CSU Register – このレジスタのビッ ト をセッ トする と、 不正操作イベン トが発生した場合、 CSU ROM はシステム割り込みを発行します。

• External MIO – MIO の観察によ り、 不正操作イベン トが発生した場合、 CSU ROM はシステム割り込みを発行します。

• JTAG toggle detect – JTAG モードの変更を示すビッ ト。

• PL SEU error – シングル イベン ト アップセッ ト エラーを示すビッ ト。

• Temp Alarm for LPD – 低電力/RPU ド メ インの温度アラーム。

• Temp Alarm for APU – APU/フル電力ド メ インの温度アラーム。

• Voltage Alarm for VCCPINT_FPD – VCCPINT_FPD が削除された場合の電源レール削除アラーム。

• Voltage Alarm for VCCPINT_LPD – VCCPINT_LPD が削除された場合の電源レール削除アラーム。

• Voltage Alarm for VCCPAUX – VCCPAUX が削除された場合の電源レール削除アラーム。

• Voltage Alarm for DDRPHY – DDR PHY の基準電圧観察信号。

• Voltage Alarm for PSIO bank 0/1/2 – PSIO バンク 0/1/2 の基準電圧観察信号。

• Voltage Alarm for PSIO bank 3 – PSIO バンク 3 の基準電圧観察信号。

• Voltage Alarm for GT – ギガビッ ト ト ランシーバーの基準電圧観察信号。

Page 33: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 33

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

IPI Master Slave Configuration

プロセッサ間割り込み (IPI) ブロ ッ クによ り、 どのプロセッシング ユニッ ト もレジスタへの書き込みを実行して別のプロセッシング ユニッ トに対して割り込みを送信できます。

11 個の IPI チャネル (GEN_IPI_0 ~ GEN_IPI_10) があ り、 そのうち 4 チャネル (チャネル 3、 4、 5、 6) は PMU 専用です。 残りの 7 チャネルは、 APU、 RPU、 PL に割り当てられます。 このよ うに各 IPI チャネルにマスターを割り当てるこ とで、 XPPU を使用するチャネルを、 マッピングされていないマスターから保護します。

各 IPI チャネルは、 任意の割り込み先への割り込みを ト リガーするためのレジスタを備えています。 XPPU は、 チャネルに関連付けられたマスターにのみ、 これらのレジスタへのアクセスを許可します。 レジスタ以外に、 IPI チャネルはペイロード バッファーを備えています。

XPPU は、 バッファーに関連付けられたマスターにのみ、 これらのバッファーへのアクセスを許可します。

UART Baud Rate Selection

• UART0 Baud Rate – UART0 の UART ボー レート を指定します。

• UART1 Baud Rate – UART1 の UART ボー レート を指定します。

GT Lane Reference Clock Selection

• Lane0 Ref Clk – GT レーン 0 の基準クロ ッ ク

• Lane1 Ref Clk – GT レーン 1 の基準クロ ッ ク

• Lane2 Ref Clk – GT レーン 2 の基準クロ ッ ク

• Lane3 Ref Clk – GT レーン 3 の基準クロ ッ ク

ユーザー パラメーター

このコアは個別アプリ ケーシ ョ ン用にパラ メーターを指定できます。 インターフェイスまたは機能の有効化に関連するパラ メーターは、 Zynq UltraScale+ MPSoC のコンフ ィギュレーシ ョ ンの状態を反映します。 Vivado IP インテグレーターで、 デバイス コンフ ィギュレーシ ョ ン用のカスタム Vivado 統合設計環境 (IDE) が利用可能です。 表 C-1 で説明するパラ メーターをアップデートする際は、 この環境を使用してください。

これらのパラ メーターは、 IP インテグレーター内でアップデート されます。 特定のペリ フェラルに関連するポートは、 有効または無効のいずれかです。 無効なポートは表示されません。 IP インテグレーター データベースは、 これらのパラ メーターを使用して、ps_init.tcl 内の関連する PS レジスタまたは第 1 段階ブート ローダー (FSBL) を初期化します。 FSBL によ り、 必要に応じて PS と PL を含むデザインをコンフ ィギュレーシ ョ ンできます。 デフォルトでは、 テス トおよびデバッグ目的で PS と PL にアクセスできるよ うに、 JTAG インターフェイスが有効です。

バッチ モードでは、Tcl コンソール コマンド set_property を使用して IP コアをコンフ ィギュレーシ ョ ンできます。

付録 C 「ユーザー パラ メーター」 の表 C-1 に、Vivado IDE の各フ ィールド と (Tcl コンソールに表示できる ) ユーザー パラ メーターの対応関係を示します。

Page 34: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 34

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

出力の生成

コアの一般的な出力ファイルの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 4] の 「出力ファイルの生成」 を参照してください。

Vivado デザイン ツールが、 デザインのハード ウェア プラ ッ ト フォーム仕様をソフ ト ウェア開発キッ ト (SDK) へエクスポート します。 次の 5 つのファイルが SDK にエクスポート されます。

• system.hdf ファ イルは、 デフォルトでは SDK の起動時に開かれます。 このファイルから読み出されるシステムのアドレス マップがデフォルトで SDK ウ ィンド ウに表示されます。

• psu_init.tcl、 psu_init.c、 psu_init.h の各ファイルには、 Zynq UltraScale MPSoC プロセッシング システムの初期化コードのほかに、 DDR、 ク ロ ッ ク、 PLL、 MIO の初期化設定が含まれます。 SDK は、 アプリケーシ ョ ンがプロセッシング システムの 上位で実行可能となるよ うに、 これらの設定をプロセッシング システムの初期化時に使用します。

° psu_init.tcl : この Tcl による Zynq UltraScale+ MPSoC Processor System の初期化ファイルは、 Xilinx Microprocessor Debugger (XMD) のデバイス初期化フローに使用されます。

° psu_init.c : PS Configuration Wizard (PCW) によって生成される、 この第 1 段階ブート ローダー (FSBL) 用ヘッダー ファ イルには、 psu_init() のプロシージャ と戻り値が含まれます。 FSBL はこのファイルのみを使用し、 psu_init() 関数を呼び出して戻り値をチェッ ク します。

° psu_init.h : PCW によって生成されるこのファイルは、 psu_init() をインプリ メン ト します。 このファ イルには、 若干のテス ト コード も含まれます。 このテス ト コードは、 PCW によって実行されるテスト を強化します。

PS Configuration Wizard ツールは、 ワードのテーブルである出力コードを生成します。 このコードは小さなエンジンによって解釈され、 テーブルをループして動作を実行します。

すべての EMIT_* は #defines で、 ps_init_data アレイに 1 ~ 4 ワードを追加します。

サポートする .c ファ イルと .h ファ イル (前述) も、 PCW によって生成されます。

Zynq UltraScale+ MPSoC Processing System コアが再生成された場合、 すべてのファイルは上書きされます。

Page 35: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 35

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

コアへの制約

供給予定

必須の制約

このセクシ ョ ンは、 この IP コアには適用されません。

デバイス、 パッケージ、 スピード  グレードの選択

このセクシ ョ ンは、 この IP コアには適用されません。

クロック周波数

このセクシ ョ ンは、 この IP コアには適用されません。

クロック管理

このセクシ ョ ンは、 この IP コアには適用されません。

クロック配置

このセクシ ョ ンは、 この IP コアには適用されません。

バンキング

このセクシ ョ ンは、 この IP コアには適用されません。

ト ランシーバーの配置

このセクシ ョ ンは、 この IP コアには適用されません。

I/O 規格と配置

このセクシ ョ ンは、 この IP コアには適用されません。

Page 36: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 36

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 :デザイン フローの手順

シ ミ ュレーシ ョ ン

Zynq UltraScale+ MPSoC 用の AXI BFM はまだサポート されていません。 このセクシ ョ ンは、 この IP コアには適用されません。

合成およびインプリ メンテーシ ョ ン

合成と インプ リ メンテーシ ョ ンの詳細は、『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 4] の 「IP の合成」 および 「IP のインプリ メンテーシ ョ ン」 を参照してください。

Page 37: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 37

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 5章

サンプル デザインこの章では、 DDR コンフ ィギュレーシ ョ ンのセッ ト アップ例を示します。

PS Configuration Wizard (PCW) を使用する と、 デザインの DDR メモ リ部分を制御する DDR コン ト ローラーを直感的かつ簡単な手順でコンフ ィギュレーシ ョ ンできます。 次の手順は、 PCW を使用した完全な DDR コンフ ィギュレーシ ョ ンの作成方法を示しています。 例と して Micron 社の MT41K1G8SN-125:A を取り上げます。

1. DDR コン ト ローラーをコンフ ィギュレーシ ョ ンするには、 PCW から [DDR Configuration] を選択します。

[DDR Configuration] ページで、 このページが次の 4 つのセクシ ョ ンに分かれているこ とに注意してください。

° DDR Controller Configuration

° DDR Device Configuration ([Speed Bin and Operating Conditions] にさ らに分けられる )

° Advanced Parameters

° Training/Board Details

X-Ref Target - Figure 5-1

図 5‐1 : [DDR Configuration] ページ 

Page 38: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 38

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 :サンプル デザイン

2. [DDR Configuration] ページから、 DDR コンフ ィギュレーシ ョ ンを作成します。 例と して Micron 社の MT41K1G8SN-125:A を使用します。 このデバイスは DDR3 メモ リです。 この例では、 [DDR Controller Configuration] および [DDR Device Configuration] に焦点を合わせます。

注記 :図 5-2 ~図 5-6 は、 Micron Technology Inc. の許可を得て Micron 社の MT41K1G8SN-125:A データ シートの内容を転載したものです。 [参照 9]

注記 :図 5-2 の内容は、 Micron Technology Inc. の許可を得て使用しています。 © 2010/09/04 Micron Technology, Inc., All Rights Reserved

3. 図 5-2 のデータ シートの 初のページ (特にデバイス名) に注意してください。[DDR Configuration] ページの [DDR Controller Configuration] および [DDR Device Configuration] セクシ ョ ンに入力する必要な情報を確認できます。

° デバイスの名称から多くの情報が得られます。 たとえば、 1G8 はデバイスの容量です。 この場合、 図 5-2 の初の赤い矩形に示すよ うに、 デバイスの容量は 1 ギガビッ ト デバイス x 8 で、 8 ギガビッ ト デバイスを

意味しています。 次の手順では、 よ り詳しい計算を行います。

° デバイスの名称から、 デバイスのスピード グレードに関する情報も得られます。 この場合、 スピード グレードは -125 と して指定されます。 図 5-2 の 3 番目の赤い矩形に示すよ うに、 この場合、 大クロ ッ ク周期 (ナノ秒単位) は 1.25ns、 DDR3-1600 Speed Bin の CAS レイテンシは 11 サイ クルです。 次の手順では、 より詳しい計算を行います。

° MT41K1G8SN-125:A デバイスの場合、 この情報は次のよ うに解釈されます。

- 容量 = 1 ギガビッ ト x 8 = 8 ギガビッ ト

- スピード グレード = -125 1.25ns @CL = 11(DDR3-1600)1.25ns ク ロ ッ ク サイ クル == 動作周波数 800MHz

X-Ref Target - Figure 5-2

図 5‐2 : Micron 社のデータ  シート

Page 39: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 39

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 :サンプル デザイン

注記 :図 5-3 の内容は、 Micron Technology Inc. の許可を得て使用しています。 © 2010/09/04 Micron Technology, Inc., All Rights Reserved

° 図 5-3 の Micron 社のデータ シートは、 製品番号の例と、 必要な情報を確認する方法を示しています。MT41K1G8SN-125:A の場合、 次のよ うになり ます。

- コンフ ィギュレーシ ョ ンは 2 行目 (1 Gig x 8、 1G8)

- スピード グレードは 3 行目 (-125、 tCLK = 1.25ns、 CL = 11)

- 温度は 2 行目 (インダス ト リ アル温度、 IT)

X-Ref Target - Figure 5-3

図 5‐3 : DDR の製品番号の例

Page 40: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 40

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 :サンプル デザイン

4. 次の図を確認してください。 この図はアドレス指定方式を理解するのに重要です。

注記 :図 5-4 の内容は、 Micron Technology Inc. の許可を得て使用しています。 © 2010/09/04 Micron Technology, Inc., All Rights Reserved

デバイスの容量はビッ ト単位で表現されます。 この場合、容量は、行、列、バンクのアドレス範囲に基づいています。

デバイスの容量 = (行のアドレス範囲 x 列のアドレス範囲 x バンクのアドレス範囲) x 配置

MT41K1G8SN:A では、 [Addressing] の表の 2 列目が 1 Gig x 8 と して指定されているのに注意してください。 これによって次の値が得られます。

° 行のアドレス範囲 = A[15:0] = 216

° 列のアドレス範囲 = A[11, 9:0] = 211

° バンクのアドレス範囲 = BA[2:0] = 23

° 配置 = 8 (すなわち、 1Gig x 8)

これらの値とデバイス容量の式を使用して、 次の値が得られます。

° デバイス容量 = 216 x 211 x 23 x 8 = 8589934592 = 0x200000000 = 8 ギガビッ ト

X-Ref Target - Figure 5-4

図 5‐4 : Addressing

Page 41: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 41

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 :サンプル デザイン

5. 図 5-5 のスピード ビンと動作条件を確認してください。

注記 :図 5-5 の内容は、 Micron Technology Inc. の許可を得て使用しています。 © 2010/09/04 Micron Technology, Inc., All Rights Reserved

注記 :図 5-6 の内容は、 Micron Technology Inc. の許可を得て使用しています。 © 2010/09/04 Micron Technology, Inc., All Rights Reserved

X-Ref Target - Figure 5-5

図 5‐5 : DDR3L‐1600 のスピード  ビン

X-Ref Target - Figure 5-6

図 5‐6 : DDR3L‐1600 のスピード  ビン

Page 42: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 42

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 :サンプル デザイン

図 5-5 の表 41 と図 5-6 の表 1 から、 次の情報が得られます。

° このデバイスは 800MHz (スピード グレード -125 [1/1.25ns]) の動作周波数をサポート します。 このデバイスはダブル データ レート (DDR) デバイスであるため、 大転送速度は 1600MT/s (million transfers per second) です。 表 41 の行 1 を参照してください。

° Cas Latency (cycles) = 表 1 の 3 行目、 3 列目 (Target tRCD – tRP - CL) から、 CL = 11 サイクル

° Cas Write Latency (CWL) == 表 41 で CL = 11 の項目を見る と、 CLW が 8 サイクルに設定されているこ とがわかり ます。

° RAS to DAS Delay (cycles) == tRCD/ク ロ ッ ク サイクル = 13.75ns/1.25ns = 11 サイ クル

° tRC = 48.75ns

° tRASmin = 35ns

6. この情報を使用して、 [DDR Configuration] ページを完成できます。 [DDR Controller Configuration] セクシ ョ ンと [DDR Device Configuration] セクシ ョ ンを展開します。

X-Ref Target - Figure 5-7

図 5‐7 : [DDR Configuration] ページ

Page 43: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 43

PG201 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 :サンプル デザイン

7. [Speed Bin and Operating Conditions] を変更します。既に述べたよ うに、 これは DDR3-1600 デバイスです。 ド ロ ップダウン リ ス トから [DDR3 1600K] をク リ ッ ク して選択します。

PCW の複数のフ ィールドが次のよ うに自動入力されます。

° Operating Frequency : 設定値は [DDR Controller Configuration] の値に同期されます。

° CAS Latency : 11 サイ クルに変更されます。

° CAS Write Latency : 8 サイクルに変更されます。

° RAS to CAS Delay : 11 サイクルに変更されます。

° Precharge Time : 11 サイ クルに変更されます。

° tRC : 48.75ns に設定されます。

° tRASmin : 35ns に設定されます。

° tFAW : 30ns に設定されます。

これらの設定値は自動計算されますが、ユーザーのデバイスに合わせてさらに微調整可能です。Micron 社のスプレッ ドシートで DDR を調べたと きに計算した設定値を再確認し、 値が一致するこ とを確認してください。

8. 続いて、 前の計算で得られた残りの設定値を確認します。 [DRAM IC Bus Width] で、 1G8 メモ リ と して [8] を選択します。 前に示したよ うに、 これは 「x 8」 の配置を意味します。

9. [DRAM Device Capacity] で、 前の計算に基づいて [8192 MBits] を選択します。 前に示したよ うに、 この値は 8 ギガビッ トに相当します。

10. [Bank Address Count (bits)] では、 バンクのアドレス範囲は 2 の 3 乗であったため、 [Bank Address Count (bits)] のビッ ト を [3] のままにします。

11. [Row Address Count (bits)] では、行のアドレス範囲は 2 の 16 乗であったため、 [Row Address Count (bits)] のビッ トを [16] のままにします。

12. [Column Address Count (bits)] では、列のアドレス範囲は 2 の 11 乗であったため、 [Column Address Count (bits)] に [11 bits] を選択します。

13. 計算を終えたら、 [OK] をク リ ッ ク して、 [Save the Project] をク リ ッ ク します。

Page 44: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 44

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 A

移行およびアップグレードZynq UltraScale+ MPSoC IP コアのバージ ョ ン 1.1 からバージ ョ ン 1.2 への変更点については、 変更ログを参照してください。

Page 45: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 45

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B

ポートの説明次の表に、 デザインの信号の一覧を示します。

表 B‐1 : CAN0

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

can0_phy_tx O 第 1 CAN 物理層側インターフェイス (PHY) への CAN バス送信信号

can0_phy_rx I 第 1 CAN PHY からの CAN バス受信信号

表 B‐2 : CAN1

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

can1_phy_tx O 第 2 CAN PHY への CAN バス送信信号

can1_phy_rx I 第 2 CAN PHY からの CAN バス受信信号

表 B‐3 : Event IO

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

pl_ps_eventi I 1 つまたは両方の CPU をイベン ト待機 (WFE) ステートからウェークアップさせます。

ps_pl_evento O どちらか一方の CPU がイベン ト送信 (SEV) 命令を実行したと きにアサート されます。

ps_pl_standbywfe O CPU スタンバイ モード : CPU がイベン ト を待機している と きにアサートされます。

ps_pl_standbywfi O CPU スタンバイ モード : CPU が割り込みを待機している と きにアサートされます。

表 B‐4 : FIFO_ENET0

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

enet0_tx_r_data_rdy Iロジッ ク 1 にセッ ト された場合、 現在のパケッ トでイーサネッ ト フレーム転送を開始するのに十分なデータが外部 FIFO にあるこ とを示します。

enet0_tx_r_rd O外部 FIFO インターフェイスからの 32 ビッ ト ワードの情報を要求する、1 tx_clk ク ロ ッ ク サイクル幅のアクティブ High 出力です。 tx_clk ク ロ ック ド メ インに同期します。

enet0_tx_r_valid I要求された FIFO データが現在有効であるこ とを示す、 1 tx_clk ク ロ ック サイクル幅のアクティブ High 入力です。 入力 tx_r_data[31:0]、tx_r_sop、 tx_r_eop、 tx_r_err、 tx_r_mod[1:0] を検証します。

Page 46: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 46

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

enet0_tx_r_data I 送信される FIFO データです。 この出力は、 tx_r_valid が High の間のみ有効です。

enet0_tx_r_sop Iパケッ トの開始を示します。 外部 FIFO インターフェイスから受信したワードがパケッ トの 初のワードであるこ とを示します。 この入力は、tx_r_valid が High の間のみ有効です。

enet0_tx_r_eop Iパケッ トの終了を示します。 外部 FIFO インターフェイスから受信したワードがパケッ トの 後のワードであるこ とを示します。 この入力は、tx_r_valid が High の間のみ有効です。

enet0_tx_r_err Iエラーです。 現在のパケッ トにエラーが含まれるこ とを示すアクティブ High 入力です。 この信号は、 tx_r_valid が High の間のみ有効であ り、パケッ ト転送中の任意の時点でセッ ト されます。

enet0_tx_r_underflow IFIFO のアンダーフローです。 送信 FIFO を読み出そう と したと きに FIFO が空になっていたこ とを示します。 この信号は、 読み出しが試みられ、 tx_r_valid 信号がまだ受信されていない場合にのみ有効です。

enet0_tx_r_flushed I FIFO のフラ ッシュが進行中です。送信 FIFO に残っているデータ内容を削除中であるこ とを示します。

enet0_tx_r_control Itx_no_crc です。 パケッ トの開始 (SOP) でアクティブ High にセッ ト され、 現在のフレームが crc を付加せずに送信されるこ とを示します。 この入力は、 tx_r_valid と tx_r_sop の両方が High の間のみ有効です。

enet0_dma_tx_end_tog O

ト グルされる と、 フレームの送信が完了して tx_r_status 出力のステータスが有効になったこ とを示します。 競合のためにフレームがリ タイアしている場合、 この信号はアクティブにならないこ とに注意してください。

enet0_dma_tx_status_tog I この信号は、 tx_end_tog または collision_occured がアクティブになるたびに必ずト グルされます。 ステータスが承認されたこ とを示します。

enet0_tx_r_status O

[3] : fifo_underrun — 次のいずれかの条件が原因で、 イーサネッ ト メディア アクセス コン ト ロール (MAC) ト ランス ミ ッ ターにアンダーランが発生したこ とを示すステータス出力。 後のフレームの転送中に外部 FIFO インターフェイスからの tx_r_underflow 入力によって示されるデータのアンダーランです。 efifo_tx_status_tog のロジッ ク ステートが変わる と リセッ ト されます。

[2] : collision_occured — ステータス出力。

転送中のフレームに競合が発生し、 再送信を実行する必要があるこ とを示します。

[1] : late_coll_occured — 転送中のフレームに遅れ衝突が発生し、 オプシ ョ ンによ り リ タイアできるこ とを示すステータス出力。

[0] : too_many_retires — 転送中のフレームに上限値を超える競合が発生し、 転送が中止されたこ とを示すステータス出力。

enet0_rx_w_wr O 外部 FIFO インターフェイスへの書き込みを示す、 1 rx_clk ク ロ ッ ク サイ クル幅のアクティブ High 出力です。

enet0_rx_w_data O 外部 FIFO インターフェイスへの出力の受信データです。 この出力は、rx_w_wr が High の場合にのみ有効です。

enet0_rx_w_sop Oパケッ トの開始を示します。 外部 FIFO インターフェイスへのワード出力がパケッ トの 初のワードであるこ とを示します。 この出力は、rx_w_wr が High の場合にのみ有効です。

表 B‐4 : FIFO_ENET0 (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 47: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 47

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

enet0_rx_w_eop Oパケッ トの終了を示します。 外部 FIFO インターフェイスへのワード出力がパケッ トの 後のワードであるこ とを示します。 この出力は、rx_w_wr が High の場合にのみ有効です。

enet0_rx_w_status O

ステータス信号です。rx_w_eop が High で rx_w_err が Low の場合にのみ有効です。 それ以外の場合はゼロになり ます。

[29] : Rx_w_type_match — 受信したフレームがタイプ ID レジスタでマッチしたこ とを示します。

[28] : rx_w_add_match4 — 受信したフレームが特定のアドレス レジスタ 4 でマッチしたこ とを示します。

[27] : rx_w_add_match3 — 受信したフレームが特定のアドレス レジスタ 3 でマッチしたこ とを示します。

[26] : rx_w_add_match2 — 受信したフレームが特定のアドレス レジスタ 2 でマッチしたこ とを示します。

[25] : rx_w_add_match1 — 受信したフレームが特定のアドレス レジスタ 1 でマッチしたこ とを示します。

[24] : rx_w_ext_match — 受信したフレームが eam 入力ピンによって外部でマッチしたこ とを示します。

[23] : rx_w_uni_hash_match — 受信したフレームがユニキャス ト ハッシュ フレームと してマッチしたこ とを示します。

[22] : rx_w_uni_hash_match — 受信したフレームがマルチキャス ト ハッシュ フレームと してマッチしたこ とを示します。

[21] : rx_w_broadcast_frame — 受信したフレームがブロードキャス ト フレームであるこ とを示します。

[20] : rx_w_prty_tagged — 受信したパケッ トに VLAN 優先度タグが検出されたこ とを示します。

[19:16] : rx_w_tci [3:0] — 受信したパケッ トの VLAN 優先度を示します。

[15] : rx_w_vlan_tagged — 受信したパケッ トに VLAN タグが検出されたこ とを示します。

[14] : rx_w_bad_frame — 受信したパケッ トが不良であるか、または FIFO がオーバーフローしたこ とを示します。

[13:0] : rx_w_frame_length — 受信したパケッ トのバイ ト数を示します。

enet0_rx_w_err O

エラーです。 現在のパケッ トにエラーが含まれるこ とを示すアクティブ High 出力です。 この信号は、 rx_w_wr と rx_w_eop の両方がアクティブ High の場合にのみ有効です。 フレームがいずれかのフ ィルターでマッチしなかった場合は、 rx_w_err もセッ ト されます。

enet0_rx_w_overflowI

FIFO のオーバーフローです。 外部 RX FIFO がオーバーフローしたこ とをイーサネッ ト MAC に示します。 イーサネッ ト MAC は、 この信号をフレーム終了 (EOF) のステータス レポートに使用します。

enet0_rx_w_flushO FIFO のフラ ッシュです。 外部 RX FIFO のすべてのデータをク リ アしな

ければならないこ とを示すアクティブ High 出力です。

表 B‐4 : FIFO_ENET0 (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 48: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 48

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

表 B‐5 : FIFO_ENET1

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

enet1_tx_r_data_rdy I ロジッ ク 1 にセッ ト された場合、 現在のパケッ トでイーサネッ ト フレーム転送を開始するのに十分なデータが外部 FIFO にあるこ とを示します。

enet1_tx_r_rd O外部 FIFO インターフェイスからの 32 ビッ ト ワードの情報を要求する、1 tx_clk ク ロ ッ ク サイ クル幅のアクティブ High 出力です。tx_clk ク ロ ッ ク ド メ インに同期します。

enet1_tx_r_valid I要求された FIFO データが現在有効であるこ とを示す、 1 tx_clk ク ロ ッ ク サイクル幅のアクティブ High 入力です。 入力 tx_r_data[31:0]、 tx_r_sop、tx_r_eop、 tx_r_err、 tx_r_mod[1:0] を検証します。

enet1_tx_r_data I 送信される FIFO データです。 この出力は、 tx_r_valid が High の間のみ有効です。

enet1_tx_r_sop Iパケッ トの開始を示します。 外部 FIFO インターフェイスから受信したワードがパケッ トの 初のワードであるこ とを示します。 この入力は、tx_r_valid が High の間のみ有効です。

enet1_tx_r_eop Iパケッ トの終了を示します。 外部 FIFO インターフェイスから受信したワードがパケッ トの 後のワードであるこ とを示します。 この入力は、tx_r_valid が High の間のみ有効です。

enet1_tx_r_err Iエラーです。 現在のパケッ トにエラーが含まれるこ とを示すアクティブ High 入力です。 この信号は、 tx_r_valid が High の間のみ有効であ り、 パケッ ト転送中の任意の時点でセッ ト されます。

enet1_tx_r_underflow IFIFO のアンダーフローです。 送信 FIFO を読み出そう と したと きに FIFO が空になっていたこ とを示します。 この信号は、 読み出しが試みられ、tx_r_valid 信号がまだ受信されていない場合にのみ有効です。

enet1_tx_r_flushed I FIFO のフラ ッシュが進行中です。 送信 FIFO に残っているデータ内容を削除中であるこ とを示します。

enet1_tx_r_control Itx_no_crc です。 SOP でアクティブ High にセッ ト され、現在のフレームが crc を付加せずに送信されるこ とを示します。 この入力は、 tx_r_valid と tx_r_sop の両方が High の間のみ有効です。

enet1_dma_tx_end_tog Oト グルされる と、 フレームの送信が完了して tx_r_status 出力のステータスが有効になったこ とを示します。 競合のためにフレームがリ タイアしている場合、 この信号はアクティブにならないこ とに注意して ください。

enet1_dma_tx_status_tog I この信号は、 tx_end_tog または collision_occured がアクティブになるたびに必ずト グルされます。 ステータスが承認されたこ とを示します。

enet1_tx_r_status O

[3] : fifo_underrun — 次のいずれかの条件が原因で、 イーサネッ ト MAC トランス ミ ッ ターにアンダーランが発生したこ とを示すステータス出力。後のフレームの転送中に外部 FIFO インターフェイスからの

tx_r_underflow 入力によって示されるデータのアンダーランです。efifo_tx_status_tog のロジッ ク ステートが変わる と リセッ ト されます。

[2] : collision_occured — ステータス出力。

転送中のフレームに競合が発生し、 再送信を実行する必要があるこ とを示します。

[1] : late_coll_occured — 転送中のフレームに遅れ衝突が発生し、 オプシ ョンによ り リ タイアできるこ とを示すステータス出力。

[0] : too_many_retires — 転送中のフレームに上限値を超える競合が発生し、転送が中止されたこ とを示すステータス出力。

enet1_rx_w_wr O 外部 FIFO インターフェイスへの書き込みを示す、 1 rx_clk ク ロ ッ ク サイクル幅のアクティブ High 出力です。

Page 49: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 49

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

enet1_rx_w_data O 外部 FIFO インターフェイスへの出力の受信データです。 この出力は、rx_w_wr が High の場合にのみ有効です。

enet1_rx_w_sop Oパケッ トの開始を示します。 外部 FIFO インターフェイスへのワード出力がパケッ トの 初のワードであるこ とを示します。 この出力は、 rx_w_wr が High の場合にのみ有効です。

enet1_rx_w_eop Oパケッ トの終了を示します。 外部 FIFO インターフェイスへのワード出力がパケッ トの 後のワードであるこ とを示します。 この出力は、 rx_w_wr が High の場合にのみ有効です。

enet1_rx_w_status O

ステータス信号です。 rx_w_eop が High で rx_w_err が Low の場合にのみ有効です。 それ以外の場合はゼロになり ます。

[29] : Rx_w_type_match — 受信したフレームがタイプ ID レジスタでマッチしたこ とを示します。

[28] : rx_w_add_match4 — 受信したフレームが特定のアドレス レジスタ 4 でマッチしたこ とを示します。

[27] : rx_w_add_match3 — 受信したフレームが特定のアドレス レジスタ 3 でマッチしたこ とを示します。

[26] : rx_w_add_match2 — 受信したフレームが特定のアドレス レジスタ 2 でマッチしたこ とを示します。

[25] : rx_w_add_match1 — 受信したフレームが特定のアドレス レジスタ 1 でマッチしたこ とを示します。

[24] : rx_w_ext_match — 受信したフレームが eam 入力ピンによって外部でマッチしたこ とを示します。

[23] : rx_w_uni_hash_match — 受信したフレームがユニキャス ト ハッシュ フレームと してマッチしたこ とを示します。

[22] : rx_w_uni_hash_match — 受信したフレームがマルチキャス ト ハッシュ フレームと してマッチしたこ とを示します。

[21] : rx_w_broadcast_frame — 受信したフレームがブロードキャス ト フレームであるこ とを示します。

[20] : rx_w_prty_tagged — 受信したパケッ トに VLAN 優先度タグが検出されたこ とを示します。

[19:16] : rx_w_tci [3:0] — 受信したパケッ トの VLAN 優先度を示します。

[15] : rx_w_vlan_tagged — 受信したパケッ トに VLAN タグが検出されたことを示します。

[14] : rx_w_bad_frame — 受信したパケッ トが不良であるか、 または FIFO がオーバーフローしたこ とを示します。

[13:0] : rx_w_frame_length — 受信したパケッ トのバイ ト数を示します。

enet1_rx_w_err O

エラーです。 現在のパケッ トにエラーが含まれるこ とを示すアクティブ High 出力です。 この信号は、 rx_w_wr と rx_w_eop の両方がアクティブ High の場合にのみ有効です。 フレームがいずれかのフ ィルターでマッチしなかった場合は、 rx_w_err もセッ ト されます。

enet1_rx_w_overflow IFIFO のオーバーフローです。 外部 RX FIFO がオーバーフローしたこ とをイーサネッ ト MAC に示します。 イーサネッ ト MAC は、 この信号を EOF のステータス レポートに使用します。

enet1_rx_w_flush O FIFO のフラ ッシュです。 外部 RX FIFO のすべてのデータをク リ アしなければならないこ とを示すアクティブ High 出力です。

表 B‐5 : FIFO_ENET1 (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 50: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 50

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

表 B‐6 : FIFO_ENET2

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

enet2_tx_r_data_rdy I ロジッ ク 1 にセッ ト された場合、 現在のパケッ トでイーサネッ ト フレーム転送を開始するのに十分なデータが外部 FIFO にあるこ とを示します。

enet2_tx_r_rd O外部 FIFO インターフェイスからの 32 ビッ ト ワードの情報を要求する、1 tx_clk ク ロ ッ ク サイ クル幅のアクティブ High 出力です。 tx_clk ク ロ ック ド メ インに同期します。

enet2_tx_r_valid I要求された FIFO データが現在有効であるこ とを示す、 1 tx_clk ク ロ ッ ク サイクル幅のアクティブ High 入力です。 入力 tx_r_data[31:0]、 tx_r_sop、tx_r_eop、 tx_r_err、 tx_r_mod[1:0] を検証します。

enet2_tx_r_data I 送信される FIFO データです。 この出力は、 tx_r_valid が High の間のみ有効です。

enet2_tx_r_sop Iパケッ トの開始を示します。 外部 FIFO インターフェイスから受信したワードがパケッ トの 初のワードであるこ とを示します。 この入力は、tx_r_valid が High の間のみ有効です。

enet2_tx_r_eop Iパケッ トの終了を示します。 外部 FIFO インターフェイスから受信したワードがパケッ トの 後のワードであるこ とを示します。 この入力は、tx_r_valid が High の間のみ有効です。

enet2_tx_r_err Iエラーです。 現在のパケッ トにエラーが含まれるこ とを示すアクティブ High 入力です。 この信号は、 tx_r_valid が High の間のみ有効であ り、 パケッ ト転送中の任意の時点でセッ ト されます。

enet2_tx_r_underflow IFIFO のアンダーフローです。送信 FIFO を読み出そう と したと きに FIFO が空になっていたこ とを示します。 この信号は、 読み出しが試みられ、tx_r_valid 信号がまだ受信されていない場合にのみ有効です。

enet2_tx_r_flushed I FIFO のフラ ッシュが進行中です。 送信 FIFO に残っているデータ内容を削除中であるこ とを示します。

enet2_tx_r_control Itx_no_crc です。 SOP でアクティブ High にセッ ト され、 現在のフレームが crc を付加せずに送信されるこ とを示します。 この入力は、 tx_r_valid と tx_r_sop の両方が High の間のみ有効です。

enet2_dma_tx_end_tog Oト グルされる と、 フレームの送信が完了して tx_r_status 出力のステータスが有効になったこ とを示します。 競合のためにフレームがリ タイアしている場合、 この信号はアクティブにならないこ とに注意して ください。

enet2_dma_tx_status_tog I この信号は、 tx_end_tog または collision_occured がアクティブになるたびに必ずト グルされます。 ステータスが承認されたこ とを示します。

enet2_tx_r_status O

[3] : fifo_underrun — 次のいずれかの条件が原因で、イーサネッ ト MAC トランス ミ ッ ターにアンダーランが発生したこ とを示すステータス出力。後のフレームの転送中に外部 FIFO インターフェイスからの

tx_r_underflow 入力によって示されるデータのアンダーランです。efifo_tx_status_tog のロジッ ク ステートが変わる と リセッ ト されます。

[2] : collision_occured — ステータス出力。

転送中のフレームに競合が発生し、 再送信を実行する必要があるこ とを示します。

[1] : late_coll_occured — 転送中のフレームに遅れ衝突が発生し、 オプシ ョンによ り リ タイアできるこ とを示すステータス出力。

[0] : too_many_retires — 転送中のフレームに上限値を超える競合が発生し、 転送が中止されたこ とを示すステータス出力。

enet2_rx_w_wr O 外部 FIFO インターフェイスへの書き込みを示す、 1 rx_clk ク ロ ッ ク サイクル幅のアクティブ High 出力です。

Page 51: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 51

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

enet2_rx_w_data O 外部 FIFO インターフェイスへの出力の受信データです。 この出力は、rx_w_wr が High の場合にのみ有効です。

enet2_rx_w_sop Oパケッ トの開始を示します。外部 FIFO インターフェイスへのワード出力がパケッ トの 初のワードであるこ とを示します。 この出力は、rx_w_wr が High の場合にのみ有効です。

enet2_rx_w_eop Oパケッ トの終了を示します。外部 FIFO インターフェイスへのワード出力がパケッ トの 後のワードであるこ とを示します。 この出力は、rx_w_wr が High の場合にのみ有効です。

enet2_rx_w_status O

ステータス信号です。 rx_w_eop が High で rx_w_err が Low の場合にのみ有効です。 それ以外の場合はゼロになり ます。

[29] : Rx_w_type_match — 受信したフレームがタイプ ID レジスタでマッチしたこ とを示します。

[28] : rx_w_add_match4 — 受信したフレームが特定のアドレス レジスタ 4 でマッチしたこ とを示します。

[27] : rx_w_add_match3 — 受信したフレームが特定のアドレス レジスタ 3 でマッチしたこ とを示します。

[26] : rx_w_add_match2 — 受信したフレームが特定のアドレス レジスタ 2 でマッチしたこ とを示します。

[25] : rx_w_add_match1 — 受信したフレームが特定のアドレス レジスタ 1 でマッチしたこ とを示します。

[24] : rx_w_ext_match — 受信したフレームが eam 入力ピンによって外部でマッチしたこ とを示します。

[23] : rx_w_uni_hash_match — 受信したフレームがユニキャス ト ハッシュ フレームと してマッチしたこ とを示します。

[22] : rx_w_uni_hash_match — 受信したフレームがマルチキャス ト ハッシュ フレームと してマッチしたこ とを示します。

[21] : rx_w_broadcast_frame — 受信したフレームがブロードキャス ト フレームであるこ とを示します。

[20] : rx_w_prty_tagged — 受信したパケッ トに VLAN 優先度タグが検出されたこ とを示します。

[19:16] : rx_w_tci [3:0] — 受信したパケッ トの VLAN 優先度を示します。

[15] : rx_w_vlan_tagged — 受信したパケッ トに VLAN タグが検出されたことを示します。

[14] : rx_w_bad_frame — 受信したパケッ トが不良であるか、 または FIFO がオーバーフローしたこ とを示します。

[13:0] : rx_w_frame_length — 受信したパケッ トのバイ ト数を示します。

enet2_rx_w_err O

エラーです。 現在のパケッ トにエラーが含まれるこ とを示すアクティブ High 出力です。 この信号は、 rx_w_wr と rx_w_eop の両方がアクティブ High の場合にのみ有効です。 フレームがいずれかのフ ィルターでマッチしなかった場合は、 rx_w_err もセッ ト されます。

enet2_rx_w_overflow IFIFO のオーバーフローです。外部 RX FIFO がオーバーフローしたこ とをイーサネッ ト MAC に示します。 イーサネッ ト MAC は、 この信号を EOF のステータス レポートに使用します。

enet2_rx_w_flush O FIFO のフラ ッシュです。外部 RX FIFO のすべてのデータをク リ アしなければならないこ とを示すアクティブ High 出力です。

表 B‐6 : FIFO_ENET2 (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 52: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 52

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

表 B‐7 : FIFO_ENET3

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

enet3_tx_r_data_rdy I ロジッ ク 1 にセッ ト された場合、 現在のパケッ トでイーサネッ ト フレーム転送を開始するのに十分なデータが外部 FIFO にあるこ とを示します。

enet3_tx_r_rd O1 tx_clk ク ロ ッ ク サイ クル幅です。外部 FIFO インターフェイスからの 32 ビッ ト ワードの情報を要求するアクティブ High 出力です。 tx_clk ク ロ ック ド メ インに同期します。

enet3_tx_r_valid I1 tx_clk ク ロ ッ ク サイ クル幅です。 要求された FIFO データが現在有効であるこ とを示すアクティブ High 入力です。 入力 tx_r_data[31:0]、tx_r_sop、 tx_r_eop、 tx_r_err、 tx_r_mod[1:0] を検証します。

enet3_tx_r_data I 送信される FIFO データです。 この出力は、 tx_r_valid が High の間のみ有効です。

enet3_tx_r_sop Iパケッ トの開始を示します。 外部 FIFO インターフェイスから受信したワードがパケッ トの 初のワードであるこ とを示します。 この入力は、tx_r_valid が High の間のみ有効です。

enet3_tx_r_eop Iパケッ トの終了を示します。 外部 FIFO インターフェイスから受信したワードがパケッ トの 後のワードであるこ とを示します。 この入力は、tx_r_valid が High の間のみ有効です。

enet3_tx_r_err Iエラーです。 現在のパケッ トにエラーが含まれるこ とを示すアクティブ High 入力です。 この信号は、 tx_r_valid が High の間のみ有効であ り、 パケッ ト転送中の任意の時点でセッ ト されます。

enet3_tx_r_underflow IFIFO のアンダーフローです。 送信 FIFO を読み出そう と したと きに FIFO が空になっていたこ とを示します。 この信号は、 読み出しが試みられ、tx_r_valid 信号がまだ受信されていない場合にのみ有効です。

enet3_tx_r_flushed I FIFO のフラ ッシュが進行中です。 送信 FIFO に残っているデータ内容を削除中であるこ とを示します。

enet3_tx_r_control Itx_no_crc です。SOP でアクティブ High にセッ ト され、現在のフレームが crc を付加せずに送信されるこ とを示します。 この入力は、 tx_r_valid と tx_r_sop の両方が High の間のみ有効です。

enet3_dma_tx_end_tog Oト グルされる と、 フレームの送信が完了して tx_r_status 出力のステータスが有効になったこ とを示します。 競合のためにフレームがリ タイアしている場合、 この信号はアクティブにならないこ とに注意して ください。

enet3_dma_tx_status_tog I この信号は、 tx_end_tog または collision_occured がアクティブになるたびに必ずト グルされます。 ステータスが承認されたこ とを示します。

enet3_tx_r_status O

[3] : fifo_underrun — 次のいずれかの条件が原因で、 イーサネッ ト MAC トランス ミ ッ ターにアンダーランが発生したこ とを示すステータス出力。後のフレームの転送中に外部 FIFO インターフェイスからの

tx_r_underflow 入力によって示されるデータのアンダーランです。efifo_tx_status_tog のロジッ ク ステートが変わる と リセッ ト されます。

[2] : collision_occured — ステータス出力。

転送中のフレームに競合が発生し、 再送信を実行する必要があるこ とを示します。

[1] : late_coll_occured — 転送中のフレームに遅れ衝突が発生し、 オプシ ョンによ り リ タイアできるこ とを示すステータス出力。

[0] : too_many_retires — 転送中のフレームに上限値を超える競合が発生し、転送が中止されたこ とを示すステータス出力。

enet3_rx_w_wr O 外部 FIFO インターフェイスへの書き込みを示す、 1 rx_clk ク ロ ッ ク サイクル幅のアクティブ High 出力です。

Page 53: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 53

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

enet3_rx_w_data O 外部 FIFO インターフェイスへの出力の受信データです。 この出力は、rx_w_wr が High の場合にのみ有効です。

enet3_rx_w_sop Oパケッ トの開始を示します。 外部 FIFO インターフェイスへのワード出力がパケッ トの 初のワードであるこ とを示します。 この出力は、 rx_w_wr が High の場合にのみ有効です。

enet3_rx_w_eop Oパケッ トの終了を示します。 外部 FIFO インターフェイスへのワード出力がパケッ トの 後のワードであるこ とを示します。 この出力は、 rx_w_wr が High の場合にのみ有効です。

enet3_rx_w_status O

ステータス信号です。 rx_w_eop が High で rx_w_err が Low の場合にのみ有効です。 それ以外の場合はゼロになり ます。

[29] : Rx_w_type_match — 受信したフレームがタイプ ID レジスタでマッチしたこ とを示します。

[28] : rx_w_add_match4 — 受信したフレームが特定のアドレス レジスタ 4 でマッチしたこ とを示します。

[27] : rx_w_add_match3 — 受信したフレームが特定のアドレス レジスタ 3 でマッチしたこ とを示します。

[26] : rx_w_add_match2 — 受信したフレームが特定のアドレス レジスタ 2 でマッチしたこ とを示します。

[25] : rx_w_add_match1 — 受信したフレームが特定のアドレス レジスタ 1 でマッチしたこ とを示します。

[24] : rx_w_ext_match — 受信したフレームが eam 入力ピンによって外部でマッチしたこ とを示します。

[23] : rx_w_uni_hash_match — 受信したフレームがユニキャス ト ハッシュ フレームと してマッチしたこ とを示します。

[22] : rx_w_uni_hash_match — 受信したフレームがマルチキャス ト ハッシュ フレームと してマッチしたこ とを示します。

[21] : rx_w_broadcast_frame — 受信したフレームがブロードキャス ト フレームであるこ とを示します。

[20] : rx_w_prty_tagged — 受信したパケッ トに VLAN 優先度タグが検出されたこ とを示します。

[19:16] : rx_w_tci [3:0] — 受信したパケッ トの VLAN 優先度を示します。

[15] : rx_w_vlan_tagged — 受信したパケッ トに VLAN タグが検出されたことを示します。

[14] : rx_w_bad_frame — 受信したパケッ トが不良であるか、 または FIFO がオーバーフローしたこ とを示します。

[13:0] : rx_w_frame_length — 受信したパケッ トのバイ ト数を示します。

enet3_rx_w_err O

エラーです。 現在のパケッ トにエラーが含まれるこ とを示すアクティブ High 出力です。 この信号は、 rx_w_wr と rx_w_eop の両方がアクティブ High の場合にのみ有効です。 フレームがいずれかのフ ィルターでマッチしなかった場合は、 rx_w_err もセッ ト されます。

enet3_rx_w_overflow IFIFO のオーバーフローです。 外部 RX FIFO がオーバーフローしたこ とをイーサネッ ト MAC に示します。 イーサネッ ト MAC は、 この信号を EOF のステータス レポートに使用します。

enet3_rx_w_flush O FIFO のフラ ッシュです。 外部 RX FIFO のすべてのデータをク リ アしなければならないこ とを示すアクティブ High 出力です。

表 B‐7 : FIFO_ENET3 (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 54: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 54

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

表 B‐8 : FTM

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

pl_ps_trigack I PL からの ト リガー肯定応答 (ACK)

pl_ps_trigger O PL へのト リガー出力

ps_pl_trigack O PL へのト リガー肯定応答 (ACK)

ps_pl_trigger I PL からの ト リガー入力

gpo O 汎用出力

gpi I 汎用入力

表 B‐9 : GMII_ENET0

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

enet0_gmii_rx_clk I システム ク ロ ッ ク ジェネレーターへの GEM 0 受信クロ ッ ク

enet0_speed_mode OGEM が使用するよ うに現在設定されているスピードおよび外部インターフェイスを、 システム ク ロ ッ ク ジェネレーターに示します。

enet0_gmii_crs I PHY からのキャ リ アの検知

enet0_gmii_col I PHY からの競合の検出

enet0_gmii_rxd I PHY からのデータの受信

enet0_gmii_rx_er I PHY からのエラー信号の受信

enet0_gmii_rx_dv I PHY からのデータ有効信号の受信

enet0_gmii_tx_clk I システム ク ロ ッ ク ジェネレーターからの GEM 0 送信クロ ッ ク

enet0_gmii_txd O PHY へのデータの送信

enet0_gmii_tx_en O PHY へのイネーブル信号の送信

enet0_gmii_tx_er OPHY へのエラー信号の送信フレーム送信中に DMA ブロッ クがメモ リからデータをフェッチできなかった場合にアサート されます。

表 B‐10 : GMII_ENET1

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

enet1_gmii_rx_clk I システム ク ロ ッ ク ジェネレーターへの GEM 1 受信クロ ッ ク

enet1_speed_mode OGEM が使用するよ うに現在設定されているスピードおよび外部インターフェイスを、 システム ク ロ ッ ク ジェネレーターに示します。

enet1_gmii_crs I PHY からのキャ リ アの検知

enet1_gmii_col I PHY からの競合の検出

enet1_gmii_rxd I PHY からのデータの受信

enet1_gmii_rx_er I PHY からのエラー信号の受信

enet1_gmii_rx_dv I PHY からのデータ有効信号の受信

enet1_gmii_tx_clk I システム ク ロ ッ ク ジェネレーターからの GEM 1 送信クロ ッ ク

Page 55: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 55

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

enet1_gmii_txd O PHY へのデータの送信

enet1_gmii_tx_en O PHY へのイネーブル信号の送信

enet1_gmii_tx_er O PHY へのエラー信号の送信フレーム送信中に DMA ブロ ッ クがメモリからデータをフェッチできなかった場合にアサート されます。

表 B‐11 : GMII_ENET2

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

enet2_gmii_rx_clk I システム ク ロ ッ ク ジェネレーターへの GEM 2 受信クロ ッ ク

enet2_speed_mode OGEM が使用するよ うに現在設定されているスピードおよび外部インターフェイスを、 システム ク ロ ッ ク ジェネレーターに示します。

enet2_gmii_crs I PHY からのキャ リ アの検知

enet2_gmii_col I PHY からの競合の検出

enet2_gmii_rxd I PHY からのデータの受信

enet2_gmii_rx_er I PHY からのエラー信号の受信

enet2_gmii_rx_dv I PHY からのデータ有効信号の受信

enet2_gmii_tx_clk I システム ク ロ ッ ク ジェネレーターからの GEM 3 送信クロ ッ ク

enet2_gmii_txd O PHY へのデータの送信

enet2_gmii_tx_en O PHY へのイネーブル信号の送信

enet2_gmii_tx_er OPHY へのエラー信号の送信フレーム送信中に DMA ブロ ッ クがメモ リからデータをフェッチできなかった場合にアサート されます。

表 B‐12 : GMII_ENET3

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

enet3_gmii_rx_clk I システム ク ロ ッ ク ジェネレーターへの GEM 3 受信クロ ッ ク

enet3_speed_mode OGEM が使用するよ うに現在設定されているスピードおよび外部インターフェイスを、 システム ク ロ ッ ク ジェネレーターに示します。

enet3_gmii_crs I PHY からのキャ リ アの検知

enet3_gmii_col I PHY からの競合の検出

enet3_gmii_rxd I PHY からのデータの受信

enet3_gmii_rx_er I PHY からのエラー信号の受信

enet3_gmii_rx_dv I PHY からのデータ有効信号の受信

enet3_gmii_tx_clk I システム ク ロ ッ ク ジェネレーターからの GEM 3 送信クロ ッ ク

enet3_gmii_txd O PHY へのデータの送信

表 B‐10 : GMII_ENET1 (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 56: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 56

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

enet3_gmii_tx_en O PHY へのイネーブル信号の送信

enet3_gmii_tx_er OPHY へのエラー信号の送信フレーム送信中に DMA ブロ ッ クがメモ リからデータをフェッチできなかった場合にアサート されます。

表 B‐13 : GPIO_0

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

gpio_i I GPIO ポート入力

gpio_o O GPIO ポート出力

gpio_t O GPIO ポートの ト ラ イステート イネーブル信号

表 B‐14 : IIC0

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

i2c0_scl_i I 外部シ リ アル ク ロ ッ ク (SCL) ク ロ ッ ク信号の実際のステート

i2c0_scl_o O SCL ピン上に配置されるクロ ッ ク レベル

i2c0_scl_t OSCL 出力バッファーの ト ライステート イネーブル信号。

この信号は i2c0_scl_oe に直接接続されます。

i2c0_sda_i I 外部シ リ アル データ (SDA) 信号の実際のステート

i2c0_sda_o O 外部 SDA 信号上に配置されるデータ ビッ ト

i2c0_sda_t O SDA 出力バッファーの ト ライステート イネーブル信号。 この信号は i2c0_sda_oe に直接接続されます。

表 B‐15 : IIC1

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

i2c1_scl_i I 外部 SCL ク ロ ッ ク信号の実際のステート

i2c1_scl_o O SCL ピン上に配置されるクロ ッ ク レベル

i2c1_scl_t OSCL 出力バッファーの ト ライステート イネーブル信号。

この信号は i2c1_scl_oe に直接接続されます。

i2c1_sda_i I 外部 SDA 信号の実際のステート

i2c1_sda_o O 外部 SDA 信号上に配置されるデータ ビッ ト

i2c1_sda_t O SDA 出力バッファーの ト ライステート イネーブル信号。 この信号は i2c1_sda_oe に直接接続されます。

表 B‐12 : GMII_ENET3 (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 57: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 57

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

表 B‐16 : MDIO_ENET0

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

enet0_mdio_mdc O ピンへの管理データ ク ロ ッ ク

enet0_mdio_i I MDIO ピンからの管理データ入力

enet0_mdio_o O MDIO ピンへの管理データ出力

enet0_mdio_t OMDIO ピンへの ト ライステート イネーブル信号 (アクティブ Low)。

上位で 3 本の MDIO ピンをすべて使用して 1 本のト ライステート ピンを駆動します。

表 B‐17 : MDIO_ENET1

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

enet1_mdio_mdc O ピンへの管理データ ク ロ ッ ク

enet1_mdio_i I MDIO ピンからの管理データ入力

enet1_mdio_o O MDIO ピンへの管理データ出力

enet1_mdio_t OMDIO ピンへの ト ラ イステート イネーブル信号 (アクティブ Low)。

上位で 3 本の MDIO ピンをすべて使用して 1 本のト ライステート ピンを駆動します。

表 B‐18 : MDIO_ENET2

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

enet2_mdio_mdc O ピンへの管理データ ク ロ ッ ク

enet2_mdio_i I MDIO ピンからの管理データ入力

enet2_mdio_o O MDIO ピンへの管理データ出力

enet2_mdio_t OMDIO ピンへの ト ラ イステート イネーブル信号 (アクティブ Low)。 上位で 3 本の MDIO ピンをすべて使用して 1 本のト ラ イステート ピンを駆動します。

表 B‐19 : MDIO_ENET3

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

enet3_mdio_mdc O ピンへの管理データ ク ロ ッ ク

enet3_mdio_i I MDIO ピンからの管理データ入力

enet3_mdio_o O MDIO ピンへの管理データ出力

enet3_mdio_t OMDIO ピンへの ト ラ イステート イネーブル信号 (アクティブ Low)。 上位で 3 本の MDIO ピンをすべて使用して 1 本のト ライステート ピンを駆動します。

表 B‐20 : PL_CLK0

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

pl_clk0 O PL ク ロ ッ ク 0

Page 58: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 58

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

表 B‐21 : PL_CLK1

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

pl_clk1 O PL ク ロ ッ ク 1

表 B‐22 : PL_CLK2

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

pl_clk2 O PL ク ロ ッ ク 2

表 B‐23 : PL_CLK3

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

pl_clk3 O PL ク ロ ッ ク 3

表 B‐24 : PL_PS_IRQ0

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

pl_ps_irq0 I PL から PS への割り込み 0

表 B‐25 : PL_PS_IRQ1

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

pl_ps_irq1 I PL から PS への割り込み 1

表 B‐26 : SDIO0

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

sdio0_clkout O SD/SDIO0 スレーブ デバイスへのクロ ッ ク出力

sdio0_fb_clk_in I パッ ドからの sd0_clk_out からのクロ ッ ク フ ィードバッ ク

sdio0_cmdout O コマンド インジケーター出力

sdio0_cmdin I コマンド インジケーター入力

sdio0_cmdena O コマンド インジケーター イネーブル

sdio0_datain I 7 ビッ トの入力データ バス。 SPI フラ ッシュ メモ リでも、 シ リアル モードまたは 2 ビッ ト モードで使用できます。

sdio0_dataout O 7 ビッ トの出力データ バス。 SPI フラ ッシュ メモ リでも、 シ リアル モードまたは 2 ビッ ト モードで使用できます。

sdio0_dataena O データ バスの制御イネーブル

sdio0_cd_n I シングル スロ ッ トのカード検出

sdio0_wp I セキュア デジタル不揮発性メモ リ カード (SD カード ) 書き込み禁止 (アクティブ Low)

sdio0_ledcontrol O LED オン。 SD カードへのアクセス中にカードを取り外さないようにユーザーに警告します。

Page 59: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 59

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

sdio0_buspower O SD カードの電源の制御

sdio0_bus_volt O SD バス電圧の選択

表 B‐27 : SDIO1

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

sdio1_clkout O SD/SDIO1 スレーブ デバイスへのクロ ッ ク出力

sdio1_fb_clk_in I パッ ドからの sd1_clk_out からのクロ ッ ク フ ィードバッ ク

sdio1_cmdout O コマンド インジケーター出力

sdio1_cmdin I コマンド インジケーター入力

sdio1_cmdena O コマンド インジケーター イネーブル

sdio1_datainI 7 ビッ トの入力データ バス。 SPI フラ ッシュ メモ リでも、 シ リ

アル モードまたは 2 ビッ ト モードで使用できます。

sdio1_dataoutO 7 ビッ トの出力データ バス。 SPI フラ ッシュ メモ リでも、 シ リ

アル モードまたは 2 ビッ ト モードで使用できます。

sdio1_dataena O データ バスの制御イネーブル

sdio1_cd_n I シングル スロ ッ トのカード検出

sdio1_wp I SD カード書き込み禁止 (アクティブ Low)

sdio1_ledcontrolO LED オン : SD カードへのアクセス中にカードを取り外さないよ

うにユーザーに警告します。

sdio1_bus_power O SD カードの電源の制御

sdio1_bus_volt O SD バス電圧の選択

表 B‐28 : SPI0

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

spi0_sclk_i I SPI フラ ッシュ メモ リのスレーブ ク ロ ッ ク

spi0_sclk_o O SPI フラ ッシュ メモ リのマスター ク ロ ッ ク出力

spi0_sclk_t OSPI フラ ッシュ メモ リ ク ロ ッ クの ト ラ イステート イネーブル (アクティブ Low)。

この信号は spi0_n_sclk_en の 1 つのバージ ョ ンです。

spi0_m_i I SPI フラ ッシュ メモ リの Master In Slave Out (MISO) 信号、 マスター入力

spi0_m_o O SPI フラ ッシュ メモ リの Master Out Slave In (MOSI) 信号、 マスター出力

spi0_mo_t OSPI フラ ッシュ メモ リの MOSI 信号、 ト ラ イステート イネーブル (アクティブ Low)。

この信号は spi0_n_mo_en の 1 つのバージ ョ ンです。

spi0_s_i I SPI フラ ッシュ メモ リの MOSI 信号、 スレーブ入力

spi0_s_o O SPI フラ ッシュ メモ リの MISO 信号、 スレーブ出力

表 B‐26 : SDIO0 (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 60: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 60

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

spi0_n_ss_o_n O SPI フラ ッシュ メモ リのスレーブ選択出力

spi0_ss_n_t OSPI フラ ッシュ メモ リのスレーブ選択ト ラ イステート イネーブル (アクティブ Low)。

この信号は spi0_n_ss_en の 1 つのバージ ョ ンです。

表 B‐29 : SPI1

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

spi1_sclk_i ISPI フラ ッシュ メモ リのスレーブ ク ロ ッ ク。

低速 (50MHz 未満) の場合はピンから直接渡すこ とができます。

spi1_sclk_o OSPI フラ ッシュ メモ リのマスター ク ロ ッ ク出力です。

低速 (50MHz 未満) の場合はピンから直接渡すこ とができます。

spi1_sclk_t OSPI フラ ッシュ メモ リ ク ロ ッ クの ト ライステート イネーブル (アクティブ Low)。

この信号は spi1_n_sclk_en の 1 つのバージ ョ ンです。

spi1_m_i I SPI フラ ッシュ メモ リの MISO 信号、 マスター入力

spi1_m_o O SPI フラ ッシュ メモ リの MOSI 信号、 マスター出力

spi1_mo_t OSPI フラ ッシュ メモ リの MOSI 信号、ト ラ イステート イネーブル (アクティブ Low)。 この信号は spi1_n_mo_en の 1 つのバージ ョ ンです。

spi1_s_i I SPI フラ ッシュ メモ リの MOSI 信号、 スレーブ入力

spi1_s_o O SPI フラ ッシュ メモ リの MISO 信号、 スレーブ出力

spi1_n_ss_o_n O SPI フラ ッシュ メモ リのペリ フェラル選択出力

spi1_ss_n_t OSPI フラ ッシュ メモ リのスレーブ選択ト ライステート イネーブル (アクティブ Low)。

この信号は spi1_n_ss_en の 1 つのバージ ョ ンです。

表 B‐30 : Trace0

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

tracectl O ト レース制御

tracedata O ト レース データ

表 B‐31 : UART0

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

uart0_ctsn I ク リ アから送信までのフロー制御

uart0_rtsn O 要求から送信までのフロー制御

uart0_dsrn I モデム データ セッ トの Ready 信号

uart0_dcdn I モデム データ キャ リ ア検出

表 B‐28 : SPI0 (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 61: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 61

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

uart0_rin I モデム リ ング インジケーター

uart0_dtrn O モデム データ ターミナルの Ready 信号

表 B‐32 : UART1

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

uart1_ctsn I ク リ アから送信までのフロー制御

uart1_rtsn O 要求から送信までのフロー制御

uart1_dsrn I モデム データ セッ トの Ready 信号

uart1_dcdn I モデム データ キャ リ ア検出

uart1_rin I モデム リ ング インジケーター

uart1_dtrn O モデム データ ターミナルの Ready 信号

表 B‐33 : TTC0

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

ttc0_wave_o O ト リプル タイマー カウンター (TTC) ク ロ ッ ク (波形生成)

ttc0_clk_i I TTC0 ク ロ ッ ク入力

表 B‐34 : TTC1

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

ttc1_wave_o O TTC ク ロ ッ ク (波形生成)

ttc1_clk_i I TTC1 ク ロ ッ ク入力

表 B‐35 : TTC2

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

ttc2_wave_o O TTC ク ロ ッ ク (波形生成)

ttc2_clk_i I TTC2 ク ロ ッ ク入力

表 B‐36 : TTC3

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

ttc3_wave_o O TTC ク ロ ッ ク (波形生成)

ttc3_clk_i I TTC3 ク ロ ッ ク入力

表 B‐31 : UART0 (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 62: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 62

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

表 B‐37 : WDT0

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

wdt0_clk_i I WDT0 ク ロ ッ ク入力

wdt0_rst_o O WDT0 リセッ ト

表 B‐38 : WDT1

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

wdt1_clk_i I WDT1 ク ロ ッ ク入力

wdt1_rst_o O WDT1 リセッ ト

表 B‐39 :割り込み信号

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

ps_pl_irq_can0 O CAN0 割り込み

ps_pl_irq_can1 O CAN1 割り込み

ps_pl_irq_enet0 O イーサネッ ト 0 割り込み

ps_pl_irq_enet1 O ギガビッ ト イーサネッ ト 1 割り込み

ps_pl_irq_enet2 O ギガビッ ト イーサネッ ト 2 割り込み

ps_pl_irq_enet3 O ギガビッ ト イーサネッ ト 3 割り込み

ps_pl_irq_enet0_wake0 O イーサネッ ト 0 ウェークアップ割り込み

ps_pl_irq_enet0_wake1 O ギガビッ ト イーサネッ ト 1 ウェークアップ割り込み

ps_pl_irq_enet0_wake2 O ギガビッ ト イーサネッ ト 2 ウェークアップ割り込み

ps_pl_irq_enet0_wake3 O ギガビッ ト イーサネッ ト 3 ウェークアップ割り込み

ps_pl_irq_gpio O GPIO 割り込み

ps_pl_irq_i2c0 O I2C0 割り込み

ps_pl_irq_i2c1 O I2C1 割り込み

ps_pl_irq_uart0 O UART0 割り込み

ps_pl_irq_uart1 O UART1 割り込み

ps_pl_irq_sdio0 O SDIO0 割り込み

ps_pl_irq_sdio1 O SDIO1 割り込み

ps_pl_irq_sdio0_wake O SDIO0 ウェーク割り込み

ps_pl_irq_sdio1_wake O SDIO1 ウェーク割り込み

ps_pl_irq_spi0 O SPI0 割り込み

ps_pl_irq_spi1 O SPI1 割り込み

ps_pl_irq_qspi O SPI フラ ッシュ メモ リ割り込み

ps_pl_irq_ttc0_0 O ト リプル タイマー 0 カウンター 0 の割り込み

ps_pl_irq_ttc0_1 O ト リプル タイマー 0 カウンター 1 の割り込み

ps_pl_irq_ttc0_2 O ト リプル タイマー 0 カウンター 2 の割り込み

Page 63: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 63

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

ps_pl_irq_ttc1_0 O ト リプル タイマー 1 カウンター 0 の割り込み

ps_pl_irq_ttc1_1 O ト リプル タイマー 1 カウンター 1 の割り込み

ps_pl_irq_ttc1_2 O ト リプル タイマー 1 カウンター 2 の割り込み

ps_pl_irq_ttc2_0 O ト リプル タイマー 2 カウンター 0 の割り込み

表 B‐40 : M_AXI_HPM0_FPD

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

maxigp0_awid O 書き込みアドレス ID です。 書き込みアドレス信号グループの識別用タグです。

maxigp0_awaddr O書き込みアドレスです。 書き込みアドレス バスは、 書き込みバース ト ト ランザクシ ョ ン中の 初の転送のアドレスを示します。 関連する制御信号を使用して、 バース ト中の残りの転送のアドレスを示します。

maxigp0_awlen O バース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

maxigp0_awsize Oバース ト サイズです。 バース ト中の各転送サイズを示します。 バイ ト レーン ス ト ローブは、 どのバイ ト レーンをアップデートするかを正確に示します。

maxigp0_awburst O バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、 バース ト中の各転送のアドレスの計算方法を詳し く示します。

maxigp0_awlock O ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

maxigp0_awcache O キャ ッシュ タイプです。 ト ランザクシ ョ ンのバッファー可能、 キャ ッシュ可能、 ライ ト スルー、 ライ トバッ ク、 アロケート属性を示します。

maxigp0_awprot Oプロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護レベル (通常、 特権、またはセキュア) と、 ト ランザクシ ョ ンがデータ アクセスか命令アクセスかを示します。

maxigp0_awvalid O

書き込みアドレスの Valid 信号です。 有効な書き込みアドレスおよび制御情報が利用可能であるこ とを示します。

1 = アドレスおよび制御情報は利用可能

0 = アドレスおよび制御情報は利用不可

アドレスおよび制御情報は、 アドレス ACK 信号 (AWREADY) が High に移行するまで安定しています。

maxigp0_awuser O ユーザー定義のアドレス書き込み (AW) チャネル信号

maxigp0_awready I

書き込みアドレスの Ready 信号です。 スレーブがアドレスおよび関連する制御信号を受信可能であるこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

maxigp0_wdata O 書き込みデータです。 書き込みデータ バスは、 8、 16、 32、 64、 128、 256、512、 または 1,024 ビッ ト幅です。

maxigp0_wstrb O書き込みス ト ローブです。 メモ リ内のどのバイ ト レーンをアップデートするかを示します。 書き込みデータ バスの各 8 ビッ トにつき 1 つの書き込みス ト ローブがあ り ます。

maxigp0_wlast O 書き込みの Last 信号です。 書き込みバース トの 後の転送を示します。

表 B‐39 :割り込み信号 (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 64: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 64

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

maxigp0_wvalid O

書き込みの Valid 信号です。 有効な書き込みデータおよびス ト ローブ信号が利用可能であるこ とを示します。

1 = 書き込みデータおよびス ト ローブ信号は利用可能

0 = 書き込みデータおよびス ト ローブ信号は利用不可

maxigp0_wready I

書き込みの Ready 信号です。 スレーブが書き込みデータを受信できる状態になったこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

maxigp0_bid I 応答 ID です。 書き込み応答の識別用タグです。

maxigp0_bresp I 書き込み応答です。 書き込みト ランザクシ ョ ンのステータスを示します。許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

maxigp0_bvalid I

書き込み応答の Valid 信号です。 有効な書き込み応答が利用可能であるこ とを示します。

1 = 書き込み応答は利用可能

0 = 書き込み応答は利用不可

maxigp0_bready O

応答の Ready 信号です。 マスターが応答情報を受信できる状態になったことを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

maxigp0_arid O 読み出しアドレス ID です。 読み出しアドレス信号グループの識別用タグです。

maxigp0_araddr O 読み出しアドレスです。 読み出しアドレス バスは、 読み出しバース ト ト ランザクシ ョ ンの 初のアドレスを示します。

maxigp0_arlen O バース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

maxigp0_arsize O バース ト サイズです。 バース ト中の各転送サイズを示します。

maxigp0_arburst O バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、 バース ト中の各転送のアドレスの計算方法を詳し く示します。

maxigp0_arlock O ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

maxigp0_arcache O キャ ッシュ タイプです。 転送がキャッシュ可能かど うかの属性情報を提供します。

maxigp0_arprot O プロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護ユニッ トの情報を提供します。

maxigp0_arvalid O読み出しアドレスの Valid 信号です。 この信号が High の場合、読み出しアドレスおよび制御情報は有効であ り、アドレス ACK 信号 (ARREADY) が High になるまで安定しているこ とを示します。

maxigp0_aruser O ユーザー定義のアドレス読み出し (AR) チャネル信号

maxigp0_arready I

読み出しアドレスの Ready 信号です。 スレーブがアドレスおよび関連する制御信号を受信可能であるこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

maxigp0_rid I 読み出しの ID タグです。 読み出しデータ信号グループの ID タグです。

表 B‐40 : M_AXI_HPM0_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 65: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 65

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

maxigp0_rdata I 読み出しデータです。 読み出しデータ バスは、 8、 16、 32、 64、 128、 256、512、 または 1,024 ビッ ト幅です。

maxigp0_rresp I 読み出し応答です。 読み出し転送のステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

maxigp0_rlast I 読み出しの Last 信号です。 読み出しバース トの 後の転送を示します。

maxigp0_rvalid I 読み出しの Valid 信号です。 必要な読み出しデータが利用可能で、 読み出し転送を完了できるこ とを示します。

maxigp0_rready O

読み出しの Ready 信号です。 マスターが読み出しデータおよび応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

maxigp0_awqos O 書き込みアドレスチャネルのサービス品質 (QOS) 入力

maxigp0_arqos O 読み出しアドレスチャネルの QOS 入力

表 B‐41 : M_AXI_HPM0_FPD_ACLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

maxigp0_awid O 書き込みアドレス ID です。 書き込みアドレス信号グループの識別用タグです。

maxihpm0_fpd_aclk I 入力クロ ッ ク信号

表 B‐42 : M_AXI_HPM0_LPD

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

maxigp2_awid O 書き込みアドレス ID です。 書き込みアドレス信号グループの識別用タグです。

maxigp2_awaddr O書き込みアドレスです。 書き込みアドレス バスは、 書き込みバース ト ト ランザクシ ョ ン中の 初の転送のアドレスを示します。 関連する制御信号を使用して、 バース ト中の残りの転送のアドレスを示します。

maxigp2_awlen Oバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

maxigp2_awsize Oバース ト サイズです。 バース ト中の各転送サイズを示します。 バイ ト レーン ス ト ローブは、 どのバイ ト レーンをアップデートするかを正確に示します。

maxigp2_awburst O バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

maxigp2_awlock O ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

maxigp2_awcache O キャ ッシュ タイプです。 ト ランザクシ ョ ンのバッファー可能、 キャ ッシュ可能、 ライ ト スルー、 ライ トバッ ク、 アロケート属性を示します。

maxigp2_awprot Oプロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護レベル (通常、特権、 またはセキュア) と、 ト ランザクシ ョ ンがデータ アクセスか命令アクセスかを示します。

表 B‐40 : M_AXI_HPM0_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 66: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 66

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

maxigp2_awvalid O

書き込みアドレスの Valid 信号です。 有効な書き込みアドレスおよび制御情報が利用可能であるこ とを示します。

1 = アドレスおよび制御情報は利用可能

0 = アドレスおよび制御情報は利用不可

アドレスおよび制御情報は、 アドレス ACK 信号 (AWREADY) が High に移行するまで安定しています。

maxigp2_awuser O ユーザー定義のアドレス書き込み (AW) チャネル信号

maxigp2_awready I

書き込みアドレスの Ready 信号です。 スレーブがアドレスおよび関連する制御信号を受信可能であるこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

maxigp2_wdata O 書き込みデータです。 書き込みデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

maxigp2_wstrb O書き込みス ト ローブです。 メモ リ内のどのバイ ト レーンをアップデートするかを示します。 書き込みデータ バスの各 8 ビッ トにつき 1 つの書き込みス ト ローブがあ り ます。

maxigp2_wlast O 書き込みの Last 信号です。 書き込みバース トの 後の転送を示します。

maxigp2_wvalid O

書き込みの Valid 信号です。 有効な書き込みデータおよびス ト ローブ信号が利用可能であるこ とを示します。

1 = 書き込みデータおよびス ト ローブ信号は利用可能

0 = 書き込みデータおよびス ト ローブ信号は利用不可

maxigp2_wready I

書き込みの Ready 信号です。 スレーブが書き込みデータを受信できる状態になったこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

maxigp2_bid I 応答 ID です。 書き込み応答の識別用タグです。

maxigp2_bresp I書き込み応答です。 書き込みト ランザクシ ョ ンのステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

maxigp2_bvalid I

書き込み応答の Valid 信号です。 有効な書き込み応答が利用可能であるこ とを示します。

1 = 書き込み応答は利用可能

0 = 書き込み応答は利用不可

maxigp2_bready O

応答の Ready 信号です。 マスターが応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

maxigp2_arid O 読み出しアドレス ID です。 読み出しアドレス信号グループの識別用タグです。

maxigp2_araddr O 読み出しアドレスです。 読み出しアドレス バスは、 読み出しバース ト ト ランザクシ ョ ンの 初のアドレスを示します。

表 B‐42 : M_AXI_HPM0_LPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 67: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 67

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

maxigp2_arlen Oバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

maxigp2_arsize O バース ト サイズです。 バース ト中の各転送サイズを示します。

maxigp2_arburst O バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

maxigp2_arlock O ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

maxigp2_arcache O キャ ッシュ タイプです。 転送がキャッシュ可能かど うかの属性情報を提供します。

maxigp2_arprot O プロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護ユニッ トの情報を提供します。

maxigp2_arvalid O読み出しアドレスの Valid 信号です。 この信号が High の場合、 読み出しアドレスおよび制御情報は有効であ り、 アドレス ACK 信号 (ARREADY) が High になるまで安定しているこ とを示します。

maxigp2_aruser O ユーザー定義の AR チャネル信号

maxigp2_arready I

読み出しアドレスの Ready 信号です。 スレーブがアドレスおよび関連する制御信号を受信可能であるこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

maxigp2_rid I 読み出しの ID タグです。 読み出しデータ信号グループの ID タグです。

maxigp2_rdata I 読み出しデータです。 読み出しデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

maxigp2_rresp I 読み出し応答です。読み出し転送のステータスを示します。許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

maxigp2_rlast I 読み出しの Last 信号です。 読み出しバース トの 後の転送を示します。

maxigp2_rvalid I 読み出しの Valid 信号です。 必要な読み出しデータが利用可能で、 読み出し転送を完了できるこ とを示します。

maxigp2_rready O

読み出しの Ready 信号です。 マスターが読み出しデータおよび応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

maxigp2_awqos O 書き込みアドレスチャネルの QOS 入力

maxigp2_arqos O 読み出しアドレスチャネルの QOS 入力

表 B‐43 : M_AXI_HPM0_LPD_ACLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

maxigp2_awid O 書き込みアドレス ID です。 書き込みアドレス信号グループの識別用タグです。

maxihpm0_lpd_aclk I 入力クロ ッ ク信号

表 B‐42 : M_AXI_HPM0_LPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 68: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 68

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

表 B‐44 : M_AXI_HPM1_FPD

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

maxigp1_awid O 書き込みアドレス ID です。 書き込みアドレス信号グループの識別用タグです。

maxigp1_awaddr O書き込みアドレスです。 書き込みアドレス バスは、 書き込みバース ト ト ランザクシ ョ ン中の 初の転送のアドレスを示します。 関連する制御信号を使用して、 バース ト中の残りの転送のアドレスを示します。

maxigp1_awlen Oバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

maxigp1_awsize Oバース ト サイズです。 バース ト中の各転送サイズを示します。 バイ ト レーン ス ト ローブは、 どのバイ ト レーンをアップデートするかを正確に示します。

maxigp1_awburst O バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

maxigp1_awlock O ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

maxigp1_awcache Oキャ ッシュ タイプです。 ト ランザクシ ョ ンのバッファー可能、 キャ ッシュ可能、 ライ ト スルー、 ライ トバッ ク、 アロケート属性を示します。

maxigp1_awprot Oプロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護レベル (通常、特権、 またはセキュア) と、 ト ランザクシ ョ ンがデータ アクセスか命令アクセスかを示します。

maxigp1_awvalid O

書き込みアドレスの Valid 信号です。 有効な書き込みアドレスおよび制御情報が利用可能であるこ とを示します。

1 = アドレスおよび制御情報は利用可能

0 = アドレスおよび制御情報は利用不可

アドレスおよび制御情報は、 アドレス ACK 信号 (AWREADY) が High に移行するまで安定しています。

maxigp1_awuser O ユーザー定義の AW チャネル信号

maxigp1_awready I

書き込みアドレスの Ready 信号です。 スレーブがアドレスおよび関連する制御信号を受信可能であるこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

maxigp1_wdata O 書き込みデータです。 書き込みデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

maxigp1_wstrb O書き込みス ト ローブです。 メモ リ内のどのバイ ト レーンをアップデートするかを示します。 書き込みデータ バスの各 8 ビッ トにつき 1 つの書き込みス ト ローブがあ り ます。

maxigp1_wlast O 書き込みの Last 信号です。 書き込みバース トの 後の転送を示します。

maxigp1_wvalid O

書き込みの Valid 信号です。 有効な書き込みデータおよびス ト ローブ信号が利用可能であるこ とを示します。

1 = 書き込みデータおよびス ト ローブ信号は利用可能

0 = 書き込みデータおよびス ト ローブ信号は利用不可

Page 69: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 69

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

maxigp1_wready I

書き込みの Ready 信号です。 スレーブが書き込みデータを受信できる状態になったこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

maxigp1_bid I 応答 ID です。 書き込み応答の識別用タグです。

maxigp1_bresp I書き込み応答です。 書き込みト ランザクシ ョ ンのステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

maxigp1_bvalid I

書き込み応答の Valid 信号です。 有効な書き込み応答が利用可能であるこ とを示します。

1 = 書き込み応答は利用可能

0 = 書き込み応答は利用不可

maxigp1_bready O

応答の Ready 信号です。 マスターが応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

maxigp1_arid O 読み出しアドレス ID です。 読み出しアドレス信号グループの識別用タグです。

maxigp1_araddr O 読み出しアドレスです。 読み出しアドレス バスは、 読み出しバース ト ト ランザクシ ョ ンの 初のアドレスを示します。

maxigp1_arlen Oバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

maxigp1_arsize O バース ト サイズです。 バース ト中の各転送サイズを示します。

maxigp1_arburst O バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

maxigp1_arlock O ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

maxigp1_arcache O キャ ッシュ タイプです。 転送がキャッシュ可能かど うかの属性情報を提供します。

maxigp1_arprot O プロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護ユニッ トの情報を提供します。

maxigp1_arvalid O読み出しアドレスの Valid 信号です。 この信号が High の場合、 読み出しアドレスおよび制御情報は有効であ り、 アドレス ACK 信号 (ARREADY) が High になるまで安定しているこ とを示します。

maxigp1_aruser O ユーザー定義の AR チャネル信号

maxigp1_arready I

読み出しアドレスの Ready 信号です。 スレーブがアドレスおよび関連する制御信号を受信可能であるこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

maxigp1_rid I 読み出しの ID タグです。読み出しデータ信号グループの ID タグです。

maxigp1_rdata I 読み出しデータです。 読み出しデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

表 B‐44 : M_AXI_HPM1_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 70: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 70

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

maxigp1_rresp I 読み出し応答です。 読み出し転送のステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

maxigp1_rlast I 読み出しの Last 信号です。 読み出しバース トの 後の転送を示します。

maxigp1_rvalid I 読み出しの Valid 信号です。 必要な読み出しデータが利用可能で、 読み出し転送を完了できるこ とを示します。

maxigp1_rready O

読み出しの Ready 信号です。 マスターが読み出しデータおよび応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

maxigp1_awqos O 書き込みアドレスチャネルの QOS 入力

maxigp1_arqos O 読み出しアドレスチャネルの QOS 入力

表 B‐45 : M_AXI_HPM1_FPD_ACLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

maxigp1_awid O 書き込みアドレス ID です。 書き込みアドレス信号グループの識別用タグです。

maxihpm1_fpd_aclk I 入力クロ ッ ク信号

表 B‐46 : S_AXI_ACE_FPD

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

sacefpd_wuser I ユーザー信号です。 書き込みデータ チャネル内のオプシ ョ ンのユーザー定義信号です。

sacefpd_buser O ユーザー信号です。 書き込み応答チャネル内のオプシ ョ ンのユーザー定義信号です。

sacefpd_ruser O ユーザー信号です。 読み出しデータ チャネル内のオプシ ョ ンのユーザー定義信号です。

sacefpd_awuser I ユーザー信号です。 書き込みアドレス チャネル内のオプシ ョ ンのユーザー定義信号です。

sacefpd_awsnoop I 共有可能な書き込みト ランザクシ ョ ンの ト ランザクシ ョ ン タイプを示します。

sacefpd_awsize Iバース ト サイズです。 バース ト中の各転送サイズを示します。 バイ ト レーン ス ト ローブは、 どのバイ ト レーンをアップデートするかを正確に示します。

sacefpd_awregion I 領域識別子です。 スレーブ上の 1 つの物理インターフェイスを、 複数の論理インターフェイス と して使用できるよ うにします。

sacefpd_awqos I サービス品質 (QoS)。 各書き込みト ランザクシ ョ ンで送信される識別子です。

sacefpd_awprot Iプロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護レベル (通常、特権、 またはセキュア) と、 ト ランザクシ ョ ンがデータ アクセスか命令アクセスかを示します。

表 B‐44 : M_AXI_HPM1_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 71: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 71

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

sacefpd_awlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

sacefpd_awid I 書き込みアドレス ID です。 書き込みアドレス信号グループの識別用タグです。

sacefpd_awdomain I 書き込みト ランザクシ ョ ンの共有可能ド メ インを示します。

sacefpd_awcache Iキャ ッシュ タイプです。 ト ランザクシ ョ ンのバッファー可能、 キャ ッシュ可能、 ライ ト スルー、 ライ トバッ ク、 アロケート属性を示します。

sacefpd_awburst I バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

sacefpd_awbar I 書き込みバリ ア ト ランザクシ ョ ンを示します。

sacefpd_awaddr I書き込みアドレスです。 書き込みアドレス バスは、 書き込みバース ト ト ランザクシ ョ ン中の 初の転送のアドレスを示します。 関連する制御信号を使用して、 バース ト中の残りの転送のアドレスを示します。

sacefpd_awlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

sacefpd_awvalid I

書き込みアドレスの Valid 信号です。 有効な書き込みアドレスおよび制御情報が利用可能であるこ とを示します。

1 = アドレスおよび制御情報は利用可能

0 = アドレスおよび制御情報は利用不可

アドレスおよび制御情報は、 アドレス ACK 信号 (AWREADY) が High に移行するまで安定しています。

sacefpd_awready O 書き込みアドレス チャネルの Ready 信号

sacefpd_wstrb I書き込みス ト ローブです。 メモ リ内のどのバイ ト レーンをアップデートするかを示します。 書き込みデータ バスの各 8 ビッ トにつき 1 つの書き込みス ト ローブがあ り ます。

sacefpd_wdata I 書き込みデータです。 書き込みデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

sacefpd_wlast I 書き込みの Last 信号です。 書き込みバース トの 後の転送を示します。

sacefpd_wvalid I

書き込みの Valid 信号です。 有効な書き込みデータおよびス ト ローブ信号が利用可能であるこ とを示します。

1 = 書き込みデータおよびス ト ローブ信号は利用可能

0 = 書き込みデータおよびス ト ローブ信号は利用不可

sacefpd_wready O

書き込みの Ready 信号です。 スレーブが書き込みデータを受信できる状態になったこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

sacefpd_bresp O書き込み応答です。 書き込みト ランザクシ ョ ンのステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

sacefpd_bid O 応答 ID です。 書き込み応答の識別用タグです。

表 B‐46 : S_AXI_ACE_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 72: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 72

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

sacefpd_bvalid O

書き込み応答の Valid 信号です。 有効な書き込み応答が利用可能であるこ とを示します。

1 = 書き込み応答は利用可能

0 = 書き込み応答は利用不可

sacefpd_bready I

応答の Ready 信号です。 マスターが応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

sacefpd_aruser I ユーザー信号です。 読み出しアドレス チャネル内のオプシ ョ ンのユーザー定義信号です。

sacefpd_arsnoop I 共有可能な読み出し ト ランザクシ ョ ンの ト ランザクシ ョ ン タイプを示します。

sacefpd_arsize I バース ト サイズです。 バース ト中の各転送サイズを示します。

sacefpd_arregion I 領域識別子です。 スレーブ上の 1 つの物理インターフェイスを、 複数の論理インターフェイス と して使用できるよ うにします。

sacefpd_arqos I サービス品質 (QoS)。 各読み出し ト ランザクシ ョ ンで送信される識別子です。

sacefpd_arprot I プロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護ユニッ トの情報を提供します。

sacefpd_arlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

sacefpd_arid I 読み出しアドレス ID です。 読み出しアドレス信号グループの識別用タグです。

sacefpd_ardomain I 読み出し ト ランザクシ ョ ンの共有可能ド メ インを示します。

sacefpd_arcache I キャ ッシュ タイプです。 転送がキャッシュ可能かど うかの属性情報を提供します。

sacefpd_arburst I バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

sacefpd_arbar I 読み出しバリ ア ト ランザクシ ョ ンを示します。

sacefpd_araddr I 読み出しアドレスです。 読み出しアドレス バスは、 読み出しバース ト ト ランザクシ ョ ンの 初のアドレスを示します。

sacefpd_arlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

sacefpd_arvalid I読み出しアドレスの Valid 信号です。 この信号が High の場合、 読み出しアドレスおよび制御情報は有効であ り、 アドレス ACK 信号 (ARREADY) が High になるまで安定しているこ とを示します。

sacefpd_arready O

読み出しアドレスの Ready 信号です。 スレーブがアドレスおよび関連する制御信号を受信可能であるこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

sacefpd_rresp O 読み出し応答です。 読み出し転送のステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

表 B‐46 : S_AXI_ACE_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 73: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 73

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

sacefpd_rid O 読み出しの ID タグです。読み出しデータ信号グループの ID タグです。

sacefpd_rdata O 読み出しデータです。 読み出しデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

sacefpd_rlast O 読み出しの Last 信号です。 読み出しバース トの 後の転送を示します。

sacefpd_rvalid O 読み出しの Valid 信号です。 必要な読み出しデータが利用可能で、 読み出し転送を完了できるこ とを示します。

sacefpd_rready I

読み出しの Ready 信号です。 マスターが読み出しデータおよび応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

sacefpd_acsnoop O スヌープ ト ランザクシ ョ ン タイプです。 スヌープ ト ランザクシ ョ ンの ト ランザクシ ョ ン タイプを示します。

sacefpd_acprot O スヌープ ト ランザクシ ョ ン タイプです。 スヌープ ト ランザクシ ョ ンのセキュ リティ レベルを示します。

sacefpd_acaddr Oスヌープ アドレスです。 スヌープ ト ランザクシ ョ ンのアドレスを示します。 スヌープ アドレスの幅は、 読み出しおよび書き込みアドレス バスの幅と一致している必要があ り ます。

sacefpd_acvalid O スヌープ アドレスの Valid 信号です。 スヌープ アドレスおよび制御情報が利用可能であるこ とを示します。

sacefpd_acready I スヌープ アドレスの Ready 信号です。 スヌープ アドレスおよび制御情報を現在のサイ クルで受信可能であるこ とを示します。

sacefpd_cddata I スヌープ データです。 スヌープ マスターからの転送データです。

sacefpd_cdlast I スヌープ ト ランザクシ ョ ンの 後のデータ転送を示します。

sacefpd_cdvalid I スヌープ データの Valid 信号です。 スヌープが有効であるこ とを示します。

sacefpd_cdready O スヌープ データの Ready 信号です。 スヌープ データを現在のサイ クルで受信可能であるこ とを示します。

sacefpd_crresp I スヌープ応答です。 スヌープ ト ランザクシ ョ ンに対する応答と、 応答を完了する方法を示します。

sacefpd_crvalid I スヌープ応答の Valid 信号です。 スヌープ応答が有効であるこ とを示します。

sacefpd_crready O スヌープ応答の Ready 信号です。 スヌープ応答を現在のサイクルで受信可能であるこ とを示します。

sacefpd_wack I 書き込み肯定応答 (ACK) 信号です。 マスターが書き込みト ランザクシ ョ ンを完了したこ とを示します。

sacefpd_rack I 読み出し肯定応答 (ACK) 信号です。 マスターが読み出し ト ランザクシ ョ ンを完了したこ とを示します。

表 B‐46 : S_AXI_ACE_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 74: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 74

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

表 B‐47 : S_AXI_ACP_FPD

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxiacp_awuser I ユーザー信号です。 書き込みアドレス チャネル内のオプシ ョ ンのユーザー定義信号です。

saxiacp_buser O ユーザー信号です。 書き込み応答チャネル内のオプシ ョ ンのユーザー定義信号です。

saxiacp_wuser I ユーザー信号です。 書き込みデータ チャネル内のオプシ ョ ンのユーザー定義信号です。

saxiacp_awid I 書き込みアドレス ID です。 書き込みアドレス信号グループの識別用タグです。

saxiacp_awaddr I書き込みアドレスです。 書き込みアドレス バスは、 書き込みバース ト ト ランザクシ ョ ン中の 初の転送のアドレスを示します。 関連する制御信号を使用して、 バース ト中の残りの転送のアドレスを示します。

saxiacp_awlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

saxiacp_awsize Iバース ト サイズです。 バース ト中の各転送サイズを示します。 バイ ト レーン ス ト ローブは、 どのバイ ト レーンをアップデートするかを正確に示します。

saxiacp_awburst I バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

saxiacp_awlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

saxiacp_awcache I キャ ッシュ タイプです。 ト ランザクシ ョ ンのバッファー可能、 キャ ッシュ可能、 ライ ト スルー、 ライ トバッ ク、 アロケート属性を示します。

saxiacp_awprot Iプロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護レベル (通常、 特権、 またはセキュア) と、 ト ランザクシ ョ ンがデータ アクセスか命令アクセスかを示します。

saxiacp_awvalid I

書き込みアドレスの Valid 信号です。 有効な書き込みアドレスおよび制御情報が利用可能であるこ とを示します。

1 = アドレスおよび制御情報は利用可能

0 = アドレスおよび制御情報は利用不可

アドレスおよび制御情報は、 アドレス ACK 信号 (AWREADY) が High に移行するまで安定しています。

saxiacp_awready I 書き込みアドレス チャネルの Ready 信号

saxiacp_wdata I 書き込みデータです。 書き込みデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

saxiacp_wstrb I書き込みス ト ローブです。 メモ リ内のどのバイ ト レーンをアップデートするかを示します。 書き込みデータ バスの各 8 ビッ トにつき 1 つの書き込みス ト ローブがあ り ます。

saxiacp_wlast I 書き込みの Last 信号です。 書き込みバース トの 後の転送を示します。

saxiacp_wvalid O

書き込みの Valid 信号です。 有効な書き込みデータおよびス ト ローブ信号が利用可能であるこ とを示します。

1 = 書き込みデータおよびス ト ローブ信号は利用可能

0 = 書き込みデータおよびス ト ローブ信号は利用不可

Page 75: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 75

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

saxiacp_wready O

書き込みの Ready 信号です。 スレーブが書き込みデータを受信できる状態になったこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

saxiacp_bid O 応答 ID です。 書き込み応答の識別用タグです。

saxiacp_bresp O書き込み応答です。 書き込みト ランザクシ ョ ンのステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

saxiacp_bvalid I

書き込み応答の Valid 信号です。 有効な書き込み応答が利用可能であるこ とを示します。

1 = 書き込み応答は利用可能

0 = 書き込み応答は利用不可

saxiacp_bready I

応答の Ready 信号です。 マスターが応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

saxiacp_arid I 読み出しアドレス ID です。 読み出しアドレス信号グループの識別用タグです。

saxiacp_araddr I 読み出しアドレスです。 読み出しアドレス バスは、 読み出しバース ト ト ランザクシ ョ ンの 初のアドレスを示します。

saxiacp_arlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

saxiacp_arsize I バース ト サイズです。 バース ト中の各転送サイズを示します。

saxiacp_arburst I バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

saxiacp_arlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

saxiacp_arcache I キャ ッシュ タイプです。 転送がキャッシュ可能かど うかの属性情報を提供します。

saxiacp_arprot Iプロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの特権およびセキュ リティ レベルと、 ト ランザクシ ョ ンがデータ アクセスか命令アクセスかを示します。

saxiacp_arvalid O読み出しアドレスの Valid 信号です。 この信号が High の場合、 読み出しアドレスおよび制御情報は有効であ り、アドレス ACK 信号 (ARREADY) が High になるまで安定しているこ とを示します。

saxiacp_arready O

読み出しアドレスの Ready 信号です。 スレーブがアドレスおよび関連する制御信号を受信可能であるこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

saxiacp_rid O 読み出しの ID タグです。 読み出しデータ信号グループの ID タグです。

saxiacp_rdata O 読み出しデータです。 読み出しデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

表 B‐47 : S_AXI_ACP_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 76: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 76

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

saxiacp_rresp O 読み出し応答です。 読み出し転送のステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

saxiacp_rlast I 読み出しの Last 信号です。 読み出しバース トの 後の転送を示します。

saxiacp_rvalid O 読み出しの Valid 信号です。 必要な読み出しデータが利用可能で、 読み出し転送を完了できるこ とを示します。

saxiacp_rready O

読み出しの Ready 信号です。 マスターが読み出しデータおよび応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

saxiacp_awqos O 書き込みアドレスチャネルの QOS 入力です。

saxiacp_arqos O 読み出しアドレスチャネルの QOS 入力です。 各読み出し ト ランザクシ ョ ンで送信される、 サービス品質 (QoS) です。

表 B‐48 : S_AXI_ACP_FPD_ACLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxiacp_awuser I ユーザー信号です。 書き込みアドレス チャネル内のオプシ ョ ンのユーザー定義信号です。

saxiacp_fpd_aclk I 入力クロ ッ ク信号

表 B‐49 : S_AXI_HP0_FPD

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号

saxigp3_awuser I ユーザー定義の AW チャネル信号

saxigp3_awid I 書き込みアドレス ID です。 書き込みアドレス信号グループの識別用タグです。

saxigp3_awaddr I書き込みアドレスです。 書き込みアドレス バスは、 書き込みバース ト ト ランザクシ ョ ン中の 初の転送のアドレスを示します。 関連する制御信号を使用して、 バース ト中の残りの転送のアドレスを示します。

saxigp3_awlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

saxigp3_awsize Iバース ト サイズです。 バース ト中の各転送サイズを示します。 バイ ト レーン ス ト ローブは、 どのバイ ト レーンをアップデートするかを正確に示します。

saxigp3_awburst I バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

saxigp3_awlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

saxigp3_awcache I キャ ッシュ タイプです。 ト ランザクシ ョ ンのバッファー可能、 キャ ッシュ可能、 ライ ト スルー、 ライ トバッ ク、 アロケート属性を示します。

表 B‐47 : S_AXI_ACP_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 77: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 77

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

saxigp3_awprot Iプロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護レベル (通常、 特権、 またはセキュア) と、 ト ランザクシ ョ ンがデータ アクセスか命令アクセスかを示します。

saxigp3_awvalid I

書き込みアドレスの Valid 信号です。 有効な書き込みアドレスおよび制御情報が利用可能であるこ とを示します。

1 = アドレスおよび制御情報は利用可能

0 = アドレスおよび制御情報は利用不可

アドレスおよび制御情報は、アドレス ACK 信号 (AWREADY) が High に移行するまで安定しています。

saxigp3_awready O 書き込みアドレス チャネルの Ready 信号

saxigp3_wdata I 書き込みデータです。 書き込みデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

saxigp3_wstrb I書き込みス ト ローブです。 メモ リ内のどのバイ ト レーンをアップデートするかを示します。書き込みデータ バスの各 8 ビッ トにつき 1 つの書き込みス ト ローブがあ り ます。

saxigp3_wlast I 書き込みの Last 信号です。 書き込みバース トの 後の転送を示します。

saxigp3_wvalid I

書き込みの Valid 信号です。 有効な書き込みデータおよびス ト ローブ信号が利用可能であるこ とを示します。

1 = 書き込みデータおよびス ト ローブ信号は利用可能

0 = 書き込みデータおよびス ト ローブ信号は利用不可

saxigp3_wready O

書き込みの Ready 信号です。 スレーブが書き込みデータを受信できる状態になったこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

saxigp3_bid O 応答 ID です。 書き込み応答の識別用タグです。

saxigp3_bresp O書き込み応答です。 書き込みト ランザクシ ョ ンのステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

saxigp3_bvalid O

書き込み応答の Valid 信号です。 有効な書き込み応答が利用可能であるこ とを示します。

1 = 書き込み応答は利用可能

0 = 書き込み応答は利用不可

saxigp3_bready I

応答の Ready 信号です。 マスターが応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

saxigp3_arid I 読み出しアドレス ID です。 読み出しアドレス信号グループの識別用タグです。

saxigp3_araddr I 読み出しアドレスです。 読み出しアドレス バスは、 読み出しバース ト ト ランザクシ ョ ンの 初のアドレスを示します。

saxigp3_arlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

表 B‐49 : S_AXI_HP0_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 78: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 78

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

saxigp3_arsize I バース ト サイズです。 バース ト中の各転送サイズを示します。

saxigp3_arburst I バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

saxigp3_arlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

saxigp3_arcache I キャ ッシュ タイプです。 転送がキャッシュ可能かど うかの属性情報を提供します。

saxigp3_arprot I プロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護ユニッ トの情報を提供します。

saxigp3_arvalid I読み出しアドレスの Valid 信号です。 この信号が High の場合、 読み出しアドレスおよび制御情報は有効であ り、 アドレス ACK 信号 (ARREADY) が High になるまで安定しているこ とを示します。

saxigp3_arready O

読み出しアドレスの Ready 信号です。 スレーブがアドレスおよび関連する制御信号を受信可能であるこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

saxigp3_rid O 読み出しの ID タグです。 読み出しデータ信号グループの ID タグです。

saxigp3_rdata O 読み出しデータです。 読み出しデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

saxigp3_rresp O 読み出し応答です。 読み出し転送のステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

saxigp3_rlast O 読み出しの Last 信号です。 読み出しバース トの 後の転送を示します。

saxigp3_rvalid O 読み出しの Valid 信号です。 必要な読み出しデータが利用可能で、 読み出し転送を完了できるこ とを示します。

saxigp3_rready I

読み出しの Ready 信号です。 マスターが読み出しデータおよび応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

saxigp3_awqos O 書き込みアドレスチャネルの QOS 入力

saxigp3_arqos O 読み出しアドレスチャネルの QOS 入力

saxigp3_rcount O 読み出しデータ チャネルの充填レベル

saxigp3_wcount O 書き込みデータ チャネルの充填レベル

saxigp3_racount O 読み出しアドレス チャネルの充填レベル

saxigp3_wacount O 書き込みアドレス チャネルの充填レベル

表 B‐50 : S_AXI_HP0_FPD_ACLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号

saxihp0_fpd_aclk I 入力クロ ッ ク信号

表 B‐49 : S_AXI_HP0_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 79: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 79

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

表 B‐51 : S_AXI_HP0_FPD_RCLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号

saxihp0_fpd_rclk I 読み出しクロ ッ ク信号

表 B‐52 : S_AXI_HP0_FPD_WCLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号 0

saxihp0_fpd_wclk I 書き込みクロ ッ ク信号

表 B‐53 : S_AXI_HP1_FPD

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号

saxigp3_awuser I ユーザー定義の AW チャネル信号

saxigp3_awid I 書き込みアドレス ID です。 書き込みアドレス信号グループの識別用タグです。

saxigp3_awaddr I書き込みアドレスです。 書き込みアドレス バスは、 書き込みバース ト ト ランザクシ ョ ン中の 初の転送のアドレスを示します。 関連する制御信号を使用して、 バース ト中の残りの転送のアドレスを示します。

saxigp3_awlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

saxigp3_awsize Iバース ト サイズです。 バース ト中の各転送サイズを示します。 バイ ト レーン ス ト ローブは、 どのバイ ト レーンをアップデートするかを正確に示します。

saxigp3_awburst I バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

saxigp3_awlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

saxigp3_awcache I キャ ッシュ タイプです。 ト ランザクシ ョ ンのバッファー可能、 キャ ッシュ可能、 ライ ト スルー、 ライ トバッ ク、 アロケート属性を示します。

saxigp3_awprot Iプロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護レベル (通常、 特権、 またはセキュア) と、 ト ランザクシ ョ ンがデータ アクセスか命令アクセスかを示します。

saxigp3_awvalid I

書き込みアドレスの Valid 信号です。 有効な書き込みアドレスおよび制御情報が利用可能であるこ とを示します。

1 = アドレスおよび制御情報は利用可能

0 = アドレスおよび制御情報は利用不可

アドレスおよび制御情報は、 アドレス ACK 信号 (AWREADY) が High に移行するまで安定しています。

saxigp3_awready O 書き込みアドレス チャネルの Ready 信号

saxigp3_wdata I 書き込みデータです。 書き込みデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

Page 80: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 80

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

saxigp3_wstrb I書き込みス ト ローブです。 メモ リ内のどのバイ ト レーンをアップデートするかを示します。 書き込みデータ バスの各 8 ビッ トにつき 1 つの書き込みス ト ローブがあ り ます。

saxigp3_wlast I 書き込みの Last 信号です。 書き込みバース トの 後の転送を示します。

saxigp3_wvalid I

書き込みの Valid 信号です。 有効な書き込みデータおよびス ト ローブ信号が利用可能であるこ とを示します。

1 = 書き込みデータおよびス ト ローブ信号は利用可能

0 = 書き込みデータおよびス ト ローブ信号は利用不可

saxigp3_wready O

書き込みの Ready 信号です。 スレーブが書き込みデータを受信できる状態になったこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

saxigp3_bid O 応答 ID です。 書き込み応答の識別用タグです。

saxigp3_bresp O書き込み応答です。 書き込みト ランザクシ ョ ンのステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

saxigp3_bvalid O

書き込み応答の Valid 信号です。 有効な書き込み応答が利用可能であるこ とを示します。

1 = 書き込み応答は利用可能

0 = 書き込み応答は利用不可

saxigp3_bready I

応答の Ready 信号です。 マスターが応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

saxigp3_arid I 読み出しアドレス ID です。 読み出しアドレス信号グループの識別用タグです。

saxigp3_araddr I 読み出しアドレスです。 読み出しアドレス バスは、 読み出しバース ト ト ランザクシ ョ ンの 初のアドレスを示します。

saxigp3_arlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

saxigp3_arsize I バース ト サイズです。 バース ト中の各転送サイズを示します。

saxigp3_arburst I バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

saxigp3_arlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

saxigp3_arcache I キャ ッシュ タイプです。 転送がキャ ッシュ可能かど うかの属性情報を提供します。

saxigp3_arprot I プロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護ユニッ トの情報を提供します。

saxigp3_arvalid I読み出しアドレスの Valid 信号です。 この信号が High の場合、 読み出しアドレスおよび制御情報は有効であ り、アドレス ACK 信号 (ARREADY) が High になるまで安定しているこ とを示します。

表 B‐53 : S_AXI_HP1_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 81: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 81

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

saxigp3_arready O

読み出しアドレスの Ready 信号です。 スレーブがアドレスおよび関連する制御信号を受信可能であるこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

saxigp3_rid O 読み出しの ID タグです。 読み出しデータ信号グループの ID タグです。

saxigp3_rdata O 読み出しデータです。 読み出しデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

saxigp3_rresp O 読み出し応答です。 読み出し転送のステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

saxigp3_rlast O 読み出しの Last 信号です。 読み出しバース トの 後の転送を示します。

saxigp3_rvalid O 読み出しの Valid 信号です。 必要な読み出しデータが利用可能で、 読み出し転送を完了できるこ とを示します。

saxigp3_rready I

読み出しの Ready 信号です。 マスターが読み出しデータおよび応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

saxigp3_awqos O 書き込みアドレスチャネルの QOS 入力

saxigp3_arqos O 読み出しアドレスチャネルの QOS 入力

saxigp3_rcount O 読み出しデータ チャネルの充填レベル

saxigp3_wcount O 書き込みデータ チャネルの充填レベル

saxigp3_racount O 読み出しアドレス チャネルの充填レベル

saxigp3_wacount O 書き込みアドレス チャネルの充填レベル

表 B‐54 : S_AXI_HP1_FPD_ACLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号

Saxihp1_fpd_aclk I 入力クロ ッ ク信号

表 B‐55 : S_AXI_HP1_FPD_RCLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号

Saxihp1_fpd_rclk I 読み出しクロ ッ ク信号

表 B‐56 : S_AXI_HP1_FPD_WCLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号

saxihp1_fpd_wclk I 書き込みクロ ッ ク信号

表 B‐53 : S_AXI_HP1_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 82: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 82

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

表 B‐57 : S_AXI_HP2_FPD

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号

saxigp3_awuser I ユーザー定義の AW チャネル信号

saxigp3_awid I 書き込みアドレス ID です。 書き込みアドレス信号グループの識別用タグです。

saxigp3_awaddr I書き込みアドレスです。 書き込みアドレス バスは、 書き込みバース ト ト ランザクシ ョ ン中の 初の転送のアドレスを示します。 関連する制御信号を使用して、 バース ト中の残りの転送のアドレスを示します。

saxigp3_awlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

saxigp3_awsize Iバース ト サイズです。 バース ト中の各転送サイズを示します。 バイ ト レーン ス ト ローブは、 どのバイ ト レーンをアップデートするかを正確に示します。

saxigp3_awburst I バース ト タイプです。バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

saxigp3_awlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

saxigp3_awcache I キャ ッシュ タイプです。 ト ランザクシ ョ ンのバッファー可能、 キャ ッシュ可能、 ライ ト スルー、 ラ イ トバッ ク、 アロケート属性を示します。

saxigp3_awprot Iプロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護レベル (通常、 特権、 またはセキュア) と、 ト ランザクシ ョ ンがデータ アクセスか命令アクセスかを示します。

saxigp3_awvalid I

書き込みアドレスの Valid 信号です。 有効な書き込みアドレスおよび制御情報が利用可能であるこ とを示します。

1 = アドレスおよび制御情報は利用可能

0 = アドレスおよび制御情報は利用不可

アドレスおよび制御情報は、 アドレス ACK 信号 (AWREADY) が High に移行するまで安定しています。

saxigp3_awready O 書き込みアドレス チャネルの Ready 信号です。

saxigp3_wdata I 書き込みデータです。 書き込みデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

saxigp3_wstrb I書き込みス ト ローブです。 メモ リ内のどのバイ ト レーンをアップデートするかを示します。 書き込みデータ バスの各 8 ビッ トにつき 1 つの書き込みス ト ローブがあ り ます。

saxigp3_wlast I 書き込みの Last 信号です。 書き込みバース トの 後の転送を示します。

saxigp3_wvalid I

書き込みの Valid 信号です。 有効な書き込みデータおよびス ト ローブ信号が利用可能であるこ とを示します。

1 = 書き込みデータおよびス ト ローブ信号は利用可能

0 = 書き込みデータおよびス ト ローブ信号は利用不可

saxigp3_wready O

書き込みの Ready 信号です。 スレーブが書き込みデータを受信できる状態になったこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

saxigp3_bid O 応答 ID です。 書き込み応答の識別用タグです。

Page 83: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 83

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

saxigp3_bresp O書き込み応答です。 書き込みト ランザクシ ョ ンのステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

saxigp3_bvalid O

書き込み応答の Valid 信号です。 有効な書き込み応答が利用可能であるこ とを示します。

1 = 書き込み応答は利用可能

0 = 書き込み応答は利用不可

saxigp3_bready I

応答の Ready 信号です。 マスターが応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

saxigp3_arid I 読み出しアドレス ID です。 読み出しアドレス信号グループの識別用タグです。

saxigp3_araddr I 読み出しアドレスです。 読み出しアドレス バスは、 読み出しバース ト ト ランザクシ ョ ンの 初のアドレスを示します。

saxigp3_arlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

saxigp3_arsize I バース ト サイズです。 バース ト中の各転送サイズを示します。

saxigp3_arburst I バース ト タイプです。バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

saxigp3_arlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

saxigp3_arcache I キャ ッシュ タイプです。 転送がキャッシュ可能かど うかの属性情報を提供します。

saxigp3_arprot I プロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護ユニッ トの情報を提供します。

saxigp3_arvalid I読み出しアドレスの Valid 信号です。 この信号が High の場合、 読み出しアドレスおよび制御情報は有効であ り、アドレス ACK 信号 (ARREADY) が High になるまで安定しているこ とを示します。

saxigp3_arready O

読み出しアドレスの Ready 信号です。 スレーブがアドレスおよび関連する制御信号を受信可能であるこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

saxigp3_rid O 読み出しの ID タグです。 読み出しデータ信号グループの ID タグです。

saxigp3_rdata O 読み出しデータです。 読み出しデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

saxigp3_rresp O 読み出し応答です。 読み出し転送のステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

saxigp3_rlast O 読み出しの Last 信号です。 読み出しバース トの 後の転送を示します。

saxigp3_rvalid O 読み出しの Valid 信号です。 必要な読み出しデータが利用可能で、 読み出し転送を完了できるこ とを示します。

表 B‐57 : S_AXI_HP2_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 84: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 84

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

saxigp3_rready I

読み出しの Ready 信号です。 マスターが読み出しデータおよび応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

saxigp3_awqos O 書き込みアドレスチャネルの QOS 入力

saxigp3_arqos O 読み出しアドレスチャネルの QOS 入力

saxigp3_rcount O 読み出しデータ チャネルの充填レベル

saxigp3_wcount O 書き込みデータ チャネルの充填レベル

saxigp3_racount O 読み出しアドレス チャネルの充填レベル

saxigp3_wacount O 書き込みアドレス チャネルの充填レベル

表 B‐58 : S_AXI_HP2_FPD_ACLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号

Saxihp2_fpd_aclk I 入力クロ ッ ク信号

表 B‐59 : S_AXI_HP2_FPD_RCLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号

Saxihp2_fpd_rclk I 読み出しクロ ッ ク信号

表 B‐60 : S_AXI_HP2_FPD_WCLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号

Saxihp2_fpd_wclk I 書き込みクロ ッ ク信号

表 B‐61 : S_AXI_HP3_FPD

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号

saxigp3_awuser I ユーザー定義の AW チャネル信号

saxigp3_awid I 書き込みアドレス ID です。 書き込みアドレス信号グループの識別用タグです。

saxigp3_awaddr I書き込みアドレスです。 書き込みアドレス バスは、 書き込みバース ト ト ランザクシ ョ ン中の 初の転送のアドレスを示します。 関連する制御信号を使用して、 バース ト中の残りの転送のアドレスを示します。

表 B‐57 : S_AXI_HP2_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 85: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 85

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

saxigp3_awlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

saxigp3_awsize Iバース ト サイズです。 バース ト中の各転送サイズを示します。 バイ ト レーン ス ト ローブは、 どのバイ ト レーンをアップデートするかを正確に示します。

saxigp3_awburst I バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

saxigp3_awlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

saxigp3_awcache I キャ ッシュ タイプです。 ト ランザクシ ョ ンのバッファー可能、 キャ ッシュ可能、 ライ ト スルー、 ラ イ トバッ ク、 アロケート属性を示します。

saxigp3_awprot Iプロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護レベル (通常、特権、 またはセキュア) と、 ト ランザクシ ョ ンがデータ アクセスか命令アクセスかを示します。

saxigp3_awvalid I

書き込みアドレスの Valid 信号です。 有効な書き込みアドレスおよび制御情報が利用可能であるこ とを示します。

1 = アドレスおよび制御情報は利用可能

0 = アドレスおよび制御情報は利用不可

アドレスおよび制御情報は、 アドレス ACK 信号 (AWREADY) が High に移行するまで安定しています。

saxigp3_awready O 書き込みアドレス チャネルの Ready 信号

saxigp3_wdata I 書き込みデータです。 書き込みデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

saxigp3_wstrb I書き込みス ト ローブです。 メモ リ内のどのバイ ト レーンをアップデートするかを示します。 書き込みデータ バスの各 8 ビッ トにつき 1 つの書き込みス ト ローブがあ り ます。

saxigp3_wlast I 書き込みの Last 信号です。 書き込みバース トの 後の転送を示します。

saxigp3_wvalid I

書き込みの Valid 信号です。 有効な書き込みデータおよびス ト ローブ信号が利用可能であるこ とを示します。

1 = 書き込みデータおよびス ト ローブ信号は利用可能

0 = 書き込みデータおよびス ト ローブ信号は利用不可

saxigp3_wready O

書き込みの Ready 信号です。 スレーブが書き込みデータを受信できる状態になったこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

saxigp3_bid O 応答 ID です。 書き込み応答の識別用タグです。

saxigp3_bresp O書き込み応答です。 書き込みト ランザクシ ョ ンのステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

saxigp3_bvalid O

書き込み応答の Valid 信号です。 有効な書き込み応答が利用可能であるこ とを示します。

1 = 書き込み応答は利用可能

0 = 書き込み応答は利用不可

表 B‐61 : S_AXI_HP3_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 86: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 86

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

saxigp3_bready I

応答の Ready 信号です。 マスターが応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

saxigp3_arid I 読み出しアドレス ID です。 読み出しアドレス信号グループの識別用タグです。

saxigp3_araddr I 読み出しアドレスです。 読み出しアドレス バスは、 読み出しバース ト ト ランザクシ ョ ンの 初のアドレスを示します。

saxigp3_arlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

saxigp3_arsize I バース ト サイズです。 バース ト中の各転送サイズを示します。

saxigp3_arburst I バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

saxigp3_arlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

saxigp3_arcache I キャ ッシュ タイプです。 転送がキャッシュ可能かど うかの属性情報を提供します。

saxigp3_arprot I プロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護ユニッ トの情報を提供します。

saxigp3_arvalid I読み出しアドレスの Valid 信号です。 この信号が High の場合、 読み出しアドレスおよび制御情報は有効であ り、 アドレス ACK 信号 (ARREADY) が High になるまで安定しているこ とを示します。

saxigp3_arready O

読み出しアドレスの Ready 信号です。 スレーブがアドレスおよび関連する制御信号を受信可能であるこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

saxigp3_rid O 読み出しの ID タグです。 読み出しデータ信号グループの ID タグです。

saxigp3_rdata O 読み出しデータです。 読み出しデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

saxigp3_rresp O 読み出し応答です。 読み出し転送のステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

saxigp3_rlast O 読み出しの Last 信号です。 読み出しバース トの 後の転送を示します。

saxigp3_rvalid O 読み出しの Valid 信号です。 必要な読み出しデータが利用可能で、 読み出し転送を完了できるこ とを示します。

saxigp3_rready I

読み出しの Ready 信号です。 マスターが読み出しデータおよび応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

saxigp3_awqos O 書き込みアドレスチャネルの QOS 入力

saxigp3_arqos O 読み出しアドレスチャネルの QOS 入力

saxigp3_rcount O 読み出しデータ チャネルの充填レベル

saxigp3_wcount O 書き込みデータ チャネルの充填レベル

表 B‐61 : S_AXI_HP3_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 87: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 87

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

saxigp3_racount O 読み出しアドレス チャネルの充填レベル

saxigp3_wacount O 書き込みアドレス チャネルの充填レベル

表 B‐62 : S_AXI_HP3_FPD_ACLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号

Saxihp3_fpd_aclk I 入力クロ ッ ク信号

表 B‐63 : S_AXI_HP3_FPD_RCLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号

Saxihp3_fpd_rclk I 読み出しクロ ッ ク信号

表 B‐64 : S_AXI_HP1_FPD_WCLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp3_aruser I ユーザー定義の AR チャネル信号

Saxihp3_fpd_wclk I 書き込みクロ ッ ク信号

表 B‐65 : S_AXI_HPC0_FPD

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp0_aruser I ユーザー定義の AR チャネル信号

saxigp0_awuser I ユーザー定義の AW チャネル信号

saxigp0_awid I 書き込みアドレス ID です。 書き込みアドレス信号グループの識別用タグです。

saxigp0_awaddr I書き込みアドレスです。 書き込みアドレス バスは、 書き込みバース ト ト ランザクシ ョ ン中の 初の転送のアドレスを示します。 関連する制御信号を使用して、 バース ト中の残りの転送のアドレスを示します。

saxigp0_awlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

saxigp0_awsize Iバース ト サイズです。 バース ト中の各転送サイズを示します。 バイ ト レーン ス ト ローブは、 どのバイ ト レーンをアップデートするかを正確に示します。

saxigp0_awburst I バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

saxigp0_awlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

saxigp0_awcache I キャ ッシュ タイプです。 ト ランザクシ ョ ンのバッファー可能、 キャ ッシュ可能、 ライ ト スルー、 ライ トバッ ク、 アロケート属性を示します。

表 B‐61 : S_AXI_HP3_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 88: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 88

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

saxigp0_awprot Iプロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護レベル (通常、 特権、 またはセキュア) と、 ト ランザクシ ョ ンがデータ アクセスか命令アクセスかを示します。

saxigp0_awvalid I

書き込みアドレスの Valid 信号です。 有効な書き込みアドレスおよび制御情報が利用可能であるこ とを示します。

1 = アドレスおよび制御情報は利用可能

0 = アドレスおよび制御情報は利用不可

アドレスおよび制御情報は、 アドレス ACK 信号 (AWREADY) が High に移行するまで安定しています。

saxigp0_awready O 書き込みアドレス チャネルの Ready 信号

saxigp0_wdata I 書き込みデータです。 書き込みデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

saxigp0_wstrb I書き込みス ト ローブです。 メモ リ内のどのバイ ト レーンをアップデートするかを示します。 書き込みデータ バスの各 8 ビッ トにつき 1 つの書き込みス ト ローブがあ り ます。

saxigp0_wlast I 書き込みの Last 信号です。 書き込みバース トの 後の転送を示します。

saxigp0_wvalid I

書き込みの Valid 信号です。 有効な書き込みデータおよびス ト ローブ信号が利用可能であるこ とを示します。

1 = 書き込みデータおよびス ト ローブ信号は利用可能

0 = 書き込みデータおよびス ト ローブ信号は利用不可

saxigp0_wready O

書き込みの Ready 信号です。 スレーブが書き込みデータを受信できる状態になったこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

saxigp0_bid O 応答 ID です。 書き込み応答の識別用タグです。

saxigp0_bresp O書き込み応答です。 書き込みト ランザクシ ョ ンのステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

saxigp0_bvalid O

書き込み応答の Valid 信号です。 有効な書き込み応答が利用可能であるこ とを示します。

1 = 書き込み応答は利用可能

0 = 書き込み応答は利用不可

saxigp0_bready I

応答の Ready 信号です。 マスターが応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

saxigp0_arid I 読み出しアドレス ID です。 読み出しアドレス信号グループの識別用タグです。

saxigp0_araddr I 読み出しアドレスです。 読み出しアドレス バスは、 読み出しバース ト ト ランザクシ ョ ンの 初のアドレスを示します。

saxigp0_arlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。 この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま り ます。

表 B‐65 : S_AXI_HPC0_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 89: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 89

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

saxigp0_arsize I バース ト サイズです。 バース ト中の各転送サイズを示します。

saxigp0_arburst I バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

saxigp0_arlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

saxigp0_arcache I キャ ッシュ タイプです。 転送がキャッシュ可能かど うかの属性情報を提供します。

saxigp0_arprot I プロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護ユニッ トの情報を提供します。

saxigp0_arvalid I読み出しアドレスの Valid 信号です。 この信号が High の場合、 読み出しアドレスおよび制御情報は有効であ り、アドレス ACK 信号 (ARREADY) が High になるまで安定しているこ とを示します。

saxigp0_arready O

読み出しアドレスの Ready 信号です。 スレーブがアドレスおよび関連する制御信号を受信可能であるこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

saxigp0_rid O 読み出しの ID タグです。 読み出しデータ信号グループの ID タグです。

saxigp0_rdata O 読み出しデータです。 読み出しデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

saxigp0_rresp O 読み出し応答です。 読み出し転送のステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

saxigp0_rlast O 読み出しの Last 信号です。 読み出しバース トの 後の転送を示します。

saxigp0_rvalid O 読み出しの Valid 信号です。 必要な読み出しデータが利用可能で、 読み出し転送を完了できるこ とを示します。

saxigp0_rready I

読み出しの Ready 信号です。 マスターが読み出しデータおよび応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

saxigp0_awqos O 書き込みアドレスチャネルの QOS 入力

saxigp0_arqos O 読み出しアドレスチャネルの QOS 入力

saxigp0_rcount O 読み出しデータ チャネルの充填レベル

saxigp0_wcount O 書き込みデータ チャネルの充填レベル

saxigp0_racount O 読み出しアドレス チャネルの充填レベル

saxigp0_wacount O 書き込みアドレス チャネルの充填レベル

表 B‐66 : S_AXI_HPC0_FPD_ACLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp0_aruser I ユーザー定義の AR チャネル信号

saxihpc0_fpd_aclk I 入力クロ ッ ク信号

表 B‐65 : S_AXI_HPC0_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 90: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 90

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

表 B‐67 : S_AXI_HPC0_FPD_RCLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp0_aruser I ユーザー定義の AR チャネル信号

saxihpc0_fpd_rclk I 読み出しクロ ッ ク信号

表 B‐68 : S_AXI_HPC0_FPD_WCLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp0_aruser I ユーザー定義の AR チャネル信号

saxihpc0_fpd_wclk I 書き込みクロ ッ ク信号

表 B‐69 : S_AXI_HPC1_FPD

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Saxigp1_aruser I ユーザー定義の AR チャネル信号

Saxigp1_awuser I ユーザー定義の AW チャネル信号

Saxigp1_awid I 書き込みアドレス ID です。 書き込みアドレス信号グループの識別用タグです。

Saxigp1_awaddr I書き込みアドレスです。 書き込みアドレス バスは、 書き込みバース ト トランザクシ ョ ン中の 初の転送のアドレスを示します。 関連する制御信号を使用して、 バース ト中の残りの転送のアドレスを示します。

Saxigp1_awlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま ります。

Saxigp1_awsize Iバース ト サイズです。 バース ト中の各転送サイズを示します。 バイ ト レーン ス ト ローブは、 どのバイ ト レーンをアップデートするかを正確に示します。

Saxigp1_awburst I バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、 バース ト中の各転送のアドレスの計算方法を詳し く示します。

Saxigp1_awlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

Saxigp1_awcache I キャ ッシュ タイプです。 ト ランザクシ ョ ンのバッファー可能、 キャ ッシュ可能、 ライ ト スルー、 ライ トバッ ク、 アロケート属性を示します。

Saxigp1_awprot Iプロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護レベル (通常、 特権、 またはセキュア) と、 ト ランザクシ ョ ンがデータ アクセスか命令アクセスかを示します。

Saxigp1_awvalid I

書き込みアドレスの Valid 信号です。 有効な書き込みアドレスおよび制御情報が利用可能であるこ とを示します。

1 = アドレスおよび制御情報は利用可能

0 = アドレスおよび制御情報は利用不可

アドレスおよび制御情報は、 アドレス ACK 信号 (AWREADY) が High に移行するまで安定しています。

Saxigp1_awready O 書き込みアドレス チャネルの Ready 信号

Saxigp1_wdata I 書き込みデータです。 書き込みデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

Page 91: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 91

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

Saxigp1_wstrb I書き込みス ト ローブです。 メモ リ内のどのバイ ト レーンをアップデートするかを示します。 書き込みデータ バスの各 8 ビッ トにつき 1 つの書き込みス ト ローブがあ り ます。

Saxigp1_wlast I 書き込みの Last 信号です。 書き込みバース トの 後の転送を示します。

Saxigp1_wvalid I

書き込みの Valid 信号です。 有効な書き込みデータおよびス ト ローブ信号が利用可能であるこ とを示します。

1 = 書き込みデータおよびス ト ローブ信号は利用可能

0 = 書き込みデータおよびス ト ローブ信号は利用不可

Saxigp1_wready O

書き込みの Ready 信号です。 スレーブが書き込みデータを受信できる状態になったこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

Saxigp1_bid O 応答 ID です。 書き込み応答の識別用タグです。

Saxigp1_bresp O 書き込み応答です。 書き込みト ランザクシ ョ ンのステータスを示します。許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

Saxigp1_bvalid O

書き込み応答の Valid 信号です。 有効な書き込み応答が利用可能であることを示します。

1 = 書き込み応答は利用可能

0 = 書き込み応答は利用不可

Saxigp1_bready I

応答の Ready 信号です。 マスターが応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

Saxigp1_arid I 読み出しアドレス ID です。 読み出しアドレス信号グループの識別用タグです。

Saxigp1_araddr I 読み出しアドレスです。 読み出しアドレス バスは、 読み出しバース ト トランザクシ ョ ンの 初のアドレスを示します。

Saxigp1_arlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま ります。

Saxigp1_arsize I バース ト サイズです。 バース ト中の各転送サイズを示します。

Saxigp1_arburst I バース ト タイプです。 バース ト タイプとサイズ情報を組み合わせて、 バース ト中の各転送のアドレスの計算方法を詳し く示します。

Saxigp1_arlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

Saxigp1_arcache I キャ ッシュ タイプです。 転送がキャッシュ可能かど うかの属性情報を提供します。

Saxigp1_arprot I プロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護ユニッ トの情報を提供します。

Saxigp1_arvalid I読み出しアドレスの Valid 信号です。 この信号が High の場合、 読み出しアドレスおよび制御情報は有効であ り、 アドレス ACK 信号 (ARREADY) が High になるまで安定しているこ とを示します。

表 B‐69 : S_AXI_HPC1_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 92: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 92

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

Saxigp1_arready O

読み出しアドレスの Ready 信号です。 スレーブがアドレスおよび関連する制御信号を受信可能であるこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

Saxigp1_rid O 読み出しの ID タグです。 読み出しデータ信号グループの ID タグです。

Saxigp1_rdata O 読み出しデータです。 読み出しデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

Saxigp1_rresp O 読み出し応答です。読み出し転送のステータスを示します。許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

Saxigp1_rlast O 読み出しの Last 信号です。 読み出しバース トの 後の転送を示します。

Saxigp1_rvalid O 読み出しの Valid 信号です。 必要な読み出しデータが利用可能で、 読み出し転送を完了できるこ とを示します。

Saxigp1_rready I

読み出しの Ready 信号です。 マスターが読み出しデータおよび応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

Saxigp1_awqos O 書き込みアドレスチャネルの QOS 入力

Saxigp1_arqos O 読み出しアドレスチャネルの QOS 入力

Saxigp1_rcount O 読み出しデータ チャネルの充填レベル

Saxigp1_wcount O 書き込みデータ チャネルの充填レベル

Saxigp1_racount O 読み出しアドレス チャネルの充填レベル

Saxigp1_wacount O 書き込みアドレス チャネルの充填レベル

表 B‐70 : S_AXI_HPC1_FPD_ACLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Saxigp1_aruser I ユーザー定義の AR チャネル信号

Saxihpc1_fpd_aclk I 入力クロ ッ ク信号

表 B‐71 : S_AXI_HPC1_FPD_RCLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Saxigp1_aruser I ユーザー定義の AR チャネル信号

Saxihpc1_fpd_rclk I 読み出しクロ ッ ク信号

表 B‐72 : S_AXI_HPC1_FPD_WCLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Saxigp1_aruser I ユーザー定義の AR チャネル信号

Saxihpc1_fpd_wclk I 書き込みクロ ッ ク信号

表 B‐69 : S_AXI_HPC1_FPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 93: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 93

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

表 B‐73 : S_AXI_PL_LPD

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp2_aruser I ユーザー定義の AR チャネル信号

saxigp2_awuser I ユーザー定義の AW チャネル信号

saxigp2_awid I 書き込みアドレス ID です。 書き込みアドレス信号グループの識別用タグです。

saxigp2_awaddr I書き込みアドレスです。 書き込みアドレス バスは、 書き込みバース ト トランザクシ ョ ン中の 初の転送のアドレスを示します。 関連する制御信号を使用して、 バース ト中の残りの転送のアドレスを示します。

saxigp2_awlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま ります。

saxigp2_awsize Iバース ト サイズです。 バース ト中の各転送サイズを示します。 バイ ト レーン ス ト ローブは、 どのバイ ト レーンをアップデートするかを正確に示します。

saxigp2_awburst I バース ト タイプです。バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

saxigp2_awlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

saxigp2_awcache I キャ ッシュ タイプです。 ト ランザクシ ョ ンのバッファー可能、 キャ ッシュ可能、 ライ ト スルー、 ライ トバッ ク、 アロケート属性を示します。

saxigp2_awprot Iプロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護レベル (通常、 特権、 またはセキュア) と、 ト ランザクシ ョ ンがデータ アクセスか命令アクセスかを示します。

saxigp2_awvalid I

書き込みアドレスの Valid 信号です。 有効な書き込みアドレスおよび制御情報が利用可能であるこ とを示します。

1 = アドレスおよび制御情報は利用可能

0 = アドレスおよび制御情報は利用不可

アドレスおよび制御情報は、 アドレス ACK 信号 (AWREADY) が High に移行するまで安定しています。

saxigp2_awready O 書き込みアドレス チャネルの Ready 信号

saxigp2_wdata I 書き込みデータです。 書き込みデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

saxigp2_wstrb I書き込みス ト ローブです。 メモ リ内のどのバイ ト レーンをアップデートするかを示します。 書き込みデータ バスの各 8 ビッ トにつき 1 つの書き込みス ト ローブがあ り ます。

saxigp2_wlast I 書き込みの Last 信号です。 書き込みバース トの 後の転送を示します。

saxigp2_wvalid I

書き込みの Valid 信号です。 有効な書き込みデータおよびス ト ローブ信号が利用可能であるこ とを示します。

1 = 書き込みデータおよびス ト ローブ信号は利用可能

0 = 書き込みデータおよびス ト ローブ信号は利用不可

saxigp2_wready O

書き込みの Ready 信号です。 スレーブが書き込みデータを受信できる状態になったこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

saxigp2_bid O 応答 ID です。 書き込み応答の識別用タグです。

Page 94: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 94

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

saxigp2_bresp O書き込み応答です。 書き込みト ランザクシ ョ ンのステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

saxigp2_bvalid O

書き込み応答の Valid 信号です。 有効な書き込み応答が利用可能であるこ とを示します。

1 = 書き込み応答は利用可能

0 = 書き込み応答は利用不可

saxigp2_bready I

応答の Ready 信号です。 マスターが応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

saxigp2_arid I 読み出しアドレス ID です。 読み出しアドレス信号グループの識別用タグです。

saxigp2_araddr I 読み出しアドレスです。 読み出しアドレス バスは、 読み出しバース ト トランザクシ ョ ンの 初のアドレスを示します。

saxigp2_arlen Iバース ト長です。 1 回のバース トに含まれる正確な転送回数を示します。この情報によ り、 アドレスに関連付けられるデータ転送の回数が決ま ります。

saxigp2_arsize I バース ト サイズです。 バース ト中の各転送サイズを示します。

saxigp2_arburst I バース ト タイプです。バース ト タイプとサイズ情報を組み合わせて、バース ト中の各転送のアドレスの計算方法を詳し く示します。

saxigp2_arlock I ロ ッ ク タイプです。 転送が不可分かど うかの属性情報を提供します。

saxigp2_arcache I キャ ッシュ タイプです。 転送がキャッシュ可能かど うかの属性情報を提供します。

saxigp2_arprot I プロテクシ ョ ン タイプです。 ト ランザクシ ョ ンの保護ユニッ トの情報を提供します。

saxigp2_arvalid I読み出しアドレスの Valid 信号です。 この信号が High の場合、 読み出しアドレスおよび制御情報は有効であ り、 アドレス ACK 信号 (ARREADY) が High になるまで安定しているこ とを示します。

saxigp2_arready O

読み出しアドレスの Ready 信号です。 スレーブがアドレスおよび関連する制御信号を受信可能であるこ とを示します。

1 = スレーブは受信可能な状態

0 = スレーブは受信可能な状態でない

saxigp2_rid O 読み出しの ID タグです。 読み出しデータ信号グループの ID タグです。

saxigp2_rdata O 読み出しデータです。 読み出しデータ バスは、 8、 16、 32、 64、 128、256、 512、 または 1,024 ビッ ト幅です。

saxigp2_rresp O 読み出し応答です。 読み出し転送のステータスを示します。 許容される応答は、 OKAY、 EXOKAY、 SLVERR、 および DECERR です。

saxigp2_rlast O 読み出しの Last 信号です。 読み出しバース トの 後の転送を示します。

saxigp2_rvalid O 読み出しの Valid 信号です。 必要な読み出しデータが利用可能で、 読み出し転送を完了できるこ とを示します。

表 B‐73 : S_AXI_PL_LPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 95: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 95

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 B : ポートの説明

saxigp2_rready I

読み出しの Ready 信号です。 マスターが読み出しデータおよび応答情報を受信できる状態になったこ とを示します。

1 = マスターは受信可能な状態

0 = マスターは受信可能な状態でない

saxigp2_awqos O 書き込みアドレスチャネルの QOS 入力

saxigp2_arqos O 読み出しアドレスチャネルの QOS 入力

saxigp2_rcount O 読み出しデータ チャネルの充填レベル

saxigp2_wcount O 書き込みデータ チャネルの充填レベル

saxigp2_racount O 読み出しアドレス チャネルの充填レベル

saxigp2_wacount O 書き込みアドレス チャネルの充填レベル

表 B‐74 : S_AXI_PL_LPD_ACLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp2_aruser I ユーザー定義の AR チャネル信号

saxipl_lpd_aclk I 入力クロ ッ ク信号

表 B‐75 : S_AXI_PL_LPD_RCLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp2_aruser I ユーザー定義の AR チャネル信号

saxipl_lpd_rclk I 読み出しクロ ッ ク信号

表 B‐76 : S_AXI_PL_LPD_WCLK

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

saxigp2_aruser I ユーザー定義の AR チャネル信号

saxipl_lpd_wclk I 書き込みクロ ッ ク信号

表 B‐73 : S_AXI_PL_LPD (続き)

Zynq Ultrascale+ 

MPSoc PS I/O 名I/O 説明

Page 96: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 99

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C

ユーザー パラメーター

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

ADMA イネーブル PSU_USE_ADMA 0、 1 0

マスター AXI GPIO 0 イネーブル PSU_USE_M_AXI_GP0 0、 1 1

AXI GPIO 0 のデータ幅 PSU_MAXIGP0_DATA_WIDTH 32、 64、 128 128

マスター AXI GPIO 1 イネーブル PSU_USE_M_AXI_GP1 0、 1 0

AXI GPIO 1 のデータ幅 PSU_MAXIGP1_DATA_WIDTH 32、 64、 128 128

マスター AXI GPIO 2 イネーブル PSU_USE_M_AXI_GP2 0、 1 0

AXI GPIO 2 のデータ幅 PSU_MAXIGP2_DATA_WIDTH 32、 64、 128 128

スレーブ AXI ACP イネーブル PSU_USE_S_AXI_ACP 0、 1 0

スレーブ AXI GPIO 0 イネーブル PSU_USE_S_AXI_GP0 0、 1 0

スレーブ AXI GPIO 0 のデータ幅 PSU_SAXIGP0_DATA_WIDTH 32、 64、 128 128

スレーブ AXI GPIO 1 イネーブル PSU_USE_S_AXI_GP1 0、 1 0

スレーブ AXI GPIO 1 のデータ幅 PSU_SAXIGP1_DATA_WIDTH 32、 64、 128 128

スレーブ AXI GPIO 2 イネーブル PSU_USE_S_AXI_GP2 0、 1 0

スレーブ AXI GPIO 2 のデータ幅 PSU_SAXIGP2_DATA_WIDTH 32、 64、 128 128

スレーブ AXI GPIO 3 イネーブル PSU_USE_S_AXI_GP3 0、 1 0

スレーブ AXI GPIO 3 のデータ幅 PSU_SAXIGP3_DATA_WIDTH 32、 64、 128 128

スレーブ AXI GPIO 4 イネーブル PSU_USE_S_AXI_GP4 0、 1 0

スレーブ AXI GPIO 4 のデータ幅 PSU_SAXIGP4_DATA_WIDTH 32、 64、 128 128

スレーブ AXI GPIO 5 イネーブル PSU_USE_S_AXI_GP5 0、 1 0

スレーブ AXI GPIO 5 のデータ幅 PSU_SAXIGP5_DATA_WIDTH 32、 64、 128 128

スレーブ AXI GPIO 6 イネーブル PSU_USE_S_AXI_GP6 0、 1 0

スレーブ AXI GPIO 6 のデータ幅 PSU_SAXIGP6_DATA_WIDTH 32、 64、 128 128

スレーブ AXI ACE イネーブル PSU_USE_S_AXI_ACE 0、 1 0

REMUS ポート イネーブル PSU_USE_REMUS_PORTS 0、 1 0

デバッグ ポート イネーブル PSU_USE_DEBUG_PORTS 0、 1 0

オーディオ ポート イネーブル PSU_USE_AUDIO 0、 1 0

ビデオ ポート イネーブル PSU_USE_VIDEO 0、 1 0

ファブリ ッ ク ト レース モジュール イネーブル

PSU_USE_FTM 0、 1 0

Page 97: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 100

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

低電力 DMA イネーブル PSU_USE_GDMA 0、 1 0

PL 割り込みイネーブル PSU_USE_IRQ 0、 1 0

PL ク ロ ッ ク 0 イネーブル PSU_USE_CLK0 0、 1 0

PL ク ロ ッ ク 1 イネーブル PSU_USE_CLK1 0、 1 0

PL ク ロ ッ ク 2 イネーブル PSU_USE_CLK2 0、 1 0

PL ク ロ ッ ク 3 イネーブル PSU_USE_CLK3 0、 1 0

PL リセッ ト 0 イネーブル PSU_USE_RST0 0、 1 0

PL リセッ ト 1 イネーブル PSU_USE_RST1 0、 1 0

PL リセッ ト 2 イネーブル PSU_USE_RST2 0、 1 0

PL リセッ ト 3 イネーブル PSU_USE_RST3 0、 1 0

イネーブル PSU_USE_RTC 0、 1 0

RPU IPI 割り込みイネーブル PSU_USE_EVENT_RPU 0、 1 0

CAN0 ペリ フェラル イネーブル PSU_CAN0_PERIPHERAL_ENABLE 0、 1 0

CAN0 ペリ フェラル IO イネーブル PSU_CAN0_PERIPHERAL_IO

MIO 2 .. 3

MIO 6 .. 7

MIO 10 .. 11

MIO 14 .. 15

MIO 18 .. 19

MIO 22 .. 23

MIO 26 .. 27

MIO 30 .. 31

MIO 34 .. 35

MIO 38 .. 39

MIO 42 .. 43

MIO 46 .. 47

MIO 50 .. 51

MIO 54 .. 55

MIO 58 .. 59

MIO 62 .. 63

MIO 66 .. 67

MIO 70 .. 71

MIO 74 .. 75

EMIO

MIO 50 .. 51

CAN 0 のクロ ッ ク イネーブル PSU_CAN0_GRP_CLK_ENABLE 0、 1 0

CAN 0 のクロ ッ クの MIO ピン PSU_CAN0_GRP_CLK_IO MIO 0 ~ MIO 77 MIO 0

CAN1 ペリ フェラル イネーブル PSU_CAN1_PERIPHERAL_ENABLE 0、 1 0

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 98: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 101

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

CAN1 ペリ フェラル IO イネーブル PSU_CAN1_PERIPHERAL_IO

MIO 0 .. 1

MIO 4 .. 5

MIO 8 .. 9

MIO12 .. 13

MIO16 .. 17

MIO20 .. 21

MIO24 .. 25

MIO28 .. 29

MIO32 .. 33

MIO36 .. 37

MIO40 .. 41

MIO44 .. 45

MIO48 .. 49

MIO52 .. 53

MIO56 .. 57

MIO60 .. 61

MIO64 .. 65

MIO68 .. 69

MIO72 .. 73

MIO76 .. 77 EMIO

MIO28 .. 29

CAN 1 のクロ ッ ク イネーブル PSU_CAN1_GRP_CLK_ENABLE 0、 1 0

CAN 1 のクロ ッ クの MIO ピン PSU_CAN1_GRP_CLK_IO MIO 0 ~ MIO 77 MIO 0

DPAUX ペリ フェラル IO イネーブル PSU_DPAUX_PERIPHERAL_IO

EMIO

MIO 27 .. 30

MIO 34 .. 37

EMIO

DisplayPort イネーブル PSU__DISPLAYPORT__PERIPHERAL__ENABLE 0、 1 0

DP レーン選択 PSU__DP__LANE_SEL

Dual Higher

Dual Lower

Single Higher

Single Lower

Dual Higher

イーサネッ ト ペリ フェラル 0 イネーブル

PSU_ENET0_PERIPHERAL_ENABLE 0、 1 0

イーサネッ ト ペリ フェラル IO イネーブル

PSU_ENET0_PERIPHERAL_IOMIO 26 .. 37

EMIOEMIO

MDIO ペリ フェラル イネーブル PSU_ENET0_GRP_MDIO_ENABLE 0、 1 0

MDIO ペリ フェラル IO イネーブル PSU_ENET0_GRP_MDIO_IO MIO 76 .. 77MIO 76 .. 77

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 99: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 102

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

イーサネッ ト ペリ フェラル 1 イネーブル

PSU_ENET1_PERIPHERAL_ENABLE 0、 1 0

イーサネッ ト ペリ フェラル IO 1 イネーブル

PSU_ENET1_PERIPHERAL_IOMIO 38 .. 49

EMIOEMIO

MDIO ペリ フェラル イネーブル PSU_ENET1_GRP_MDIO_ENABLE 0、 1 0

MDIO ペリ フェラル IO イネーブル PSU_ENET1_GRP_MDIO_IO MIO 76 .. 77MIO 76 .. 77

イーサネッ ト ペリ フェラル 2 イネーブル

PSU_ENET2_PERIPHERAL_ENABLE 0、 1 0

イーサネッ ト ペリ フェラル IO 2 イネーブル

PSU_ENET2_PERIPHERAL_IOMIO 52 .. 63

EMIO

MIO 52 .. 63

MDIO ペリ フェラル イネーブル PSU_ENET2_GRP_MDIO_ENABLE 0、 1 0

MDIO ペリ フェラル IO イネーブル PSU_ENET2_GRP_MDIO_IO MIO 76 .. 77MIO 76 .. 77

イーサネッ ト ペリ フェラル 3 イネーブル

PSU_ENET3_PERIPHERAL_ENABLE 0、 1 0

イーサネッ ト ペリ フェラル IO 3 イネーブル

PSU_ENET3_PERIPHERAL_IOMIO 64 .. 75

EMIO

MIO 64 .. 75

MDIO ペリ フェラル イネーブル PSU_ENET3_GRP_MDIO_ENABLE 0、 1 0

MDIO ペリ フェラル IO イネーブル PSU_ENET3_GRP_MDIO_IO MIO 76 .. 77MIO 76 .. 77

TSU ペリ フェラル イネーブル PSU_GEM_TSU_ENABLE 0、 1 0

TSU ペリ フェラル IO イネーブル PSU_GEM_TSU_IO MIO 50 .. 51MIO 50 .. 51

GPIO MIO 0 ペリ フェラル イネーブル

PSU_GPIO0_MIO_PERIPHERAL_ENABLE 0、 1 0

GPIO MIO IO ペリ フェラル イネーブル

PSU_GPIO0_MIO_IO MIO 0 .. 31 MIO 0 .. 31

GPIO EMIO 0 ペリ フェラル イネーブル

PSU_GPIO0_EMIO_PERIPHERAL_ENABLE 0、 1 0

GPIO MIO 1 ペリ フェラル イネーブル

PSU_GPIO1_MIO_PERIPHERAL_ENABLE 0、 1 0

GPIO MIO IO ペリ フェラル イネーブル

PSU_GPIO1_MIO_IO MIO 32 .. 63MIO 32 .. 63

GPIO EMIO 1 ペリ フェラル イネーブル

PSU_GPIO1_EMIO_PERIPHERAL_ENABLE 0、 1 0

GPIO MIO 2 ペリ フェラル イネーブル

PSU_GPIO2_MIO_PERIPHERAL_ENABLE 0、 1 0

GPIO MIO IO ペリ フェラル イネーブル

PSU_GPIO2_MIO_IO MIO 64 .. 77MIO 64 .. 77

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 100: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 103

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

GPIO EMIO 2 ペリ フェラル イネーブル

PSU_GPIO2_EMIO_PERIPHERAL_ENABLE 0、 1 0

I2C0 ペリ フェラル イネーブル PSU_I2C0_PERIPHERAL_ENABLE 0、 1 0

I2C0 ペリ フェラル I/O イネーブル PSU_I2C0_PERIPHERAL_IO

MIO 2 .. 3

MIO 6 .. 7

MIO 10 .. 11

MIO 14 .. 15

MIO 18 .. 19

MIO 22 .. 23

MIO 26 .. 27

MIO 30 .. 31

MIO 34 .. 35

MIO 38 .. 39

MIO 42 .. 43

MIO 46 .. 47

MIO 50 .. 51

MIO 54 .. 55

MIO 58 .. 59

MIO 62 .. 63

MIO 66 .. 67

MIO 70 .. 71

MIO 74 .. 75

EMIO

MIO 2 .. 3

I2C0 割り込みイネーブル PSU_I2C0_GRP_INT_ENABLE 0、 1 0

I2C0 割り込み I/O イネーブル PSU_I2C0_GRP_INT_IO MIO 1 ~ MIO 77 MIO 1

I2C1 ペリ フェラル イネーブル PSU_I2C1_PERIPHERAL_ENABLE 0、 1 0

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 101: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 104

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

I2C1 ペリ フェラル I/O イネーブル PSU_I2C1_PERIPHEARL_IO

MIO 0 .. 1

MIO 4 .. 5

MIO 8 .. 9

MIO12 .. 13

MIO16 .. 17

MIO20 .. 21

MIO24 .. 25

MIO28 .. 29

MIO32 .. 33

MIO36 .. 37

MIO40 .. 41

MIO44 .. 45

MIO48 .. 49

MIO52 .. 53

MIO56 .. 57

MIO60 .. 61

MIO64 .. 65

MIO68 .. 69

MIO72 .. 73

MIO76 .. 77

EMIO

MIO 0 .. 1

I2C0 割り込みイネーブル PSU_I2C1_GRP_INT_ENABLE 0、 1 0

I2C0 割り込み I/O イネーブル PSU_I2C1_GRP_INT_IO MIO 1 ~ MIO 77 MIO 1

NAND ペリ フェラル イネーブル PSU_NAND_PERIPHERAL_ENABLE 0、 1 0

NAND ペリ フェラル I/O イネーブル PSU_NAND_NAND_IO MIO 9 12 .. 26 32MIO 9 12 .. 26 32

Readybusy イネーブル PSU_NAND_READY_BUSY_ENABLE 0、 1 0

Readybusy I/O イネーブル PSU_NAND_READY_BUSY_IOMIO 10 .. 11

MIO 27 .. 28

MIO 10 .. 11

PJTAG ペリ フェラル イネーブル PSU_PJTAG_PERIPHERAL_ENABLE 0、 1 0

PJTAG ペリ フェラル I/O イネーブル PSU_PJTAG_PERIPHERAL_IO

MIO 0 .. 3

MIO 12 .. 15

MIO 26 .. 29

MIO 38 .. 41

MIO 52 .. 55

MIO 58 .. 61

MIO 0 .. 3

PMU ペリ フェラル イネーブル PSU_PMU_PERIPHERAL_ENABLE 0、 1 0

PMU ペリ フェラル I/O イネーブル PSU_PMU_PERIPHERAL_IO MIO 64 .. 75MIO 64 .. 75

QSPI ペリ フェラル イネーブル PSU_QSPI_PERIPHERAL_ENABLE 0、 1 0

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 102: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 105

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

QSPI フ ィードバッ ク ク ロ ッ ク イネーブル

PSU_QSPI_GRP_FBCLK_ENABLE 0、 1 0

QSPI フ ィードバッ ク ク ロ ッ ク I/O PSU_QSPI_GRP_FBCLK_IO MIO 6 MIO 6

QSPI シングル イネーブル PSU_QSPI_SINGLE_ENABLE 0、 1 0

QSPI シングル I/O PSU_QSPI_SINGLE_IO MIO 0 .. 5 MIO 0 .. 5

QSPI シングル モード PSU_QSPI_SINGLE_MODE X1、 X2、 X4 X1

QSPI デュアル スタッ ク イネーブル PSU_QSPI_DUAL_STACKED_ENABLE 0、 1 0

QSPI デュアル スタ ッ ク I/O PSU_QSPI_DUAL_STACKED_IO MIO 0 .. 7 MIO 0 .. 7

QSPI デュアル スタ ッ ク モード PSU_QSPI_DUAL_STACKED_MODE X1、 X2、 X4 X1

QSPI デュアル パラレル イネーブル PSU_QSPI_DUAL_PARALLEL_ENABLE 0、 1 0

QSPI デュアル パラレル I/O PSU_QSPI_DUAL_PARALLEL_IO MIO 0 .. 12 MIO 0 .. 12

QSPI デュアル パラレル モード PSU_QSPI_DUAL_PARALLEL_MODE X1、 X2、 X4 X1

SD0 ペリ フェラル イネーブル PSU_SD0_PERIPHERAL_ENABLE 0、 1 0

SD0 ペリ フェラル I/O PSU_SD0_PERIPHERAL_IO

MIO 13 .. 22

MIO 38 .. 48

MIO 38 .. 44

MIO 64 .. 70

MIO 64 .. 74

EMIO

MIO 13 .. 22

SD0 スピード モード PSU_SD0_SPEED_MODE High speed、default speed

High Speed

GRP CD イネーブル PSU_SD0_GRP_CD_ENABLE 0、 1 0

GRP CD I/O PSU_SD0_GRP_CD_IO MIO 24、 MIO 39、MIO 65

MIO 39

GRP 電源イネーブル PSU_SD0_GRP_POW_ENABLE 0、 1 0

GRP 電源 I/O PSU_SD0_GRP_POW_IO MIO 25、 MIO 50、MIO 76

MIO 50

GRP WP イネーブル PSU_SD0_GRP_WP_ENABLE 0、 1 0

GRP WP I/O PSU_SD0_GRP_WP_IO MIO 23、 MIO 49、MIO 75

MIO 23

SD1 ペリ フェラル イネーブル PSU_SD1_PERIPHERAL_ENABLE 0、 1 0

SD1 ペリ フェラル I/O PSU_SD1_PERIPHERAL_IO

MIO 39 .. 51

MIO 46 .. 51

MIO 71 .. 76

MIO 39 .. 51

SD1 スピード モード PSU_SD1_SPEED_MODE High speed、default speed

High Speed

GRP CD イネーブル PSU_SD1_GRP_CD_ENABLE 0、 1 0

GRP CD I/O PSU_SD1_GRP_CD_IO MIO 45、 MIO 77 MIO 45

GRP 電源イネーブル PSU_SD1_GRP_POW_ENABLE 0、 1 0

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 103: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 106

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

GRP 電源 I/O PSU_SD1_GRP_POW_IO MIO 43 MIO 43

GRP WP イネーブル PSU_SD1_GRP_WP_ENABLE 0、 1 0

GRP WP I/O PSU_SD1_GRP_WP_IO MIO 44 MIO 44

SPI0 ペリ フェラル イネーブル PSU_SPI0_PERIPHERAL_ENABLE 0、 1 0

SPI0 ペリ フェラル I/O PSU_SPI0_PERIPHERAL_IO

MIO 0 .. 5

MIO 12 .. 17

MIO 26 .. 31

MIO 38 .. 43

MIO 52 .. 57

MIO 64 .. 69

MIO 12 .. 17

SPI0 GRP イネーブル PSU_SPI0_GRP_SS0_ENABLE 0、 1 0

SPI0 GRP I/O PSU_SPI0_GRP_SS0_IO

MIO 3

MIO 15

MIO 29

MIO 41

MIO 55

MIO 67

MIO 3

SPI0 GRP イネーブル PSU_SPI0_GRP_SS1_ENABLE 0、 1 0

SPI0 GRP SS1 I/O PSU_SPI0_GRP_SS1_IO

MIO 2

MIO 14

MIO 28

MIO 40

MIO 54

MIO 66

MIO 14

SPI0 GRP イネーブル PSU_SPI0_GRP_SS2_ENABLE 0、 1 0

SPI0 GRP SS2 I/O PSU_SPI0_GRP_SS2_IO

MIO 1

MIO 13

MIO 27

MIO 39

MIO 53

MIO 65

MIO 13

SPI1 ペリ フェラル イネーブル PSU_SPI1_PERIPHERAL_ENABLE 0、 1 0

SPI1 ペリ フェラル I/O PSU_SPI1_PERIPHERAL_IO

MIO 6 .. 11

MIO 18 .. 23

MIO 32 .. 37

MIO 44 .. 49

MIO 58 .. 63

MIO 70 .. 75

MIO 6 .. 11

SPI1 GRP イネーブル PSU_SPI1_GRP_SS0_ENABLE 0、 1 0

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 104: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 107

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

SPI1 GRP SS0 I/O PSU_SPI1_GRP_SS0_IO

MIO 9

MIO 21

MIO 35

MIO 47

MIO 61

MIO 73

MIO 9

SPI1 GRP イネーブル PSU_SPI1_GRP_SS1_ENABLE 0、 1 0

SPI1 GRP SS1 I/O PSU_SPI1_GRP_SS1_IO

MIO 8

MIO 20

MIO 34

MIO 46

MIO 60

MIO 72

MIO 8

SPI1 GRP イネーブル PSU_SPI1_GRP_SS2_ENABLE 0、 1 0

SPI1 GRP SS2 I/O PSU_SPI1_GRP_SS2_IO

MIO 1

MIO 13

MIO 33

MIO 40

MIO 59

MIO 71

MIO 33

SWDT0 ペリ フェラル イネーブル PSU_SWDT0_PERIPHERAL_ENABLE 0、 1 0

SWDT0 I/O PSU_SWDT0_PERIPHERAL_IO

MIO 6 .. 7

MIO 10 .. 11

MIO 18 .. 19

MIO 22 .. 23

MIO 30 .. 31

MIO 34 .. 35

MIO 42 .. 43

MIO 46 .. 47

MIO 50 .. 51

MIO 62 .. 63

MIO 66 .. 67

MIO 70 .. 71

MIO 74 .. 75

EMIO

EMIO

SWDT1 ペリ フェラル イネーブル PSU_SWDT1_PERIPHERAL_ENABLE 0、 1 0

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 105: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 108

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

SWDT1 I/O PSU_SWDT1_PERIPHERL_IO

MIO 4 .. 5

MIO 8 .. 9

MIO 16 .. 17

MIO 20 .. 21

MIO 24 .. 25

MIO 32 .. 33

MIO 36 .. 37

MIO 44 .. 45

MIO 48 .. 49

MIO 56 .. 57

MIO 64 .. 65

MIO 68 .. 69

MIO 72 .. 73

EMIO

MIO 4 .. 5

ペリ フェラル テス ト スキャン イネーブル

PSU_TESTSCAN_PERIPHERAL_ENABLE 0、 1 0

ペリ フェラル テス ト スキャンの IO の選択

PSU_TESTSCAN_PERIPHERAL_IO MIO 0 .. 37 MIO 0 .. 37

ト レース ペリ フェラル イネーブル PSU_TRACE_PERIPHERAL_ENABLE 0、 1 0

TRACE I/O PSU_TRACE_TRACE_IO

MIO 0 .. 17

MIO 26 .. 43

MIO 52 .. 69

MIO 52 .. 69

TTC0 ペリ フェラル イネーブル PSU_TTC0_PERIPHERAL_ENABLE 0、 1 0

TTC0 I/O PSU_TTC0_PERIPHERAL_IO

MIO 6 .. 7

MIO 14 .. 15

MIO 22 .. 23

MIO 30 .. 31

MIO 38 .. 39

MIO 46 .. 47

MIO 54 .. 55

MIO 62 .. 63

MIO 70 .. 71

EMIO

MIO 6 .. 7

TTC1 ペリ フェラル イネーブル PSU_TTC1_PERIPHERAL_ENABLE 0、 1 0

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 106: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 109

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

TTC1 I/O PSU_TTC1_PERIPHERAL_IO

MIO 4 .. 5

MIO 12 .. 13

MIO 20 .. 21

MIO 28 .. 29

MIO 36 .. 37

MIO 44 .. 45

MIO 52 .. 53

MIO 60 .. 61

MIO 68 .. 69

EMIO

MIO 12 .. 13

TTC2 ペリ フェラル イネーブル PSU_TTC2_PERIPHERAL_ENABLE 0、 1 0

TTC2 I/O PSU_TTC2_PERIPHERAL_IO

MIO 2 .. 3

MIO 10 .. 11

MIO 18 .. 19

MIO 26 .. 27

MIO 34 .. 35

MIO 42 .. 43

MIO 50 .. 51

MIO 58 .. 59

MIO 66 .. 67

EMIO

MIO 18 .. 19

TTC3 ペリ フェラル イネーブル PSU_TTC3_PERIPHERAL_ENABLE 0、 1 0

TTC3 I/O PSU_TTC3_PERIPHERAL_IO

MIO 0 .. 1

MIO 8 .. 9

MIO 16 .. 17

MIO 24 .. 25

MIO 32 .. 33

MIO 40 .. 41

MIO 48 .. 49

MIO 56 .. 57

MIO 64 .. 65

EMIO

MIO 0 .. 1

UART0 ペリ フェラル イネーブル PSU_UART0_PERIPHERAL_ENABLE 0、 1 0

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 107: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 110

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

UART0 I/O PSU_UART0_PERIPHERAL_IO

MIO 2 .. 3

MIO 6 .. 7

MIO 10 .. 11

MIO 14 .. 15

MIO 18 .. 19

MIO 22 .. 23

MIO 26 .. 27

MIO 30 .. 31

MIO 34 .. 35

MIO 38 .. 39

MIO 42 .. 43

MIO 46 .. 47

MIO 50 .. 51

MIO 54 .. 55

MIO 58 .. 59

MIO 62 .. 63

MIO 66 .. 67

MIO 70 .. 71

MIO 74 .. 75

EMIO

MIO 6 .. 7

UART1 ペリ フェラル イネーブル PSU_UART1_PERIPHERAL_ENABLE 0、 1 0

UART1 I/O PSU_UART1_PERIPHERAL_IO

MIO 0 .. 1

MIO 4 .. 5

MIO 8 .. 9

MIO 12 .. 13

MIO 16 .. 17

MIO 20 .. 21

MIO 24 .. 25

MIO 28 .. 29

MIO 32 .. 33

MIO 36 .. 37

MIO 40 .. 41

MIO 44 .. 45

MIO 48 .. 49

MIO 52 .. 53

MIO 56 .. 57

MIO 60 .. 61

MIO 64 .. 65

MIO 68 .. 69

MIO 72 .. 73

MIO 76 .. 77

EMIO

MIO 0 .. 1

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 108: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 111

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

USB0 ペリ フェラル イネーブル PSU_USB0_PERIPHERAL_ENABLE 0、 1 0

USB0 I/O PSU_USB0_PERIPHERAL_IO MIO 52 .. 63MIO 52 .. 63

USB1 I/O PSU_USB1_PERIPHERAL_IO MIO 64 .. 75MIO 64 .. 75

USB0 ペリ フェラル イネーブル PSU_USB1_PERIPHERAL_ENABLE 0、 1 0

PCIE ペリ フェラル イネーブル PSU_PCIE_PERIPHERAL_ENABLE 0、 1 0

PCIE I/O PSU_PCIE_PERIPHERAL_IO

MIO 24

MIO 25

MIO 50

MIO 51

MIO 24

CSU ペリ フェラル イネーブル PSU_CSU_PERIPHERAL_ENABLE 0、 1 0

CSU IO PSU_CSU_PERIPHERAL_IOMIO 18 .. 25

MIO 44 .. 51

MIO 18 .. 25

OCM メ イン イネーブル PSU_CRL_APB_OCM_MAIN_ENABLE 0、 1 0

R5 イネーブル PSU_CPU_R5_PERIPHERAL_ENABLE 0、 1 0

IOU スイ ッチ イネーブル PSU_CRL_APB_IOU_SWITCH_ENABLE 0、 1 0

LPD スイ ッチ イネーブル PSU_CRL_APB_LPD_SWITCH_ENABLE 0、 1 0

LPD LSBUS イネーブル PSU_CRL_APB_LPD_LSBUS_ENABLE 0、 1 0

TIMESTAMP イネーブル PSU_CRL_APB_TIMESTAMP_ENABLE 0、 1 0

AFI6 イネーブル PSU_CRL_APB_AFI6_ENABLE 0、 1 0

USB3 イネーブル PSU_CRL_APB_USB3_ENABLE 0、 1 0

PCAP イネーブル PSU_CRL_APB_PCAP_ENABLE 0、 1 0

LPD デバッグ イネーブル PSU_CRL_APB_DBG_LPD_ENABLE 0、 1 0

ADMA イネーブル PSU_CRL_APB_ADMA_ENABLE 0、 1 0

PL0 ク ロ ッ ク イネーブル PSU_FPGA_PL0_ENABLE 0、 1 0

PL1 ク ロ ッ ク イネーブル PSU_FPGA_PL1_ENABLE 0、 1 0

PL2 ク ロ ッ ク イネーブル PSU_FPGA_PL2_ENABLE 0、 1 0

PL3 ク ロ ッ ク イネーブル PSU_FPGA_PL3_ENABLE 0、 1 0

AMS イネーブル PSU_CRL_APB_AMS_ENABLE 0、 1 0

ACPU イネーブル PSU_CRF_APB_ACPU_ENABLE 0、 1 0

デバッグ ト レース イネーブル PSU_CRF_APB_DBG_TRACE_ENABLE 0、 1 0

FPB デバッグ イネーブル PSU_CRF_APB_DBG_FPD_ENABLE 0、 1 0

AFI1 イネーブル PSU_CRF_APB_AFI1_REF_ENABLE 0、 1 0

AFI2 イネーブル PSU_CRF_APB_AFI2_REF_ENABLE 0、 1 0

AFI3 イネーブル PSU_CRF_APB_AFI3_REF_ENABLE 0、 1 0

AFI4 イネーブル PSU_CRF_APB_AFI4_REF_ENABLE 0、 1 0

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 109: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 112

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

AFI5 イネーブル PSU_CRF_APB_AFI5_REF_ENABLE 0、 1 0

SATA イネーブル PSU_SATA_PERIPHERAL_ENABLE 0、 1 0

DDR コン ト ローラー イネーブル PSU_DDRC_ENABLE 0、 1 0

GPU イネーブル PSU_CRF_APB_GPU_ENABLE 0、 1 0

GDMA イネーブル PSU_CRF_APB_GDMA_ENABLE 0、 1 0

DPDMA イネーブル PSU_CRF_APB_DPDMA_ENABLE 0、 1 0

メ イン ト ップ スイ ッチ イネーブル PSU_CRF_APB_TOPSW_MAIN_ENABLE 0、 1 0

ト ップ スイ ッチ LS BUS イネーブル PSU_CRF_APB_TOPSW_LSBUS_ENABLE 0、 1 0

GT 基準クロ ッ ク イネーブル PSU_CRF_APB_GTGREF0_ENABLE 0、 1 0

PSU_CRF_APB_DBG_TSTMP_ENABLE 0、 1 0

0 – 基本/自動クロ ッキング計算

1 – 高度なクロ ッキング オプシ ョ ンPSU_OVERRIDE_BASIC_CLOCK 0、 1 0

要求された周波数 PSU_CRL_APB_TIMESTAMP_REF_CTRL_FREQMHZ 0 ~ 100 100

要求された周波数 PSU_CRL_APB_USB3_REF_CTRL_FREQMHZ 0 ~ 250 250

要求された周波数 PSU_CRL_APB_AFI6_REF_CTRL_FREQMHZ 0 ~ 600 500

要求された周波数 PSU_CRL_APB_PICDEBUG_TEMP_CTRL_FREQMHZ 0 ~ 1300 1300

要求された周波数 PSU_CRL_APB_IOPLL_TO_FPD_CTRL_FREQMHZ 0 ~ 534 500

要求された周波数 PSU_CRL_APB_RPLL_TO_FPD_CTRL_FREQMHZ 0 ~ 534 500

要求された周波数 PSU_CRF_APB_APLL_TO_LPD_CTRL_FREQMHZ 0 ~ 534 500

要求された周波数 PSU_CRF_APB_VPLL_TO_LPD_CTRL_FREQMHZ 0 ~ 534 500

要求された周波数 PSU_CRF_APB_DPLL_TO_LPD_CTRL_FREQMHZ 0 ~ 534 500

要求された周波数 PSU_CRF_APB_ACPU_CTRL_FREQMHZ 0 ~ 1300 1200

要求された周波数 PSU_CRF_APB_DBG_TRACE_CTRL_FREQMHZ 0 ~ 267 250

要求された周波数 PSU_CRL_APB_AMS_REF_CTRL_FREQMHZ 0 ~ 50 50

要求された周波数 PSU_CRF_APB_DBG_FPD_CTRL_FREQMHZ 0 ~ 267 250

要求された周波数 PSU_CRF_APB_DBG_TSTMP_CTRL_FREQMHZ 0 ~ 334 250

要求された周波数 PSU_CRF_APB_GTGREF0_REF_CTRL_FREQMHZ 0 ~ 125 125

要求された周波数 PSU_CRF_APB_DP_VIDEO_REF_CTRL_FREQMHZ 0 ~ 320 320

要求された周波数 PSU_CRF_APB_DP_AUDIO_REF_CTRL_FREQMHZ 0 ~ 25 25

要求された周波数 PSU_CRF_APB_DP_STC_REF_CTRL_FREQMHZ 0 ~ 27 27

要求された周波数 PSU_CRF_APB_DDR_CTRL_FREQMHZ 0 ~ 667 667

要求された周波数 PSU_CRF_APB_GPU_REF_CTRL_FREQMHZ 0 ~ 534 500

要求された周波数 PSU_CRF_APB_AFI0_REF_CTRL_FREQMHZ 0 ~ 667 667

要求された周波数 PSU_CRF_APB_AFI1_REF_CTRL_FREQMHZ 0 ~ 667 667

要求された周波数 PSU_CRF_APB_AFI2_REF_CTRL_FREQMHZ 0 ~ 667 667

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 110: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 113

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

要求された周波数 PSU_CRF_APB_AFI3_REF_CTRL_FREQMHZ 0 ~ 667 667

要求された周波数 PSU_CRF_APB_AFI4_REF_CTRL_FREQMHZ 0 ~ 667 667

要求された周波数 PSU_CRF_APB_AFI5_REF_CTRL_FREQMHZ 0 ~ 667 667

要求された周波数 PSU_CRF_APB_SATA_REF_CTRL_FREQMHZ 0 ~ 250 250

要求された周波数 PSU_CRF_APB_PCIE_REF_CTRL_FREQMHZ 0 ~ 250 250

要求された周波数 PSU_CRL_APB_PL0_REF_CTRL_FREQMHZ 0 ~ 400 400

要求された周波数 PSU_CRL_APB_PL1_REF_CTRL_FREQMHZ 0 ~ 400 400

要求された周波数 PSU_CRL_APB_PL2_REF_CTRL_FREQMHZ 0 ~ 400 400

要求された周波数 PSU_CRL_APB_PL3_REF_CTRL_FREQMHZ 0 ~ 400 400

要求された周波数 PSU_CRF_APB_GDMA_REF_CTRL_FREQMHZ 0 ~ 667 667

要求された周波数 PSU_CRF_APB_DPDMA_REF_CTRL_FREQMHZ 0 ~ 667 667

要求された周波数 PSU_CRF_APB_TOPSW_MAIN_CTRL_FREQMHZ 0 ~ 667 667

要求された周波数 PSU_CRF_APB_TOPSW_LSBUS_CTRL_FREQMHZ 0 ~ 100 100

要求された周波数 PSU_CRF_APB_DFT300_REF_CTRL_FREQMHZ 0 ~ 300 300

要求された周波数 PSU_CRF_APB_DFT270_REF_CTRL_FREQMHZ 0 ~ 270 270

要求された周波数 PSU_CRF_APB_DFT250_REF_CTRL_FREQMHZ 0 ~ 250 250

要求された周波数 PSU_CRF_APB_DFT125_REF_CTRL_FREQMHZ 0 ~ 125 125

要求された周波数 PSU_CRL_APB_GEM0_REF_CTRL_FREQMHZ 0 ~ 125 125

要求された周波数 PSU_CRL_APB_GEM1_REF_CTRL_FREQMHZ 0 ~ 125 125

要求された周波数 PSU_CRL_APB_GEM2_REF_CTRL_FREQMHZ 0 ~ 125 125

要求された周波数 PSU_CRL_APB_GEM3_REF_CTRL_FREQMHZ 0 ~ 125 125

要求された周波数 PSU_CRL_APB_GEM_TSU_REF_CTRL_FREQMHZ 0 ~ 400 400

要求された周波数 PSU_CRL_APB_USB0_BUS_REF_CTRL_FREQMHZ 0 ~ 250 250

要求された周波数 PSU_CRL_APB_USB1_BUS_REF_CTRL_FREQMHZ 0 ~ 250 250

要求された周波数 PSU_CRL_APB_QSPI_REF_CTRL_FREQMHZ 0 ~ 300 300

要求された周波数 PSU_CRL_APB_SDIO0_REF_CTRL_FREQMHZ 0 ~ 215 200

要求された周波数 PSU_CRL_APB_SDIO1_REF_CTRL_FREQMHZ 0 ~ 215 200

要求された周波数 PSU_CRL_APB_UART0_REF_CTRL_FREQMHZ 0 ~ 100 100

要求された周波数 PSU_CRL_APB_UART1_REF_CTRL_FREQMHZ 0 ~ 100 100

要求された周波数 PSU_CRL_APB_I2C0_REF_CTRL_FREQMHZ 0 ~ 100 100

要求された周波数 PSU_CRL_APB_I2C1_REF_CTRL_FREQMHZ 0 ~ 100 100

要求された周波数 PSU_CRL_APB_SPI0_REF_CTRL_FREQMHZ 0 ~ 215 214

要求された周波数 PSU_CRL_APB_SPI1_REF_CTRL_FREQMHZ 0 ~ 215 214

要求された周波数 PSU_CRL_APB_CAN0_REF_CTRL_FREQMHZ 0 ~ 100 100

要求された周波数 PSU_CRL_APB_CAN1_REF_CTRL_FREQMHZ 0 ~ 100 100

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 111: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 114

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

要求された周波数 PSU_CRL_APB_DEBUG_R5_ATCLK_CTRL_FREQMHZ 0 ~ 1000 1000

要求された周波数 PSU_CRL_APB_CPU_R5_CTRL_FREQMHZ 0 ~ 600 500

要求された周波数 PSU_CRL_APB_OCM_MAIN_CTRL_FREQMHZ 0 ~ 600 500

要求された周波数 PSU_CRL_APB_IOU_SWITCH_CTRL_FREQMHZ 0 ~ 267 267

要求された周波数 PSU_CRL_APB_CSU_PLL_CTRL_FREQMHZ 0 ~ 600 500

要求された周波数 PSU_CRL_APB_PCAP_CTRL_FREQMHZ 0 ~ 250 250

要求された周波数 PSU_CRL_APB_LPD_LSBUS_CTRL_FREQMHZ 0 ~ 100 100

要求された周波数 PSU_CRL_APB_LPD_SWITCH_CTRL_FREQMHZ 0 ~ 600 500

要求された周波数 PSU_CRL_APB_DBG_LPD_CTRL_FREQMHZ 0 ~ 267 250

要求された周波数 PSU_CRL_APB_NAND_REF_CTRL_FREQMHZ 0 ~ 100 100

要求された周波数 PSU_CRL_APB_ADMA_REF_CTRL_FREQMHZ 0 ~ 500 500

要求された周波数 PSU_CRL_APB_DLL_REF_CTRL_FREQMHZ 0 ~ 1500 1500

要求された周波数 PSU_CRL_APB_PICDEBUG_REF_CTRL_FREQMHZ 0 ~ 1300 1300

要求された周波数 PSU_CRL_APB_PICDEBUG_CTRL_FREQMHZ 0 ~ 1300 1300

要求された周波数 PSU_CRL_APB_PICDEBUG_TEMP_CTRL_FREQMHZ 0 ~ 1300 1300

フローティング周波数のフラ クシ ョナル PLL モード イネーブル

PSU_CRF_APB_APLL_FRAC_CFG_ENABLED 0、 1 0

上記と同じ PSU_CRF_APB_VPLL_FRAC_CFG_ENABLED 0、 1 0

上記と同じ PSU_CRF_APB_DPLL_FRAC_CFG_ENABLED 0、 1 0

上記と同じ PSU_CRL_APB_IOPLL_FRAC_CFG_ENABLED 0、 1 0

上記と同じ PSU_CRL_APB_RPLL_FRAC_CFG_ENABLED 0、 1 0

PLL へのフ ィードバッ ク分周器の整数部分

PSU_CRF_APB_APLL_CTRL_FBDIV 0 ~ 127 36

上記と同じ PSU_CRF_APB_VPLL_CTRL_FBDIV 48

上記と同じ PSU_CRF_APB_DPLL_CTRL_FBDIV 40

上記と同じ PSU_CRL_APB_IOPLL_CTRL_FBDIV 45

上記と同じ PSU_CRL_APB_RPLL_CTRL_FBDIV 48

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 112: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 115

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

PSU_CRF_APB_APLL_TO_LPD_CTRL_DIVISOR0

PSU_CRF_APB_DPLL_TO_LPD_CTRL_DIVISOR0

PSU_CRF_APB_VPLL_TO_LPD_CTRL_DIVISOR0

PSU_CRF_APB_ACPU_CTRL_DIVISOR0

PSU_CRF_APB_DBG_TRACE_CTRL_DIVISOR0

PSU_CRF_APB_DBG_FPD_CTRL_DIVISOR0

PSU_CRF_APB_APM_CTRL_DIVISOR0、

PSU_CRF_APB_DP_VIDEO_REF_CTRL_DIVISOR0

PSU_CRF_APB_DP_VIDEO_REF_CTRL_DIVISOR1

PSU_CRF_APB_DP_AUDIO_REF_CTRL_DIVISOR0

PSU_CRF_APB_DP_AUDIO_REF_CTRL_DIVISOR1

PSU_CRF_APB_DP_STC_REF_CTRL_DIVISOR0

PSU_CRF_APB_DP_STC_REF_CTRL_DIVISOR1

PSU_CRF_APB_DDR_CTRL_DIVISOR0

PSU_CRF_APB_GPU_REF_CTRL_DIVISOR0

PSU_CRF_APB_GPU_ENABLE

PSU_CRF_APB_AFI0_REF_CTRL_DIVISOR0

PSU_CRF_APB_AFI0_REF_ENABLE

PSU_CRF_APB_AFI1_REF_CTRL_DIVISOR0

PSU_CRF_APB_AFI1_REF_ENABLE

PSU_CRF_APB_AFI2_REF_CTRL_DIVISOR0

PSU_CRF_APB_AFI2_REF_ENABLE

PSU_CRL_APB_TIMESTAMP_REF_CTRL_DIVISOR0

PSU_CRL_APB_TIMESTAMP_ENABLE

PSU_CRL_APB_AFI6_REF_CTRL_DIVISOR0

PSU_CRL_APB_AFI6_ENABLE

PSU_CRL_APB_USB3_DUAL_REF_CTRL_DIVISOR0

PSU_CRL_APB_USB3_DUAL_REF_CTRL_DIVISOR1

PSU_CRL_APB_USB3_ENABLE

PSU_CRF_APB_GDMA_REF_CTRL_DIVISOR0

PSU_CRF_APB_GDMA_ENABLE

PSU_CRF_APB_DPDMA_REF_CTRL_DIVISOR0

PSU_CRF_APB_DPDMA_ENABLE

PSU_CRF_APB_TOPSW_MAIN_CTRL_DIVISOR0

PSU_CRF_APB_TOPSW_MAIN_ENABLE

PSU_CRF_APB_TOPSW_LSBUS_CTRL_DIVISOR0

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 113: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 116

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

PSU_OVERRIDE_BASIC_CLOCK = 1 の設定で、 高度なクロ ッキング モードで個々のコンポーネン ト をユーザーがプログラムするための 6 ビッ ト分周器

PSU_CRF_APB_TOPSW_LSBUS_ENABLE

PSU_CRF_APB_GTGREF0_REF_CTRL_DIVISOR0

PSU_CRF_APB_GTGREF0_ENABLE

PSU_CRF_APB_DBG_TSTMP_CTRL_DIVISOR0

PSU_CRF_APB_DBG_TSTMP_ENABLE

PSU_CRL_APB_IOPLL_TO_FPD_CTRL_DIVISOR0

PSU_CRL_APB_RPLL_TO_FPD_CTRL_DIVISOR0

PSU_CRL_APB_GEM0_REF_CTRL_DIVISOR0

PSU_CRL_APB_GEM1_REF_CTRL_DIVISOR0

PSU_CRL_APB_GEM2_REF_CTRL_DIVISOR0

PSU_CRL_APB_GEM3_REF_CTRL_DIVISOR0

PSU_CRL_APB_GEM0_REF_CTRL_DIVISOR1

PSU_CRL_APB_GEM1_REF_CTRL_DIVISOR1

PSU_CRL_APB_GEM2_REF_CTRL_DIVISOR1

PSU_CRL_APB_GEM3_REF_CTRL_DIVISOR1

PSU_CRL_APB_GEM_TSU_REF_CTRL_DIVISOR0

PSU_CRL_APB_GEM_TSU_REF_CTRL_DIVISOR1

PSU_CRL_APB_USB0_BUS_REF_CTRL_DIVISOR0

PSU_CRL_APB_USB0_BUS_REF_CTRL_DIVISOR1

PSU_CRL_APB_USB1_BUS_REF_CTRL_DIVISOR0

0 ~ 63

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 114: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 117

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

PSU_CRL_APB_USB1_BUS_REF_CTRL_DIVISOR1

PSU_CRL_APB_QSPI_REF_CTRL_DIVISOR0

PSU_CRL_APB_QSPI_REF_CTRL_DIVISOR1

PSU_CRL_APB_SDIO0_REF_CTRL_DIVISOR0

PSU_CRL_APB_SDIO0_REF_CTRL_DIVISOR1

PSU_CRL_APB_SDIO1_REF_CTRL_DIVISOR0

PSU_CRL_APB_SDIO1_REF_CTRL_DIVISOR1

PSU_CRL_APB_UART0_REF_CTRL_DIVISOR0

PSU_CRL_APB_UART0_REF_CTRL_DIVISOR1

PSU_CRL_APB_UART1_REF_CTRL_DIVISOR0

PSU_CRL_APB_UART1_REF_CTRL_DIVISOR1

PSU_CRL_APB_I2C0_REF_CTRL_DIVISOR0

PSU_CRL_APB_I2C0_REF_CTRL_DIVISOR1

PSU_CRL_APB_I2C1_REF_CTRL_DIVISOR0

PSU_CRL_APB_I2C1_REF_CTRL_DIVISOR1

PSU_CRL_APB_SPI0_REF_CTRL_DIVISOR0

PSU_CRL_APB_SPI0_REF_CTRL_DIVISOR1

PSU_CRL_APB_SPI1_REF_CTRL_DIVISOR0

PSU_CRL_APB_SPI1_REF_CTRL_DIVISOR1

PSU_CRL_APB_CAN0_REF_CTRL_DIVISOR0

PSU_CRL_APB_CAN0_REF_CTRL_DIVISOR1

PSU_CRL_APB_CAN1_REF_CTRL_DIVISOR0

PSU_CRL_APB_CAN1_REF_CTRL_DIVISOR1

PSU_CRL_APB_DEBUG_R5_ATCLK_CTRL_DIVISOR0

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 115: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 118

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

PSU_CRL_APB_CPU_R5_CTRL_DIVISOR0

PSU_CPU_R5_PERIPHERAL_ENABLE

PSU_CRL_APB_OCM_MAIN_CTRL_DIVISOR0

PSU_CRL_APB_OCM_MAIN_ENABLE

PSU_CRL_APB_IOU_SWITCH_CTRL_DIVISOR0

PSU_CRL_APB_IOU_SWITCH_ENABLE

PSU_CRL_APB_CSU_PLL_CTRL_DIVISOR0

PSU_CRL_APB_PCAP_CTRL_DIVISOR0

PSU_CRL_APB_PCAP_ENABLE

PSU_CRL_APB_LPD_LSBUS_ENABLE

PSU_CRL_APB_LPD_SWITCH_CTRL_DIVISOR0

PSU_CRL_APB_DBG_LPD_CTRL_DIVISOR0

PSU_CRL_APB_NAND_REF_CTRL_DIVISOR0

PSU_CRL_APB_NAND_REF_CTRL_DIVISOR1

PSU_CRL_APB_ADMA_REF_CTRL_DIVISOR0

PSU_CRF_APB_DFT300_REF_CTRL_DIVISOR0

PSU_CRF_APB_DFT270_REF_CTRL_DIVISOR0

PSU_CRF_APB_DFT250_REF_CTRL_DIVISOR0

PSU_CRF_APB_DFT125_REF_CTRL_DIVISOR0

PSU_CRL_APB_PICDEBUG_REF_CTRL_DIVISOR

PSU_CRL_APB_PICDEBUG_CTRL_DIVISOR0

PSU_CRL_APB_PICDEBUG_TEMP_CTRL_DIVISOR0

PLL ソースの選択 PSU_CRF_APB_APLL_CTRL_SRCSEL PSU_REF_CLKPSU_REF_CLK

PLL ソースの選択 PSU_CRF_APB_DPLL_CTRL_SRCSEL PSU_REF_CLKPSU_REF_CLK

PLL ソースの選択 PSU_CRF_APB_VPLL_CTRL_SRCSEL PSU_REF_CLKPSU_REF_CLK

PLL ソースの選択 PSU_CRF_APB_ACPU_CTRL_SRCSEL APLL、 VPLL、DPLL

APLL

PLL ソースの選択 PSU_CRF_APB_DBG_TRACE_CTRL_SRCSELAPLL、 IOPLL、DPLL、FMIO_traceclk

DPLL

PLL ソースの選択 PSU_CRF_APB_DBG_FPD_CTRL_SRCSEL APLL、 IOPLL、DPLL

DPLL

PLL ソースの選択 PSU_CRF_APB_APM_CTRL_SRCSEL APLL、 VPLL、DPLL

DPLL

PLL ソースの選択 PSU_CRF_APB_DP_VIDEO_REF_CTRL_SRCSEL APLL、 VPLL、DPLL

VPLL

PLL ソースの選択 PSU_CRF_APB_DP_AUDIO_REF_CTRL_SRCSEL APLL、 VPLL、DPLL

VPLL

PLL ソースの選択 PSU_CRF_APB_DP_STC_REF_CTRL_SRCSEL APLL、 VPLL、DPLL

VPLL

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 116: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 119

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

PLL ソースの選択 PSU_CRF_APB_DDR_CTRL_SRCSEL VPLL、 DPLL DPLL

PLL ソースの選択 PSU_CRF_APB_GPU_REF_CTRL_SRCSEL APLL、 IOPLL、DPLL

VPLL

PLL ソースの選択 PSU_CRF_APB_AFI0_REF_CTRL_SRCSEL APLL、 VPLL、DPLL

DPLL

PLL ソースの選択 PSU_CRF_APB_AFI1_REF_CTRL_SRCSEL APLL、 VPLL、DPLL

DPLL

PLL ソースの選択 PSU_CRF_APB_AFI2_REF_CTRL_SRCSEL APLL、 VPLL、DPLL

DPLL

PLL ソースの選択 PSU_CRF_APB_AFI3_REF_CTRL_SRCSEL APLL、 VPLL、DPLL

DPLL

PLL ソースの選択 PSU_CRF_APB_AFI4_REF_CTRL_SRCSEL APLL、 VPLL、DPLL

DPLL

PLL ソースの選択 PSU_CRF_APB_AFI5_REF_CTRL_SRCSEL APLL、 VPLL、DPLL

DPLL

PLL ソースの選択 PSU_CRF_APB_SATA_REF_CTRL_SRCSEL APLL、 VPLL、DPLL

APLL

PLL ソースの選択 PSU_CRF_APB_PCIE_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_PL0_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_PL1_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_PL2_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_PL3_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRF_APB_GDMA_REF_CTRL_SRCSEL APLL、 VPLL、DPLL

DPLL

PLL ソースの選択 PSU_CRF_APB_DPDMA_REF_CTRL_SRCSEL APLL、 VPLL、DPLL

DPLL

PLL ソースの選択 PSU_CRF_APB_TOPSW_MAIN_CTRL_SRCSEL APLL、 VPLL、DPLL

DPLL

PLL ソースの選択 PSU_CRF_APB_TOPSW_LSBUS_CTRL_SRCSEL APLL、 IOPLL、DPLL

DPLL

PLL ソースの選択 PSU_CRF_APB_GTGREF0_REF_CTRL_SRCSEL APLL、 VPLL、DPLL

APLL

PLL ソースの選択 PSU_CRF_APB_DBG_TSTMP_CTRL_SRCSEL APLL、 VPLL、DPLL

DPLL

PLL ソースの選択 PSU_CRL_APB_IOPLL_CTRL_SRCSEL PSU_REF_CLKPSU_REF_CLK

PLL ソースの選択 PSU_CRL_APB_RPLL_CTRL_SRCSEL PSU_REF_CLKPSU_REF_CLK

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 117: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 120

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

PLL ソースの選択 PSU_CRL_APB_GEM0_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_GEM1_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_GEM2_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_GEM3_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_GEM_TSU_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_USB0_BUS_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_USB1_BUS_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_QSPI_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_SDIO0_REF_CTRL_SRCSEL IOPLL、 RPLL、VPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_SDIO1_REF_CTRL_SRCSEL IOPLL、 RPLL、VPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_UART0_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_UART1_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_I2C0_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_I2C1_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_SPI0_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_SPI1_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_CAN0_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_CAN1_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_DEBUG_R5_ATCLK_CTRL_SRCSEL IOPLL、 RPLL、DPLL

RPLL

PLL ソースの選択 PSU_CRL_APB_CPU_R5_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_OCM_MAIN_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 118: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 121

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

PLL ソースの選択 PSU_CRL_APB_IOU_SWITCH_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_CSU_PLL_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_PCAP_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_LPD_LSBUS_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_LPD_SWITCH_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_DBG_LPD_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_NAND_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_ADMA_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_PICDEBUG_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_PICDEBUG_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_DLL_REF_CTRL_SRCSEL IOPLL、 RPLLL IOPLL

PLL ソースの選択 PSU_CRL_APB_AMS_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_TIMESTAMP_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_AFI6_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

PLL ソースの選択 PSU_CRL_APB_USB3_DUAL_REF_CTRL_SRCSEL IOPLL、 RPLL、DPLL

IOPLL

CSU レジスタ PSU_CSU_CSU_TAMPER_0_ENABLE 0、 1 0

外部 MIO PSU_CSU_CSU_TAMPER_1_ENABLE 0、 1 0

JTAG ト グル検出 PSU_CSU_CSU_TAMPER_2_ENABLE 0、 1 0

PL SEU エラー PSU_CSU_CSU_TAMPER_3_ENABLE 0、 1 0

LPD の AMS 温度超過アラーム PSU_CSU_CSU_TAMPER_4_ENABLE 0、 1 0

APU の AMS 温度超過アラーム PSU_CSU_CSU_TAMPER_5_ENABLE 0、 1 0

VCCPINT_FPD の AMS 電圧アラーム

PSU_CSU_CSU_TAMPER_6_ENABLE 0、 1 0

VCCPINT_LPD の AMS 電圧アラーム

PSU_CSU_CSU_TAMPER_7_ENABLE 0、 1 0

VCCPAUX の AMS 電圧アラーム PSU_CSU_CSU_TAMPER_8_ENABLE 0、 1 0

DDRPHY の AMS 電圧アラーム PSU_CSU_CSU_TAMPER_9_ENABLE 0、 1 0

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 119: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 122

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

PSIO バンク 0/1/2 の AMS 電圧アラーム

PSU_CSU_CSU_TAMPER_10_ENABLE 0、 1 0

PSIO バンク 3 (専用ピン) の AMS 電圧アラーム

PSU_CSU_CSU_TAMPER_11_ENABLE 0、 1 0

GT の AMS 電圧アラーム PSU_CSU_CSU_TAMPER_12_ENABLE 0、 1 0

指定された不正操作応答に加えて不揮発性 BBRAM キーをゼロ化

PSU_CSU_CSU_TAMPER_0_ERASE_BBRAM 0、 1 0

上記と同じ PSU_CSU_CSU_TAMPER_1_ERASE_BBRAM 0、 1 0

上記と同じ PSU_CSU_CSU_TAMPER_2_ERASE_BBRAM 0、 1 0

上記と同じ PSU_CSU_CSU_TAMPER_3_ERASE_BBRAM 0、 1 0

上記と同じ PSU_CSU_CSU_TAMPER_4_ERASE_BBRAM 0、 1 0

上記と同じ PSU_CSU_CSU_TAMPER_5_ERASE_BBRAM 0、 1 0

上記と同じ PSU_CSU_CSU_TAMPER_6_ERASE_BBRAM 0、 1 0

上記と同じ PSU_CSU_CSU_TAMPER_7_ERASE_BBRAM 0、 1 0

上記と同じ PSU_CSU_CSU_TAMPER_8_ERASE_BBRAM 0、 1 0

上記と同じ PSU_CSU_CSU_TAMPER_9_ERASE_BBRAM 0、 1 0

上記と同じ PSU_CSU_CSU_TAMPER_10_ERASE_BBRAM 0、 1 0

上記と同じ PSU_CSU_CSU_TAMPER_11_ERASE_BBRAM 0、 1 0

上記と同じ PSU_CSU_CSU_TAMPER_12_ERASE_BBRAM 0、 1 0

sec_lockdown_1 : このビッ ト をセットする と、 不正操作イベン トが発生した場合に CSU ROM がセキュア ロ ッ クダウンを発行し、 すべての GPIOB がト ライステートになります。 上位ビッ トの動作のみが実行されます。

sec_lockdown_0 : このビッ ト をセッ トする と、 不正操作イベン トが発生した場合に CSU ROM がセキュア ロ ッ クダウンを発行します。 上位ビッ トの動作のみが実行されます。

sys_reset : このビッ ト をセッ トすると、 不正操作イベン トが発生した場合に CSU ROM がシステム リセッ ト を発行します。 上位ビットの動作のみが実行されます。

sys_interrupt : このビッ ト をセッ トする と、 不正操作イベン トが発生した場合に CSU ROM がシステム割り込みを発行します。 上位ビッ トの動作のみが実行されます。

PSU_CSU_CSU_TAMPER_0_RESPONSE

SEC_LOCKDOWN_0、SEC_LOCKDOWN_1、SYS_RESET、SYS_INTERRUPT

SEC_LOCKDOWN_0

上記と同じ PSU_CSU_CSU_TAMPER_1_RESPONSE 上記と同じ 上記と同じ

上記と同じ PSU_CSU_CSU_TAMPER_2_RESPONSE 上記と同じ 上記と同じ

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 120: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 123

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 C :ユーザー パラメーター

上記と同じ PSU_CSU_CSU_TAMPER_3_RESPONSE 上記と同じ 上記と同じ

上記と同じ PSU_CSU_CSU_TAMPER_4_RESPONSE 上記と同じ 上記と同じ

上記と同じ PSU_CSU_CSU_TAMPER_5_RESPONSE 上記と同じ 上記と同じ

上記と同じ PSU_CSU_CSU_TAMPER_6_RESPONSE 上記と同じ 上記と同じ

上記と同じ PSU_CSU_CSU_TAMPER_7_RESPONSE 上記と同じ 上記と同じ

上記と同じ PSU_CSU_CSU_TAMPER_8_RESPONSE 上記と同じ 上記と同じ

上記と同じ PSU_CSU_CSU_TAMPER_9_RESPONSE 上記と同じ 上記と同じ

上記と同じ PSU_CSU_CSU_TAMPER_10_RESPONSE 上記と同じ 上記と同じ

上記と同じ PSU_CSU_CSU_TAMPER_11_RESPONSE 上記と同じ 上記と同じ

上記と同じ PSU_CSU_CSU_TAMPER_12_RESPONSE 上記と同じ 上記と同じ

表 C‐1 : Vivado IDE のパラメーターとユーザー パラメーターの対応 (続き)

Vivado IDE 

パラメーター /値 パラメーター名  有効な値

デフォルト値

Page 121: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 124

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 D

デバッグこの付録では、 ザイ リ ンクス サポート ウェブサイ ト よ り入手可能な リ ソースおよびデバッグ ツールについて説明します。

ザイリンクス ウェブサイ ト

Zynq® UltraScale+™ MPSoC Processing System を使用した設計およびデバッグでヘルプが必要な場合は、 ザイ リ ンクス サポート ウェブ ページから製品の資料、 リ リース ノート、 アンサーなどを参照するか、 テクニカル サポートでケースを開いてください。

資料

この製品ガイ ドは、 このコアに関する主要資料です。 このガイ ド並びに全製品の設計プロセスをサポートする資料はすべて、ザイ リ ンクス サポート ウェブ ページ (http://japan.xilinx.com/support) または Xilinx Documentation Navigator から入手できます。

Xilinx Documentation Navigator は、 ダウンロード ページからダウンロードできます。 このツールの詳細および機能は、 インス トール後にオンライン ヘルプを参照してください。

ソリューシ ョ ン  センター

デバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 デザイン アシスタン ト 、 デザイン アドバイザリ、 ト ラブルシュートのヒ ン ト などが含まれます。

Page 122: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 125

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 D :デバッグ

アンサー

アンサーには、 よ く発生する問題についてその解決方法、 およびザイ リ ンクス製品に関する既知の問題などの情報が記載されています。 アンサーは、 ユーザーが該当製品の 新情報にアクセスできるよ う作成および管理されています。

このコアに関するアンサーの検索には、 ザイ リ ンクス サポート ウェブ ページにある検索ボッ クスを使用します。 より的確な検索結果を得るには、 次のよ うなキーワードを使用してください。

• 製品名

• ツールで表示される メ ッセージ

• 問題の概要

検索結果は、 フ ィルター機能を使用してさ らに絞り込むこ とができます。

Zynq UltraScale+ MPSoC Processing System に関するマスター アンサー 

AR : 66183

テクニカル サポート

ザイ リ ンクスは、 製品資料の説明に従って使用されている LogiCORE™ IP 製品に対するテクニカル サポート を japan.xilinx.com/support で提供しています。 ただし、 次に該当する場合、 タイ ミ ング、 機能、 サポートは保証されません。

• 資料で定義されていないデバイスにソ リ ューシ ョ ンをインプリ メン ト した場合

• 資料で定義されている許容範囲を超えてカスタマイズした場合

• 「DO NOT MODIFY」 と されているデザイン セクシ ョ ンに変更を加えた場合

ザイ リ ンクス テクニカル サポートへのお問い合わせに関しては、 ザイ リ ンクス サポート ウェブ ページを参照してください。

Page 123: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 126

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 E

その他のリソースおよび法的通知

ザイリンクス リソース

アンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照してください。

参考資料

次の資料は、 この製品ガイ ドの補足資料と して役立ちます。

1. 『Zynq UltraScale+ MPSoC テクニカル リ ファレンス マニュアル』 (UG1085 : 英語版、 日本語版)

2. 『Zynq UltraScale+ MPSoC レジスタ リ ファレンス』 (UG1087)

3. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994 : 英語版、日本語版)

4. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896 : 英語版、 日本語版)

5. 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910 : 英語版、 日本語版)

6. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900 : 英語版、 日本語版)

7. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908 : 英語版、 日本語版)

8. 『AMBA AXI4-Stream Protocol Specification』

9. 『DDR3L SDRAM Data Sheet』 (PDF の場所 -- Micron Technology Inc.)

Page 124: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 127

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 E : その他のリソースおよび法的通知

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 内容

2016 年 6 月 8 日 1.2

• 表 4-2 ~表 4-7 を更新。

• すべての _t_n 信号を _t に変更し、説明から 「INVERTED」 とい う単語を削除。

• PSU_CAN0_PERIPHERAL_ENABLE および PSU_CAN1_PERIPHERAL_ENABLE パラ メーターを、 デフォルトで 0 になるよ うに変更。

• PSU_DPAUX_PERIPHERAL_ENABLE パラ メーターを削除。

• PSU_DPAUX_PERIPHERAL_IO、 PSU_SD1_SPEED_MODE、 および PSU_CRF_APB_TOPSW_MAIN_CTRL_FREQMHZ パラ メーターの有効な値を更新。

• PSU__DISPLAYPORT__PERIPHERAL__ENABLE および PSU__DP__LANE_SEL パラ メーターを追加。

• 「Gpio」 を 「GPIO」 に変更。

• 表 C-1 で情報が不足していた多くの行を更新。

2016 年 4 月 6 日 1.1

• 高速 SerDes コンフ ィギュレーシ ョ ン機能を追加。

• 「サポート されない機能」 のセクシ ョ ンを 「サポート されない機能と既知の制限」 に変更。 すべての箇条書きの項目を削除。 マスター アンサーに対応表を追加。

• 「ACP ト ランザクシ ョ ン チェッカー」 のセクシ ョ ンを削除。

• NOR フラ ッシュを削除。

• 「AXI4 I/O 準拠インターフェイス」 のセクシ ョ ンを更新。

• 表 2-2 「デバイス使用率 – Zynq UltraScale+ MPSoC」 にデータを追加。

• 「一般的なデザイン ガイ ド ライン」 のセクシ ョ ンから MicroBlaze の情報を削除。

• 第 4 章のすべての画面表示を追加または更新。

• [Drive 0] および [Drive 1] フ ィールドを [Drive Strength] フ ィールドに置き換え。

• [Pull Enable] および [Pullup] フ ィールドを [Pull Type] フ ィールドに置き換え。

• MIO および EMIO、MIO の数、バンク内での MIO の構成に関する情報を追加。

• PCW でサポート される SerDes コンフ ィギュレーシ ョ ンの概要を追加。

• MIO の電圧規格に関する情報を追加。 バンクのデフォルト電圧が LVCMOM33 になるこ とを指定。

• [Input Frequency] フ ィールドを [Requested Freq (MHz)] フ ィールドに置き換え。

• [Actual Frequency] フ ィールドを [Actual Freq (MHz)] フ ィールドに置き換え。

• [Range] を [Range (MHz)] に置き換え。

• ク ロス ド メ イン PLL、 GT レーン ク ロ ッキング、 自動機能と手動機能に関する詳細を追加。

2015 年 11 月 18 日 1.0 初版

Page 125: LogiCORE IP 製品ガイド - Xilinx...Zynq UltraScale+ Processing System v1.2 LogiCORE IP 製品ガイド PG201 2016 年 6 月 8 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

Zynq UltraScale+ Processing System  v1.2 128

PG201 2016 年 6 月 8 日 japan.xilinx.com

付録 E : その他のリソースおよび法的通知

お読みください : 重要な法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する 大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) という状態で提

供され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこ

れらに限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿ま

たは貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負

わない (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損

害には、 直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信

用の損失、 その他あらゆる種類の損失や損害を含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可

能であったり、 ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情

報に含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負

いません。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ませ

ん。 一定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 http://japan.xilinx.com/legal.htm#tos で見られるザイ

リ ンクスの販売条件を参照して ください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補助

的条件に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプリ

ケーシ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ うな重大なアプリ ケーシ ョ ンにザイ リ ンクスの製

品を使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。 http://japan.xilinx.com/legal.htm#tos で見られるザイ リ

ンクスの販売条件を参照してください。

© Copyright 2015-2016 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含

まれるその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 PCI、 PCIe、 および PCIExpress は、

PCI-SIG の商標であ り、 ライセンスに基づいて使用されています。 AMBA および ARM は、 ARM の欧州連合その他の国における登

録商標です。 Cortex は、 ARM の欧州連合その他の国における商標です。 すべてのその他の商標は、 それぞれの保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページ

の右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語

で入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは

受け付けており ません。 あらかじめご了承ください。