ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への...

97
ISE から Vivado Design Suite への 移行ガ イ ド UG911 (v2014.3) 2014 10 1 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま す。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の 上、最新情報につきましては、必ず最新英語版をご参照ください。

Transcript of ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への...

Page 1: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

ISE から Vivado Design Suite への移行ガイ ド

UG911 (v2014.3) 2014 年 10 月 1 日

本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 2UG911 (v2014.3) 2014 年 10 月 1 日

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 改訂内容

2014 年 10 月 1 日 2014.3 • NGC フォーマッ ト を UltraScale™ デバイスおよびそれ以降のデバイスの EDIF に移行するこ とに関する情報を追加 (第 2 章の 「ソース ファ イルの移行」 を参照)

• 第 8 章の 「ISE Data2MEM コマンド ライン ツール」 セクシ ョ ンを追加

2014 年 4 月 2 日 2014.1 2014.1 リ リース用に改訂

Page 3: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

目次

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

第 1 章 : ISE Design Suite からの移行について概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

デザイン フロー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

第 2 章 : ISE Design Suite デザインの Vivado Design Suite への移行概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

XISE Project Navigator プロジェク トのインポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

PlanAhead ツール プロジェク トの変換 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

XST プロジェク ト ファ イルのインポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

ソース ファ イルの移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

ISE Design Suite コマンド と Vivado Design Suite コマンドの対応 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

makefile の変換 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

メ ッセージの違い . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

レポートの違い . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

ログ ファ イルの違い . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

第 3 章 : UCF 制約の XDC への移行概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

XDC と UCF 制約の違い . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

UCF と同等の XDC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

制約の順序 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

PlanAhead ツールで UCF を XDC に変換 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

タイムグループ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23

タイ ミ ング制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23

物理制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

第 4 章 : レガシ IP を含むデザインの Vivado Design Suite への移行概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

CORE Generator IP の Vivado Design Suite への移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53

EDK IP の Vivado Design Suite への移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

Vivado Design Suite IP と ISE CORE Generator IP の違い . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

第 5 章 : XPS から IP インテグレーターへの移行概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

XPS と IP インテグレーターの機能比較 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

XPS のデザインを IP インテグレーター用に変換する際のヒン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

Pcore の Vivado Design Suite プロジェク トへの移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

ロケーシ ョ ン制約の管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 3UG911 (v2014.3) 2014 年 10 月 1 日

Page 4: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 6 章 : ISim Tcl の Vivado シミ ュレータ Tcl への移行Tcl コマンドの移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78

シ ミ ュレーシ ョ ン ラ イブラ リのコンパイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80

第 7 章 : ISE ChipScope Logic Analyzer の Vivado ラボ ツールへの移行概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

レガシ IP コアのサポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

ChipScope Pro Analyzer コアの互換性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

第 8 章 : その他のコマンド ライン ツールの Vivado IDE への移行概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

ISE Partgen コマンド ラ イン ツールの移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

ISE Bitgen コマンド ライン ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

ISE Speedprint コマンド ライン ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

ISE PROMGen コマンド ラ イン ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

ISE BSDLAnno コマンド ラ イン ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

ISE Data2MEM コマンド ラ イン ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

compxlib から compile_simlib への移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

付録 A : 廃止プリ ミテ ィブ概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91

付録 B : その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

ト レーニング リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97

法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 4UG911 (v2014.3) 2014 年 10 月 1 日

Page 5: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 5UG911 (v2014.3) 2014 年 10 月 1 日

第 1 章

ISE Design Suite からの移行について

概要ISE® Design Suite は、ザイ リ ンクス デバイスのすべてのジェネレーシ ョ ンに対して業界が認めたソ リ ューシ ョ ンであり、 7 シ リーズおよび Zynq®-7000 Soc All Programmable (AP SoC) デバイスをターゲッ ト とするプロジェク トのデザイン フローにも対応しています。

Vivado® Design Suite は、 Virtex®-7、 Kintex®-7、 Artix®-7 を含む 7 シ リーズ デバイス、 UltraScale™ アーキテクチャ、Zynq-7000 AP SoC デバイス、 および UltraFast™ 設計手法をサポート し、 特に大型で高集積のデザインで優れたツール パフォーマンスを発揮します。

ISE Design Suite および Vivado Design Suite のどちらでも 7 シ リーズ デバイスがサポート されるので、 Vivado DesignSuite に移行するタイ ミ ングはユーザーが決定できます。

Vivado Design Suite では、 プロジェク トおよびソース ファ イルの Vivado Design Suite プロジェク トへのインポート機能および Tcl スク リプ トへのコマンド マップが提供されており、前のデザインのすべてまたは一部をスムーズに再利用できます。

Tcl コマンドおよび構文については、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4] を参照して ください。

Vivado 統合設計環境 (IDE) の [Tcl Console] ビューに次のコマンドを入力する と、 Tcl コマンドに関するヘルプ情報を表示できます。

<command_name> -help

デザイン フロー

Vivado Design Suite は、 さまざまな方法で起動できます。 たとえば、 非プロジェク ト モード と呼ばれる Tcl スク リプ

ト ベースのコンパイル方法を使用して、 ソースおよびデザイン プロセスをユーザーが自分で管理できます。 または、 プロジェク ト モード と呼ばれるプロジェク ト ベースの方法を使用して、 プロジェク トおよびプロジェク ト

ステータスによ りデザイン プロセスおよびデザイン データが自動的に管理されるよ うにするこ と もできます。 どち

らの方法も、 Tcl スク リプ トのバッチ モードで実行できるほか、 Vivado IDE でインタラ クティブに実行できます。 異

なるデザイン フロー モードの詳細は、 『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892) [参照 1] を参照して ください。

このガイ ドでは、Vivado Design Suite の両方のデザイン フロー モードでの移行に関する注意事項および手順を説明します。

Page 6: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章

ISE Design Suite デザインの Vivado Design Suite への移行

概要 この章では、 ISE® Design Suite デザインを Vivado® Design Suite に移行する方法を説明します。

Vivado Design Suite では、 Virtex ク ラス デバイスに対しては ISE Design Suite と同レベルのリ ターゲッ トが可能です。Spartan ク ラス デバイスに対しては、 一部手動の移行作業が必要です。

XISE Project Navigator プロジェク トのインポートグラフ ィカル ユーザー インターフェイス (GUI) である Vivado 統合設計環境 (IDE) を使用する と、次の手順で XISE プロジェク ト ファ イルをインポートできます。

1. [File] → [New Project] をク リ ッ ク します。

2. プロジェク ト名および保存場所を選択します。

3. New Project ウ ィザードで [Imported Project] をオンにします。

4. [ISE] をオンにし、 インポートする XISE ファ イルを選択します。

重要 : Vivado Design Suite では、 古い ISE Design Suite プロジェク ト (.ise) ファ イルはサポート されません。

プロジェク ト ファ イルをインポート したら、 次の作業を実行します。

• インポート XISE サマリ レポート を開き、 インポート したプロジェク トに関する重要な情報を確認します。

• 選択したデバイスで要件が満たされているこ とを確認します。満たされていない場合は新しいデバイスを選択します。 ISE プロジェク トに Vivado でサポート されている同等のデバイスがない場合は、 デフォルト デバイスが選択されます。

• [Sources] ビューですべてのファイルが正し く インポート されているこ とを確認します。

デザインにユーザー制約ファイル (UCF) が含まれている場合は、サポート されていない制約ファイルと して表示されます。

重要 : デザインにタイ ミ ング制約または物理制約を適用するには、 UCF をザイ リ ンクス デザイン制約 (XDC) フォーマッ トに変換する必要があ り ます。 詳細は、 第 3 章 「UCF 制約の XDC への移行」 を参照して ください。

Vivado Design Suite インターフェイスを使用したデザインの作成の詳細は、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 2] を参照して ください。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 6UG911 (v2014.3) 2014 年 10 月 1 日

Page 7: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : ISE Design Suite デザインの Vivado Design Suite への移行

デザイン フローの次の手順については、 『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892) [参照 1] を参照して ください。

制約の詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 3] を参照して ください。

Tcl コマンドの詳細は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4] を参照してください。

デザイン プロパティの詳細は、 『Vivado Design Suite プロパティ リ ファレンス ガイ ド』 (UG912) [参照 13] を参照してください。

PlanAhead ツール プロジェク トの変換PlanAhead™ ツール プロジェク ト を Vivado IDE プロジェク トに変換するには、Vivado IDE で PlanAhead プロジェク トファ イル (拡張子 .ppr) を開きます。 ダイアログ ボッ クスが表示されたら、 変換されたプロジェ ク トの新しいプロジェク ト名と保存ディ レク ト リ を指定します。

プロジェク ト を変換する と、 次が変更されます。

• 7 シ リーズ デバイスよ り も前のデバイスをターゲッ トにしていたプロジェク トの場合、 Vivado Design Suite のデフォルトの 7 シ リーズ デバイスがターゲッ トにな り ます。

• すべての run がリセッ ト されます。 run はツールでデザインをインプ リ メン ト した後に生成されます。

• run ス ト ラテジが Vivado Design Suite のデフォルト ス ト ラテジに置き換えられます。

• UCF ファ イルはサポート されないので、 [Unsupported Constraints] フォルダーに移動されます。

注記 : パーティシ ョ ンを含むデザインの変換はサポート されません。

注記 : XPS を使用した Zynq®-7000 AP SoC のプロセッサ デザインはサポート されていません。

重要 : Zynq デバイスおよび MicroBlaze™ プロセッサをターゲッ ト にするデザインを含む新しいエンベデッ ド プロセッサ デザインには、Xilinx Platform Studio (XPS) の代わりに Vivado IP インテグレーターを使用します。XPS は VivadoDesign Suite には統合されなくな り ましたが、XPS で制約なしで作成された DCP および NGC ファ イルは Vivado DesignSuite のソース ファ イルと してサポート されます。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 7UG911 (v2014.3) 2014 年 10 月 1 日

Page 8: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : ISE Design Suite デザインの Vivado Design Suite への移行

XST プロジェク ト ファイルのインポート既存または最新の ISE® Project Navigator プロジェ ク ト ファ イル (.xise) または PlanAhead プロジェ ク ト ファ イル(.ppr) がない場合は、XST (Xilinx Synthesis Technology) プロジェク ト ファ イルを使用して初期設定を Vivado プロジェク トにインポートできます。 XST プロジェク ト ファ イルをインポートするには、 次の手順に従います。

1. [File] → [New Project] をク リ ッ ク します。

2. プロジェク ト名とディ レク ト リ を選択します。

3. New Project ウ ィザードで [Imported Project] をオンにします。

4. [XST] をオンにし、 .xst 拡張子のプロジェク ト ファ イルを選択します。

プロジェク ト ファ イルをインポート したら、 次の作業を実行します。

• インポート XST サマリ レポート を開き、 インポート したプロジェク トに関する重要な情報を確認します。

• 選択したデバイスで要件が満たされているこ とを確認します。満たされていない場合は新しいデバイスを選択します。 XST プロジェク トに Vivado Design Suite でサポート されている同等のデバイスがない場合は、 デフォルトデバイスが選択されます。

• [Sources] ビューですべてのファイルが正し く インポート されているこ とを確認します。

デザイン フ ローの次の手順については、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』(UG895) [参照 1] を参照して ください。

ソース ファイルの移行Vivado IDE にプロジェク ト をインポート した り変換する際に、 Vivado Design Suite でサポート されているソース ファイルもすべてプロジェク トに追加できます。

• IP : 既存の ISE Design Suite プロジェク トおよび IP は Vivado Design Suite プロジェク トおよび IP に移行できます。Vivado Design Suite では、 インプ リ メ ンテーシ ョ ン中に ISE Design Suite の IP を使用できます。 詳細は、 第 4 章「レガシ IP を含むデザインの Vivado Design Suite への移行」 を参照してください。

• ソース ファ イル : 回路図 (SCH) および Architecture Wizard (XAW) のソース ファ イルを除き、 既存の ISE DesignSuite プロジェク ト からのソース ファ イルはすべて Vivado Design Suite の新規プロジェク トに追加できます。 たとえば、 CORE Generator™ ツールのプロジェク ト ファ イル (.xco) およびネッ ト リ ス ト ファ イル (.ngc) はデザイン ソース と して追加できます。

注記 : Vivado ツールでは、 階層 NGC ファ イルの使用はサポート されていません。

UltraScale™ デバイスおよびそれ以降のアーキテクチャでは、 NGC フォーマッ トのネッ ト リ ス トはサポートされません。 既存のデザインに NGC ネッ ト リ ス ト が含まれている場合、 ターゲッ ト を UltraScale デバイスに変更する前に、 NGC ネッ ト リ ス ト を EDIF (Electronic Data Interchange Format) に変換する必要があ り ます。NGC ネッ ト リ ス ト を EDIF に変換するには、Vivado Design Suite を開き、Tcl コンソールに次のよ うに入力します。

exec ngc2edif <name>.ngc <name>.edf

こ こで、 <name> を NGC ネッ ト リ ス トの名前に置き換えます。 このコマンドが正常に完了したら、 生成された EDIF ファ イルを NGC ファ イルの代わりにプロジェク トに追加します。

• 制約 : デザインまたは IP に使用されているユーザー制約ファイル (UCF) を Vivado Design Suite で使用するには、ザイ リ ンクス デザイン制約 (XDC) フォーマッ トに変換する必要があ り ます。 UCF から XDC への移行については、 このガイ ドの第 3 章 「UCF 制約の XDC への移行」 を参照して ください。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 8UG911 (v2014.3) 2014 年 10 月 1 日

Page 9: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : ISE Design Suite デザインの Vivado Design Suite への移行

注意 : 作業中の ISE® Design Suite プロジェク ト を途中で Vivado Design Suite に移行しないでください。2 つの環境間では、 デザイン制約およびスク リプ トに互換性があ り ません。

ISE Design Suite コマンド と Vivado Design Suite コマンドの対応このセクシ ョ ンでは、Vivado Design Suite の非プロジェク ト デザイン フロー モードで Tcl スク リプ ト を使用するユーザーを対象にしています。

デザインをインプ リ メ ン トするための ISE Design Suite スク リプ ト を移行するには、 Tcl スク リプ ト を使用できます。ISE Design Suite と同様、 Vivado Design Suite のコンパイル フローでは、 デザインが変換された後、 変換されたデザインがデバイス特定のエレ メン トにマップされ、最適化され、配置配線されて、 プログラム用の BIT ファ イルが生成されます。

表 2-1 に、 2 つのデザイン フローの主な違いを示します。

次の表 に、 ISE Design Suite のコマンド とそれに対応する Vivado Design Suite の Tcl コマンドを示します。 Tcl コマンドは、 次のいずれかの方法で実行できます。

• Vivado IDE の Tcl コンソール

• Tcl プロンプ ト (vivado -mode tcl)

• バッチ スク リプ ト (vivado -mode batch -source my.tcl)

表 2-1 : ISE Design Suite デザイン フローと Vivado Design Suite デザイン フローの違い

ISE Design Suite Vivado Design Suite

個別のコマンド ライン アプリ ケーシ ョ ン シェルの Tcl コマンド

XCF/NCF/UCF/PCF 制約 XDC タイ ミ ングおよび物理制約

デザイン制約 (タ イ ミ ングまたは物理) はフローの初期段階でのみ適用

制約 (タイ ミ ングまたは物理) は、フローのどの段階でも適用、 変更、 削除可能

複数のデータベース ファ イル (NGC、NGD、NCD)が必要

単一のデザイ ン データベース (拡張子 .dcp のチェッ クポイン ト ) をフローのどの段階でもオンデマンドで書き出し可能

アプリケーシ ョ ンによ り レポート を生成 レポートは、 該当するものであれば、 フローのどの段階でもオンデマンドで生成可能

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 9UG911 (v2014.3) 2014 年 10 月 1 日

Page 10: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : ISE Design Suite デザインの Vivado Design Suite への移行

ISE Design Suite と Vivado Design Suite で使用されるアルゴ リズムは異なるため、 2 つのツール フロー間で完全に 1:1で対応しているわけではあ り ません。 表 2-3 は、 2 つのインプ リ メンテーシ ョ ン フロー間でよ く使用されるオプシ ョンの対応を示しています。

表 2-2 : ISE Design Suite コマンド と Vivado Design Suite の Tcl コマンド

ISE Design Suite コマンド Vivado Design Suite の Tcl コマンド

xst read_verilog

read_vhdl

read_xdc

synth_design

注記 : この順番でコマンドを実行する必要があ り ます。

ngdbuild read_edif

read_xdc

link_design

注記 : これらのコマンドは、 サードパーティ合成ツールからインポートする場合に必要です。 synth_design を使用する場合は、 この手順は必要あ り ません。

注記 : 非プロジェ ク ト フローを使用する場合は、 read_edif コマンドを使用し

て NGC ファ イルを含める必要があ り ます。

map opt_design

power_opt_design (オプシ ョ ン)place_design

phys_opt_design (オプシ ョ ン)

par route_design

trce report_timing

report_timing_summary

xpwr read_saif

report_power

drc report_drc

netgen write_verilog

write_vhdl

write_sdf

bitgen write_bitstream

xinfo report_environment

表 2-3 : ISE と Vivado のインプリ メンテーシ ョ ン フローの対照表

ISE Design Suite Vivado Design Suite

ngdbuild -p partname link_design -part

ngdbuild -a (パッ ドを挿入) synth_design -no_iobuf (バッファーを挿入しない)

ngdbuild -u (未展開のブロッ クを許可) デフォルトでイネーブル、 ク リ ティカル警告メ ッセージを表示

ngdbuild -quiet link_design -quiet

map -detail opt_design -verbose

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 10UG911 (v2014.3) 2014 年 10 月 1 日

Page 11: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : ISE Design Suite デザインの Vivado Design Suite への移行

* -directive オプシ ョ ンの詳細は、 Tcl のヘルプを参照して ください。

Tcl コマンド情報の入手

デザインのインプリ メンテーシ ョ ンおよび解析に使用可能なその他の Tcl コマンドの詳細は、『Vivado Design Suite Tclコマンド リ ファレンス ガイ ド』 (UG835) を参照して ください。 Tcl コマンド プロンプ トでヘルプを表示するには、 次のコマンドを入力します。

• help <command>

• <command> -help

Tcl コマンドのカテゴ リに関するヘルプを表示するには、 次のコマンドを入力します。

• help (カテゴ リが リ ス ト される)

• help -category <category>

注記 : 対話型ヘルプにはオート コンプ リート機能があ り、 大文字/小文字は区別されないので、 end と EndGroup はTcl では同じカテゴ リにな り ます。 コマンドやカテゴ リのヘルプを表示する場合は、 すべて小文字で入力してオートコンプリート機能を使用する と (「endgroup」 と入力する代わりに 「end」 と入力するなど)、時間の節約になり ます。

map -lc auto 不要 (Vivado では、 よ り よい配線性および QoR を達成するため、使用されるエリ アは小さ くなる )

map -logic_opt opt_design、 phys_opt_design

map -mt place_design で Linux では 4 つ、Windows では 2 つのコアを使用してマルチスレッ ドを自動的に実行

map -ntd place_design -non_timing_driven

map -ol place_design -directive *

map -power power_opt_design

map -u link_design -mode out_of_context、 opt_design -retarget (定数の伝搬をスキップしてスイープ)

par -pl place_design -directive *

par -rl route_design -directive *

par -mt route_design で Linux では 4 つ、Windows では 2 つのコアを使用してマルチスレッ ドを自動的に実行

par -k (既存の配置配線を保持) route_design のデフォルト

par -nopad report_io (パッ ド レポート を生成)

par -ntd route_design -no_timing_driven

表 2-3 : ISE と Vivado のインプリ メンテーシ ョ ン フローの対照表 (続き)

ISE Design Suite Vivado Design Suite

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 11UG911 (v2014.3) 2014 年 10 月 1 日

Page 12: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : ISE Design Suite デザインの Vivado Design Suite への移行

コマンド ラインの例

次に、 run.cmd フ ァ イルに入力可能な典型的な ISE Design Suite コマン ド ラ イ ン run の例を示し ます。 その後、run.tcl ファ イルに入力可能な Vivado Design Suite の Tcl コマンドを使用した同じ run を示します。

例 1 : ISE Design Suite コマンドの Vivado Design Suite の Tcl コマンドへの変換

ISE Design Suite コマンド ライン

xst -ifn design_top.xst

#-ifn (input file name with project settings and options)ngdbuild -sd .. -dd . -p xc7v585tffg1157-2 -uc design_top.ucf design_top.ngd

#-sd (search directory), -dd (destination directory), -p (part), -uc (UCF#file)map -xe c -w -pr b -ol high -t 2 design_top_map.ncd design_top.pcf#-xe c (extra effort), -w (overwrite existing file), -pr b (push registers#into IOBs), -ol (overall effort), -t (placer cost table)par -xe c -w -ol high -t 2 design_top_map.ncd design_top.ncd#-xe c (extra effort), -w (overwrite existing file), -ol (overall effort), -t#(placer cost table)trce -u -e 10 design_top.ncd design_top.pcf#-u (report uncovered paths), -e (generate error report)bitgen –w design_top.ncd design_top.pcf

同様の Vivado Design Suite Tcl コマンド

set design_name design_top#read inputsread_verilog { $design_name.v source2.v source3.v }read_vhdl -lib mylib { libsource1.vhdl libsource2.vhdl }read_xdc $design_name.xdc#run flow and save the databasesynth_design -top $design_name -part xc7v585tffg1157-21write_checkpoint -force ${design_name}_post_synth.dcpopt_designplace_designwrite_checkpoint -force ${design_name}_post_place.dcpreport_utilization –file post_place_util.txtroute_design#Reports are not generated by defaultreport_timing_summary –file post_route_timing.txt#Save the database after post routewrite_checkpoint -force ${design_name}_post_route.dcp#Check for DRCreport_drc -file post_route_drc.txt# Write Bitstreamwrite_bitstream -force ${design_name}.bit

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 12UG911 (v2014.3) 2014 年 10 月 1 日

Page 13: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : ISE Design Suite デザインの Vivado Design Suite への移行

例 2 : サードパーティ合成用の Vivado Design Suite Tcl コマンド (EDIF で開始)

set design_name design_top#read inputsread_edif { source1.edf source2.edf $design_name.edf }read_xdc $design_name.xdclink_design –part xc7v585tffg1157-2 –top $design_name#Reports are not generated by defaultreport_timing_summary –file post_synth_timing_summ.txtopt_designplace_designwrite_checkpoint -force ${design_name}_post_place.dcpreport_utilization –file post_place_util.txtroute_design#Reports are not generated by defaultreport_timing_summary –file post_route_timing.txt _summ.txt#Save the database after post routewrite_checkpoint -force ${design_name}_post_route.dcp#Check for DRCreport_drc -file post_route_drc.txt# Write Bitstreamwrite_bitstream -force ${design_name}.bit

makefile の変換makefile は、 make コマンドによって参照されるテキス ト ファ イルで、 make コマンドによるプログラムのコンパイル方法およびリ ンク方法を制御します。 makefile には、 アクシ ョ ンをいつ実行するかを指定するルールや、 ソース レベルおよびビルド順などの情報が含まれます。 コンパイル コマンドのシーケンスを決定するため、 makefile で依存ファイルのタイムスタンプがチェッ ク されます。 次に、 makefile の記述例を示します。

例 : ISE Design Suite の makefile を Vivado Design Suite の makefile に変換

ISE Design Suite で使用する makefile の例

DESIGN = testDEVICE = xc7v585tffg1157-2UCF_FILE = ../Src/${DESIGN}.ucfEDIF_FILE = ../Src/${DESIGN}.edf

# Make all runs to place & routeall : place_n_route

# bitstream : Creates device bitstreambitstream : ./${DESIGN}.bit

# place_n_route: Stops after place and route for analysis prior to bitstream generationplace_n_route : ${DESIGN}.ncd

# translate: Stops after full design elaboration for analysis and floorplanning prior to place and route step

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 13UG911 (v2014.3) 2014 年 10 月 1 日

Page 14: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : ISE Design Suite デザインの Vivado Design Suite への移行

translate : ${DESIGN}.ngd

# Following executes the ISE run

${DESIGN}.bit : ${DESIGN}.ncdbitgen -f ${DESIGN}.ut ${DESIGN}.ncd

${DESIGN}.ncd : ${DESIGN}_map.ncdpar -w -ol high ${DESIGN}_map.ncd ${DESIGN}.ncd ${DESIGN}.pcf

${DESIGN}_map.ncd : ${DESIGN}.ngdmap -w -ol high -o ${DESIGN}_map.ncd ${DESIGN}.ngd ${DESIGN}.pcf

${DESIGN}.ngd : ${EDIF_FILE} ${UCF_FILE}ngdbuild -uc ${UCF_FILE} -p ${DEVICE} ${EDIF_FILE} ${DESIGN}.ngd

# Clean up all the files from the Vivado runclean : rm -rf *.ncd *.ngd *.bit *.mrp *.map *.par *.bld *.pcf *.xml *.bgn *.html \

*.lst *.ngo *.xrpt *.unroutes *.xpi *.txt *.pad *.csv *.ngm xlnx_auto* \ _xmsgs *.ptwx

# Tar and compress all the filestar : tar -zcvf ${DESIGN}.tar.gz *.ncd *.ngd *.mrp *.map *.par *.bld *.pcf *.bgn \

Makefile

Vivado Design Suite で使用する同等の makefile

DESIGN = testDEVICE = xc7v585tffg1157-2XDC_FILE = ../Src/${DESIGN}.xdcEDIF_FILE = ../Src/${DESIGN}.edf

# Make all runs to place & routeall : place_n_route

# bitstream : Creates device bitstreambitstream : ./${DESIGN}.bit

# place_n_route: Stops after place and route for analysis prior to bitstream generationplace_n_route : ./${DESIGN}_route.dcp

# translate: Stops after full design elaboration and initial optimization for analysis and floorplanning prior to place and route steptranslate : ./${DESIGN}_opt.dcp

# Following calls Tcl files for each desired portion of the Vivado run# Design checkpoint files and bit file used for dependency management

./${DESIGN}.bit : ./run_vivado_place_n_route.tcl ./${DESIGN}_route.dcpvivado -mode batch -source run_vivado_bitstream.tcl -tclargs ${DESIGN}

./${DESIGN}_route.dcp : ./run_vivado_place_n_route.tcl ./${DESIGN}_opt.dcpvivado -mode batch -source run_vivado_place_n_route.tcl -tclargs \

${DESIGN}

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 14UG911 (v2014.3) 2014 年 10 月 1 日

Page 15: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : ISE Design Suite デザインの Vivado Design Suite への移行

./${DESIGN}_opt.dcp : ./run_vivado_opt.tcl ${EDIF_FILE} ${XDC_FILE}vivado -mode batch -source run_vivado_opt.tcl -tclargs ${DESIGN} ${DEVICE} ${EDIF_FILE} ${XDC_FILE}

# Clean up all the files from the Vivado runclean : rm -f *.jou *.log *.rpt *.dcp *.bit *.xml *.html

# Tar and compress all the filestar : tar -zcvf ${DESIGN}.tar.gz *.jou *.log *.rpt *.dcp *.tcl Makefile

Vivado Design Suite の makefile に関連した Tcl ファイル

run_vivado_opt.tcl

# Gathering TCL Argsset DESIGN [lindex $argv 0]set DEVICE [lindex $argv 1]set EDIF_FILE [lindex $argv 2]set XDC_FILE [lindex $argv 3]

# Reading EDIF/NGC fileread_edif ../Src/${DESIGN}.edf

# Linking Designlink_design -part ${DEVICE} -edif_top_file ../Src/${DESIGN}.edf

# Running Logic Optimizationopt_design

# Adding Constraintsread_xdc ${XDC_FILE}

# Saving Runwrite_checkpoint -force ./${DESIGN}_opt.dcp

# Creating opt reportsreport_utilization -file ${DESIGN}_utilization_opt.rptreport_timing_summary -max_paths 10 -nworst 1 -input_pins -report_io -file ${DESIGN}_io_opt.rptreport_clock_interaction -file ${DESIGN}_clock_interaction_opt.rpt

exit

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 15UG911 (v2014.3) 2014 年 10 月 1 日

Page 16: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : ISE Design Suite デザインの Vivado Design Suite への移行

run_vivado_place_n_route.tcl

# Gathering TCL Argset DESIGN [lindex $argv 0]

read_checkpoint ./${DESIGN}_opt.dcplink_design# Placing Designplace_designwrite_checkpoint -force ./${DESIGN}_place.dcp

# Routing Designroute_design

# Saving Runwrite_checkpoint -force ./${DESIGN}_route.dcp

# Creating route reportsreport_timing_summary -max_paths 10 -nworst 1 -input_pins -report_drc -file ${DESIGN}_drc_route.rpt

exit

run_vivado_bitstream.tcl

# Gathering TCL Arg

set DESIGN [lindex $argv 0]

read_checkpoint ./${DESIGN}_route.dcp

# Create bitstream

write_bitstream -force ${DESIGN}.bit

exit

注記 : このフローは終了し、 makefile で定義された処理が Vivado ツールで再開されます。 これによ り make 構造から実行を制御しやすくはな り ますが、 ソフ ト ウェアを一度終了して再起動し、定義された各手順でデザインが読み込み直されるため、 実行時間の点では効率的ではあ り ません。 makefile による制御よ り ランタイムを重視する場合は、 この実行全体を Tcl で構築する と、 手順から次の手順に移行する と きにデザインがメモ リに保持されるので、 実行時間が短縮されます。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 16UG911 (v2014.3) 2014 年 10 月 1 日

Page 17: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : ISE Design Suite デザインの Vivado Design Suite への移行

メ ッセージの違いVivado Design Suite では、 ISE Design Suite と同じ ID 付きの情報、 警告、 エラー メ ッセージ (例 : ngdbuild:604) が使用されます。アプ リケーシ ョ ンでは、HDL-189 などの固有の ID 番号が付いたメ ッセージが表示されます。Vivado DesignSuite には、 ステータス と ク リ ティカル警告の 2 種類の新しいメ ッセージが含まれます。

• ステータスは実行中のツール プロセスについての情報を表示します。

• Vivado Design Suite のク リティカル警告は ISE Design Suite のエラーと同じですが、Vivado デザイン プロセスが中断しない点が異なり ます。デザインのク リ ティカル警告はビッ ト ス ト リーム生成 (write_bitstream) 段階でエラーになり、 デザイン プロセスを停止します。

推奨 : デザインを続行する前にク リティカル警告を解決するよ うにして ください。

表 2-4 に、 Vivado Design Suite の 5 つのメ ッセージ タイプと、 ユーザーの対処が必要かど うか、 およびメ ッセージの目的を示します。

表 2-4 : Vivado Design Suite のメ ッセージ タイプ

タイプ 対処 目的

STATUS 不要 デザイン プロセスに関する一般的なステータスおよびフ ィードバッ クを示します。

STATUS メ ッセージは INFO メ ッセージと同じですが、重要度およびメ ッセージ ID タグは含まれません。

INFO 不要 デザイン プロセスに関する一般的なステータスおよびフ ィードバッ クを示します。 INFO メ ッセージは STATUS メ ッセージと同じですが、フ ィルター処理や検索のために重要度およびメ ッセージ ID タグが含まれています。

WARNING オプシ ョ ン 制約または仕様が意図どおりに適用されていないために、 デザイン結果が最適なものにならない可能性があるこ とを示します。 プロセスは完了するまで続行され、 有効な結果が生成されます。

CRITICAL WARNING 推奨 ハード ウェアが正し く動作しない可能性があ り、 後のフローで ERRORになる可能性があるこ とを示します。 プロセスは ERROR が発生するまで続行されます。

ERROR 必要 デザイン結果が使用できないものになり、ユーザーが対処しないと解決されない問題を示します。 プロセスをこれ以上続行するこ とはできません。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 17UG911 (v2014.3) 2014 年 10 月 1 日

Page 18: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : ISE Design Suite デザインの Vivado Design Suite への移行

レポートの違いISE Design Suite では、 デザイン フローで各アプリ ケーシ ョ ンが実行される とレポートが自動的に生成されます。 生成されるレポートの一部は次のとおりです。

• xst の .syr

• ngdbuild の .bld

• map の .mrp

• par の .par

• trce の .twr

• xpwr の .pwr

Vivado Design Suite では、 どのデザイン段階でもレポート を生成できます。 オンデマンドでレポート を作成する利点は次のとおりです。

• よ り優れたランタイム : 必要なと きにのみレポート を作成できるので、 ランタイムを管理しやすくなり ます。

• 多数のレポート : デザイン フローのどの段階ででもレポート を生成できるので、 多数のレポート を利用できます。 たとえば、 合成後、 最適化後、 配線後にデザインのリ ソース使用率レポート を生成して、 最新情報を確認できます。

Vivado IDE でプロジェク ト モードを使用する場合は、 自動的に決まった数のレポートが生成され、 [Reports] ビューに表示されます。

Tcl コマンドまたはスク リプ ト を使用した非プロジェク ト モードを使用する場合、Tcl レポート コマンドを追加して、メモ リ内のデザインに対して必要な段階のレポートが生成されるよ うにする必要があ り ます。

特定の report_* コマンドを使用して、 使用率、 タイ ミ ング、 DRC 結果などのさまざまなタイプの情報をレポートできます。 デフォルトでは、 レポートはツールのログ ウ ィンド ウ と vivado.log ファ イルに出力されますが、 ファイルに出力するこ と も可能です。 レポート の リ ス ト とその説明は、 Tcl コマンド プロンプ ト で 「help -categoryreport」 と入力する と表示されます。

ヒン ト : ISE の xinfo コマンドは、 Vivado では report_enviroment Tcl コマンドに置き換えられています。

表 2-5 に、 ISE Design Suite のレポート情報に対応する Vivado Design Suite のレポート コマンドを示します。

表 2-5 : ISE Design Suite のレポート と Vivado Design Suite のレポート

ISE Design Suite の情報 (レポート ) Vivado Design Suite コマンド

使用率情報 (.syr、 .mrp、 .par) report_utilization、report_clock_utilization

I/O 情報 (.pad) report_io

タイ ミ ング情報 (.par、 .twr) report_timing、 report_timing_summary

消費電力情報 (.pwr) report_power

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 18UG911 (v2014.3) 2014 年 10 月 1 日

Page 19: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 2 章 : ISE Design Suite デザインの Vivado Design Suite への移行

ログ ファイルの違い ISE Design Suite ツールでは、個々のコマンド ログ ファ イルにステータスおよび出力情報が生成されます。たとえば、マップの出力ステータス と進捗状況は .map ファ イルに、 PAR (配置配線) の出力は .par に保存されます。

Vivado Design Suite では、 すべてのツール コマン ドおよび出力を記録するログ ファ イルが 1 つ使用されます。 このファイルの名前はデフォルトでは vivado.log ですが、-log オプシ ョ ンを使用して変更できます。Vivado Design Suiteのログ ファ イルには、 フェーズごとにフローの進捗状況が表示されます。 各フェーズには、 名前と番号、 1 行のパフォーマンス サマリが含まれます。 次に例を示します。

report_timing: Time (s): cpu = 00:03:57 ; elapsed = 00:03:55 . Memory (MB): peak = 6526.066 ; gain = 64.125

説明 :

° cpu : すべてのプロセッサの総ランタイム

° elapsed : プロセスの実行に実際にかかった時間

° peak : その特定のデザイン段階までの最大メモ リ使用量

° gain : 最大メモリ使用量に追加されるそのデザイン段階のメモリ使用量。 たとえば上記の例では、report_timing によ り最大メモリ使用量に 64.125MB が追加されています。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 19UG911 (v2014.3) 2014 年 10 月 1 日

Page 20: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章

UCF 制約の XDC への移行

概要Vivado® 統合設計環境 (IDE) では、 ISE® Design Suite で使用されていたユーザー制約ファイル (UCF) の制約はサポート されません。

重要 : UCF 制約を含むデザインを移行する場合は、 ザイ リ ンクス デザイン制約 (XCF) フォーマッ トに変換する必要があ り ます。

• XDC 制約の詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 3] を参照して ください。

• UCF 制約の詳細は、 『制約ガイ ド』 (UG625) を参照して ください。

• タイ ミ ングの詳細は、 次の資料を参照して ください。

° 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 7]

UCF と同様に、 XDC は次の制約で構成されています。

• タイ ミ ング制約 : XDC タイ ミ ング制約は、 Synopsys デザイン制約 (SDC) に基づいています。

• 物理制約

重要 : 22 ページの 「PlanAhead ツールで UCF を XDC に変換」 に説明されている方法は、 I/O などの物理制約の変換に適しています。 タイ ミ ング制約は、 最初から作成し直すこ とをお勧めします。

XDC と UCF 制約の違いXDC 制約と UCF 制約の基本的な違いは、 次のとおりです。

• XDC はシーケンシャル言語で、 明確な優先順位規則があ り ます。

• UCF は通常ネッ トに適用されますが、 XDC は通常ピン、 ポート、 およびセル オブジェク トに適用されます。

• UCF の PERIOD 制約と XDC の create_clock コマンドは必ずしも同じではないので、 タイ ミ ング結果が異なるこ と もあ り ます。

• デフォルトの UCF では、 非同期クロ ッ ク グループ間のタイ ミ ングは解析されませんが、 XDC では set_clock_groups 制約が設定されていない限り、 すべてのクロ ッ クが関連している と考慮され、 タイ ミ ングが解析されます。

• XDC では、 同じオブジェク トに複数のクロ ッ クを存在させるこ とが可能です。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 20UG911 (v2014.3) 2014 年 10 月 1 日

Page 21: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

UCF と同等の XDC詳細は、 次の資料を参照して ください。

• 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 3]

• 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4]

• 『Vivado Design Suite プロパティ リ ファレンス ガイ ド』 (UG912) [参照 13]

表 3-1 に、 UCF 制約と同等の XDC コマンドを示します。

制約の順序デザインで XDC ファ イルを 1 つ使用する場合でも複数使用する場合でも、 制約は次の順序で指定するこ とをお勧めします。

## タイ ミ ング アサーシ ョ ン セクシ ョ ン# プライマ リ クロ ッ ク# 仮想クロ ッ ク# 生成クロ ッ ク# クロ ッ ク グループ# 入力および出力遅延制約

## タイ ミ ング例外セクシ ョ ン (優先順に記述)# フォルス パス# 最大遅延/最小遅延# マルチサイクル パス# ケース解析# タイ ミ ングのディ スエーブル

## 物理制約セクシ ョ ン# ファ イルのどこに配置しても可、 タイ ミ ング解析の前か後が理想的# または別の XDC ファ イルに保存

表 3-1 : UCF 制約と同等の XDC コマンド

UCF SDC

TIMESPEC PERIOD create_clock

create_generated_clock

OFFSET = IN <x> BEFORE <clk> set_input_delay

OFFSET = OUT <x> BEFORE <clk> set_output_delay

FROM:TO "TS_"*2 set_multicycle_path

FROM:TO set_max_delay

TIG set_false_path

NET "clk_p" LOC = AD12 set_property LOC AD12 [get_ports clk_p]

NET "clk_p" IOSTANDARD = LVDS set_property IOSTANDARD LVDS [get_ports clk_p]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 21UG911 (v2014.3) 2014 年 10 月 1 日

Page 22: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

PlanAhead ツールで UCF を XDC に変換PlanAhead™ ツールで UCF 制約を含む ISE Design Suite または PlanAhead プロジェク ト を開く と、UCF 制約を XDC に変換できます。

デザインをデータベースに読み込む際に、 write_xdc コマンドを入力する と、 UCF 制約の大部分を変換できます。ただし、 この出力ファ イルを確認し、 一部の制約を手動で XDC に変換して、 すべてのデザイン制約を正しいものにする必要があ り ます。

Tcl コマンド write_xdc を使用するには、 合成済みのネッ ト リ ス ト と UCF ファ イルを開いておく必要があ り ます。PlanAhead ツールで次の操作を実行します。

1. UCF 制約を含むプロジェク ト を開きます。

2. [Open Synthesized Design] をク リ ッ ク します。

3. Tcl コンソールに次を入力します。

write_xdc <filename>.xdc

write_xdc コマンドは、ファ イル コンバーターではあ り ません。デザインに適用するこ とができた制約を XDC ファイルと して記述するコマンドです。 出力される XDC ファ イルには、 次のものが含まれています。

• 変換された各 UCF 制約の UCF ファ イル名と行番号を含むコ メン ト

• 変換されなかった制約に対するコ メン ト

重要 : 制約が変換できなかったこ とを示すク リティカル警告メ ッセージを確認して ください。

この変換は、 XDC ベースの制約に移行する際の開始点と してのみ使用して ください。

推奨 : XDC タイ ミ ング制約は、変換プロセスを使用せずに作成して ください。 UCF と XDC の根本的な違いのため自動化では最適な結果を得るこ とはできません。

• 物理制約および基本的なタイ ミ ング制約の変換には、PlanAhead ツールを使用して UCF ファ イルを変換するのが最適です。 単純なクロッ ク定義および I/O 遅延のタイ ミ ング制約は通常う ま く変換できます。

重要 : タイ ミ ング例外は手動で変換してください。 多くは変換できず、 変換できたと しても最適なものにならない可能性があ り ます。

• Vivado IDE (XDC/SDC) と ISE Design Suite (UCF) のタイ ミ ング制約には根本的な違いがあるので、 直接変換するこ とは不可能です。 このため、 UCF 制約を評価し直す必要があ り ます。 XDC では、 別の制約方法の方が適している場合があ り ます。 変換はエラボレート済み RTL デザインで実行できますが、 典型的な UCF で参照されるオブジェク トの多くはこの段階では存在しないので、 データベースには適用されません。

• データベースに適用された制約のみが XDC と して出力されます。 このため、 通常エラボレート済み RTL デザインから変換できるのは、 単純なクロ ッ クおよび I/O 遅延のみです。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 22UG911 (v2014.3) 2014 年 10 月 1 日

Page 23: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

タイムグループ INST/TNM および TIMESPEC と同じ効果を達成するには、 Tcl のタイ ミ ング例外を使用できます。 次にその例を示します。

UCF の例 :

INST "DUT/BLOCK_A/data_reg[*]” TNM = "from_data_reg_0"; INST "DUT/BLOCK_A/addr_reg[*]” TNM = "from_data_reg_0"; INST "DUT/BLOCK_B/data_sync[*]” TNM = "to_data_reg_0"; INST "DUT/BLOCK_B/addr_sync[*]” TNM = "to_data_reg_0"; TIMESPEC "TS_MCP" = FROM "from_data_reg_0" TO "to_data_reg_0" TS_FSCLK * 3;

Tcl を使用した場合 :

set from_data_reg_0 [get_cells {DUT/BLOCK_A/data_reg[*] DUT/BLOCK_A/addr_reg[*]}]; set to_data_reg_0 [get_cells {DUT/BLOCK_B/data_sync[*] DUT/BLOCK_B/addr_sync[*]}]; set_multicycle_path -setup 3 -from $from_data_reg_0 -to $to_data_reg_0; set_multicycle_path -hold 2 -from $from_data_reg_0 -to $to_data_reg_0;

タイ ミ ング制約このセクシ ョ ンでは、 ISE Design Suite のタイ ミ ング制約と、 それに対応する Vivado Design Suite の XDC タイ ミ ング制約を示します。 各制約に対して、 UCF の記述例と同等の XDC 記述例を示します。

デザインの境界 (ポート など) に直接接続されていないネッ トにク ロ ッ クを作成する方法は、UCF と XDC で異なり ます。XDC では、ネッ ト上でプライマ リ ク ロ ッ クを create_clock を使用して定義した場合、ソース ポイン トはネットの駆動ピンになり ます。

このポイン ト よ り も前のクロ ッ ク挿入遅延は無視されます。 このため、 このク ロ ッ ク とほかの関連クロ ッ ク とのタイミ ングを解析する と きにスキューが正確にならず、 問題となるこ とがあ り ます。

Tcl コマンド create_clock の使用

create_clock コマンドは、 ク ロ ッ ク ツ リーの開始点 (入力ポート、 GT ク ロ ッ ク出力ピンなど) で使用し、 デザインの真ん中では使用しないでください。 デザインの真ん中では、 生成クロ ッ クのみを作成します。

クロック制約

周期UCF の例 NET "clka" TNM_NET = "clka";

TIMESPEC "TS_clka" = PERIOD "clka" 13.330 ns HIGH 50.00%;

XDC の例 create_clock -name clka -period 13.330 -waveform {0 6.665}[get_ports clka]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 23UG911 (v2014.3) 2014 年 10 月 1 日

Page 24: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

OFFSET IN

デューテ ィ サイクル が 50% 以外の場合の PERIOD 制約UCF の例 NET "clka" TNM_NET = "clka";

TIMESPEC "TS_clka" = PERIOD "clka" 13.330 ns HIGH 40.00%;

XDC の例 create_clock -name clka -period 13.330 -waveform {0 5.332} [get_portsclka]

生成クロック制約UCF の例 NET "gen_clk" TNM_NET = "gen_clk";

TIMESPEC "TS_gen_clk" = PERIOD "gen_clk" "TS_clka" * 0.500 HIGH 50.00%;

XDC の例 create_generated_clock -source [get_ports clka] -name gen_clk-multiply_by 2 [get_ports gen_clk]

LOW キーワードを含む PERIOD 制約UCF の例 NET "clka" TNM_NET = "clka";

TIMESPEC "TS_clka" = PERIOD "clka" 13.330 ns LOW 50.00%;

XDC の例 create_clock -name clka -period 13.330 -waveform {6.665 13.330}[get_ports clka]

ネッ トの PERIOD 制約UCF の例 NET "clk_bufg" PERIOD = 10 ns;

XDC の例 create_clock -name clk_bufg -period 10 -waveform {0 5} [get_pinsclk_bufg/O}

注記 : ク ロ ッ クを bufg/O に定義する特別の理由がない場合は、 アップス ト リームの最上位ポートで定義して ください。

BEFOREUCF の例 OFFSET = IN 8 BEFORE clka;

XDC の例 set_input_delay -clock clka 2 [all_inputs]

注記 : この例では、 クロ ッ ク周期は 10ns である と想定しています。

AFTERUCF の例 OFFSET = IN 2 AFTER clka;

XDC の例 set_input_delay -clock clka 2 [all_inputs]

注記 : この例では、 クロ ッ ク周期は 10ns である と想定しています。

入力ポート ネッ トの BEFOREUCF の例 NET enable OFFSET = IN 8 BEFORE clka;

XDC の例 set_input_delay 2 [get_ports enable]

注記 : この例では、 クロ ッ ク周期は 10ns である と想定しています。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 24UG911 (v2014.3) 2014 年 10 月 1 日

Page 25: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

OFFSET OUT

入力ポート バスの BEFOREUCF の例 INST "processor_data_bus[*]" TNM = "processor_bus";

TIMEGRP "processor_bus" OFFSET = IN 8ns BEFORE "clka";

XDC の例 set_input_delay 2 [get_ports {processor_data_bus[*]}]

注記 : オフセッ トはポートのみに適用されます。

タイムグループへ

UCF の例 INST "input_ffs[*]" TNM = "input_ffs";

OFFSET = IN 8ns BEFORE "clka" TIMEGRP "input_ffs";

XDC の例 手動変換が必要です。 詳細は、 23 ページの 「タイムグループ」 を参照してください。

FALLING/RISING (立ち下がり /立ち上がりエッジ)UCF の例 OFFSET = IN 8ns BEFORE "clka" FALLING;

XDC の例 set_input_delay -clock clka 2 [all_inputs]

注記 : この例では、 クロ ッ ク周期は 10ns である と想定しています。

LOW/HIGH キーワード

UCF の例 OFFSET = IN 8ns BEFORE "clka" HIGH;

XDC の例 手動変換が必要です。

注記 : HIGH/LOW キーワードは、 RISING/FALLING の以前のキーワードです。RISING/FALLING を使用するこ とを推奨します。

VALID キーワード

UCF の例 OFFSET = IN 1ns VALID 2ns BEFORE clka;

XDC の例 set_input_delay -clock clka -max 9 [all_inputs]

set_input_delay -clock clka -min 1[all_inputs]

注記 : この例では、 クロ ッ ク周期は 10ns である と想定されます。

AFTERUCF の例 OFFSET = OUT 12 AFTER clkc;

XDC の例 set_output_delay -clock clkc 8 [all_outputs]

注記 : この例では、 クロ ッ ク周期は 20ns である と想定しています。

BEFOREUCF の例 OFFSET = OUT 8 BEFORE clkc;

XDC の例 set_output_delay -clock clkc 8 [all_outputs]

注記 : この例では、 クロ ッ ク周期は 20ns である と想定されます。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 25UG911 (v2014.3) 2014 年 10 月 1 日

Page 26: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

.

FROM:TO 制約

通常、UCF の FROM:TO 制約は XDC の set_max_delay または set_min_delay 制約に変換され、デザインによって -from、 -to、 および -through オプシ ョ ンが付きます。

UCF 制約には同等の XDC 制約が使用されます。 ほとんどの UCF 制約はネッ ト を基準と していますが、 XDC 制約はポートおよびピンに対して作成する必要があ り ます。

これらの制約に役立つ XDC コマンドは all_fanout、 get_cells、 get_pins などで、 -from、 -to、 -throughオプシ ョ ンも有益です。

出力ネッ ト

UCF の例 NET out_net OFFSET = OUT 12 AFTER clkc;

XDC の例 set_output_delay 8 [get_port out_net]

注記 : この例では、 クロ ッ ク周期は 20ns である と想定されます。

出力のグループ

UCF の例 TIMEGRP outputs OFFSET = OUT 12 AFTER clkc;

XDC の例 set_output_delay -clock clkc 8 [get_ports outputs*]

注記 : この例では、 クロ ッ ク周期は 20ns である と想定しています。

タイムグループから

UCF の例 OFFSET = OUT 1.2 AFTER clk TIMEGRP from_ffs;

XDC の例 手動変換が必要です。

FALLING/RISING (立ち下がり /立ち上がりエッジ) キーワード UCF の例 OFFSET = OUT 12 AFTER clkc FALLING;

XDC の例 set_output_delay -clock clkc -clock_fall 8 [all_outputs]

LOW キーワード

UCF の例 OFFSET = OUT 12 AFTER clkc LOW;

XDC の例 手動変換が必要です。

注記 : HIGH/LOW キーワードは、 RISING/FALLING の以前のキーワードです。RISING/FALLING を使用するこ とを推奨します。

REFERENCE_PIN UCF の例 TIMEGRP mac_ddr_out;

OFFSET = OUT AFTER clk REFERENCE_PIN clk_out RISING;

XDC の例 手動変換が必要です。

注記 : REFERENCE_PIN を使用する と、 TRACE でバス スキュー レポートが出力されますが、Vivado Design Suite ではこの機能はサポート されていません。

エリア グループへのタイ ミング グループの割り当てUCF の例 TIMEGRP clock_grp = AREA_GROUP clock_ag;

XDC の例 XDC ではこの制約はサポート されません。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 26UG911 (v2014.3) 2014 年 10 月 1 日

Page 27: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

EXCEPTUCF の例 TIMEGRP my_group = FFS EXCEPT your_group;

XDC の例 XDC ではこの制約はサポート されません。

グループ間UCF の例 TIMESPEC TS_TIG = FROM reset_ff TO FFS TIG;

XDC の例 手動変換が必要です。 目的のパスを含む set_false_path を作成します。

ネッ トで指定UCF の例 NET reset TIG;

XDC の例 set_false_path -through [get_nets reset]

プライマ リ リセッ ト ポート を検索して使用する方法の方が適切です。set_false_path -from [get_ports reset_port]

インスタンスで指定UCF の例 INST reset TIG;

XDC の例 set_false_path -from [get_cells reset]

set_false_path -through [get_cells reset]

set_false_path -to [get_cells reset]

ピンで指定UCF の例 PIN ff.d TIG;

XDC の例 set_false_path -to [get_pins ff/D]

set_false_path -from [get_pins ff/C]

set_false_path -through [get_pins lut/I0]

特定のタイ ミング制約UCF の例 NET reset TIG = TS_fast TS_even_faster;

XDC の例 XDC ではこの制約はサポート されません。

注記 : 制約特定の TIG では、 ネッ ト を介するタイ ミ ングがディ スエーブルになり ますが、 参照される 2 つの制約の解析でのみです。

MAXSKEWUCF の例 NET local_clock MAXSKEW = 2ns;

XDC の例 XDC ではこの制約はサポート されません。

MAXDELAYUCF の例 NET local_clock MAXDELAY = 2ns;

XDC の例 XDC ではこの制約はサポート されません。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 27UG911 (v2014.3) 2014 年 10 月 1 日

Page 28: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

物理制約このセクシ ョ ンでは、 ISE Design Suite の物理制約と、 それに対応する Vivado Design Suite の XDC 物理制約を示します。 各制約に対し、 次の情報が含まれます。

• ターゲッ ト オブジェク ト タイプ

• 制約値のデータ型

• UCF の例

• 同等の XDC の例

詳細は、 次の資料を参照して ください。

• 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 3]

• 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4]

• 『Vivado Design Suite プロパティ リ ファレンス ガイ ド』 (UG912) [参照 13]

配置制約

AREA_GROUP RANGE

AREA_GROUP適用オブジェク ト セル

制約値 文字列

UCF の例 INST bmg0 AREA_GROUP = AG1;

XDC の例 create_pblock ag1; add_cells_to_pblock [get_pblocks ag1] [get_cells [list bmg0]]

SLICE適用オブジェク ト エ リ ア グループおよび Pblock

制約値 SLICE_XnYn[:SLICE_XnYn]

UCF の例 AREA_GROUP AG1 RANGE = SLICE_X0Y44:SLICE_X27Y20;

XDC の例 resize_pblock [get_pblocks ag1] -add {SLICE_X0Y44:SLICE_X27Y20}

RAMB18適用オブジェク ト エ リ ア グループおよび Pblock

制約値 RAMB18_XnYn:RAMB18_XnYn

UCF の例 AREA_GROUP AG1 RANGE = RAMB18_X0Y86:RAMB18_X3Y95;

XDC の例 resize_pblock [get_pblocks ag1] -add {RAMB18_X0Y86:RAMB18_X3Y95}

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 28UG911 (v2014.3) 2014 年 10 月 1 日

Page 29: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

RAMB36適用オブジェク ト エ リ ア グループおよび Pblock

制約値 RAMB36_XnYn:RAMB36_XnYn

UCF の例 AREA_GROUP AG1 RANGE = RAMB36_X0Y11:RAMB36_X3Y18;

XDC の例 resize_pblock [get_pblocks ag1] -add {RAMB36_X0Y11:RAMB36_X3Y18}

CLOCKREGION (1)適用オブジェク ト エ リ ア グループおよび Pblock

制約値 CLOCKREGION_XnYn

UCF の例 area_group ag1 range = CLOCKREGION_X0Y0;

XDC の例 resize_pblock [get_pblocks ag1] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0}

CLOCKREGION (2) 適用オブジェク ト エ リ ア グループおよび Pblock

制約値 CLOCKREGION_XnYn[:CLOCKREGION_XnYn]

UCF の例 area_group ag1 range = CLOCKREGION_X0Y0:CLOCKREGION_X1Y0;

XDC の例 resize_pblock [get_pblocks ag1] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0}

CLOCKREGION (3)適用オブジェク ト エ リ ア グループおよび Pblock

制約値 CLOCKREGION_XnYn,CLOCKREGION_XnYn, ...

UCF の例 area_group ag1 range = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0;

XDC の例 resize_pblock [get_pblocks ag1] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0 CLOCKREGION_X1Y0:CLOCKREGION_X1Y0}

DSP48適用オブジェク ト エ リ ア グループおよび Pblock

制約値 DSP48_XnYn:DSP48_XnYn

UCF の例 AREA_GROUP D1 RANGE = DSP48_X2Y0:DSP48_X2Y9;

XDC の例 resize_pblock [get_pblocks D1] -add {DSP48_X2Y0:DSP48_X2Y9}

BUFGCTRL適用オブジェク ト エ リ ア グループおよび Pblock

制約値 BUFGCTRL_XnYn:BUFGCTRL_XnYn

UCF の例 AREA_GROUP ag1 range = BUFGCTRL_X0Y24:BUFGCTRL_X0Y31;

XDC の例 resize_pblock [get_pblocks ag1] -add {BUFGCTRL_X0Y24:BUFGCTRL_X0Y31}

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 29UG911 (v2014.3) 2014 年 10 月 1 日

Page 30: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

BUFHCE適用オブジェク ト エ リ ア グループおよび Pblock

制約値 BUFHCE_XnYn:BUFHCE_XnYn

UCF の例 AREA_GROUP ag1 range = BUFHCE_X0Y72:BUFHCE_X1Y77;

XDC の例 resize_pblock [get_pblocks ag1] -add {BUFHCE_X0Y72:BUFHCE_X1Y77}

BUFR適用オブジェク ト エ リ ア グループおよび Pblock

制約値 BUFR_XnYn:BUFR_XnYn

UCF の例 AREA_GROUP ag1 range = BUFR_X0Y20:BUFR_X1Y23;

XDC の例 resize_pblock [get_pblocks ag1] -add {BUFR_X0Y0:BUFR_X1Y2}

BUFIO適用オブジェク ト エ リ ア グループおよび Pblock

制約値 BUFIO_XnYn:BUFIO_XnYn

UCF の例 AREA_GROUP ag1 range = BUFIO_X0Y8:BUFIO_X0Y11;

XDC の例 resize_pblock [get_pblocks ag1] -add {BUFIO_X0Y8:BUFIO_X0Y11}

IOB の範囲

適用オブジェク ト エ リ ア グループおよび Pblock

制約値 IOB_XnYn:IOB_XnYn

UCF の例 AREA_GROUP ag1 range = IOB_X0Y341:IOB_X1Y349;

XDC の例 resize_pblock [get_pblocks ag1] -add {IOB_X0Y341:IOB_X1Y349}

IN_FIFO適用オブジェク ト エ リ ア グループおよび Pblock

制約値 IN_FIFO_XnYn:IN_FIFO_XnYn

UCF の例 AREA_GROUP ag1 range = IN_FIFO_X0Y24:IN_FIFO_X1Y27;

XDC の例 resize_pblock [get_pblocks ag1] -add {IN_FIFO_X0Y24:IN_FIFO_X1Y27}

OUT_FIFO適用オブジェク ト エ リ ア グループおよび Pblock

制約値 OUT_FIFO_XnYn:OUT_FIFO_XnYn

UCF の例 AREA_GROUP ag1 range = OUT_FIFO_X0Y24:OUT_FIFO_X1Y27;

XDC の例 resize_pblock [get_pblocks ag1] -add {OUT_FIFO_X0Y24:OUT_FIFO_X1Y27}

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 30UG911 (v2014.3) 2014 年 10 月 1 日

Page 31: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

LOC

ヒン ト : Vivado Design Suite でピンを割り当てるには、 セルに使用される LOC ではなく、 PACKAGE_PIN ポート プロパティを使用します。

ILOGIC適用オブジェク ト エ リ ア グループおよび Pblock

制約値 ILOGIC_XnYn:ILOGIC_XnYn

UCF の例 AREA_GROUP ag1 range = ILOGIC_X0Y76:ILOGIC_X0Y79;

XDC の例 resize_pblock [get_pblocks ag1] -add {ILOGIC_X0Y76:ILOGIC_X0Y79}

OLOGIC適用オブジェク ト エ リ ア グループおよび Pblock

制約値 OLOGIC_XnYn:OLOGIC_XnYn

UCF の例 AREA_GROUP ag1 range = OLOGIC_X0Y76:OLOGIC_X0Y79;

XDC の例 resize_pblock [get_pblocks ag1] -add {OLOGIC_X0Y76:OLOGIC_X0Y79}

IOB適用オブジェク ト ポート ネッ ト

制約値 IOB サイ ト

UCF の例 NET p[0] LOC = H1;

XDC の例 set_property PACKAGE_PIN H1 [get_ports p[0]]

SLICE (1)適用オブジェク ト セル

制約値 サイ ト範囲

UCF の例 INST a_reg[*] LOC = SLICE_X25Y*;

XDC の例 XDC ではこの制約はサポート されません。

SLICE (2)適用オブジェク ト セル

制約値 SLICE_XnYn

UCF の例 INST a_reg[0] LOC = SLICE_X4Y4;

XDC の例 set_property LOC SLICE_X4Y4 [get_cells a_reg[0]]

RAMB18適用オブジェク ト セル

制約値 RAMB18_XnYn

UCF の例 INST ram0 LOC = RAMB18_X0Y5;

XDC の例 set_property LOC RAMB18_X0Y5 [get_cells ram0]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 31UG911 (v2014.3) 2014 年 10 月 1 日

Page 32: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

RAMB36適用オブジェク ト セル

制約値 RAMB36_XnYn

UCF の例 INST ram0 LOC = RAMB36_X0Y0;

XDC の例 set_property LOC RAMB36_X0Y0 [get_cells ram0]

DSP48適用オブジェク ト セル

制約値 DSP48_XnYn

UCF の例 INST dsp0 LOC = DSP48_X0Y10;

XDC の例 set_property LOC DSP48_X0Y10 [get_cells dsp0]

BUFGCTRL適用オブジェク ト セル

制約値 BUFGCTRL_XnYn

UCF の例 INST cb[0] LOC = BUFGCTRL_X0Y24;

XDC の例 set_property LOC BUFGCTRL_X0Y24 [get_cells cb[0]]

BUFHCE適用オブジェク ト セル

制約値 BUFHCE_XnYn

UCF の例 INST cb[0] LOC = BUFHCE_X0Y72;

XDC の例 set_property LOC BUFHCE_X0Y72 [get_cells cb[0]]

BUFR適用オブジェク ト セル

制約値 BUFR_XnYn

UCF の例 INST cb[0] LOC = BUFR_X0Y20;

XDC の例 set_property LOC BUFR_X0Y20 [get_cells cb[0]]

BUFIO 適用オブジェク ト セル

制約値 BUFIO_XnYn

UCF の例 INST cb[0] LOC = BUFIO_X0Y8;

XDC の例 set_property LOC BUFIO_X0Y8 [get_cells cb[0]]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 32UG911 (v2014.3) 2014 年 10 月 1 日

Page 33: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

KEEP_HIERARCHY適用オブジェク ト セル

制約値 • TRUE• FALSE• YES• NO

UCF の例 INST u1 KEEP_HIERARCHY = TRUE;

XDC の例 set_property DONT_TOUCH true [get_cells u1]

IOB適用オブジェク ト セル

制約値 IOB_XnYn

UCF の例 INST ib[0] LOC = IOB_X0Y341;

XDC の例 set_property LOC IOB_X0Y341 [get_cells ib[0]]

IN_FIFO適用オブジェク ト セル

制約値 IN_FIFO_XnYn

UCF の例 INST infifo_inst LOC = IN_FIFO_X0Y24;

XDC の例 set_property LOC IN_FIFO_X0Y24 [get_cells infifo_inst]

OUT_FIFO適用オブジェク ト セル

制約値 OUT_FIFO_XnYn

UCF の例 INST outfifo_inst LOC = OUT_FIFO_X0Y24;

XDC の例 set_property LOC OUT_FIFO_X0Y24 [get_cells outfifo_inst]

ILOGIC適用オブジェク ト セル

制約値 ILOGIC_XnYn

UCF の例 INST ireg LOC = ILOGIC_X0Y76;k

XDC の例 set_property LOC ILOGIC_X0Y76 [get_cells ireg]

OLOGIC適用オブジェク ト セル

制約値 OLOGIC_XnYn

UCF の例 INST oreg LOC = OLOGIC_X0Y76

XDC の例 set_property LOC OLOGIC_X0Y76 [get_cells oreg]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 33UG911 (v2014.3) 2014 年 10 月 1 日

Page 34: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

BEL

IDELAY適用オブジェク ト セル

制約値 IDELAY_XnYn

UCF の例 INST idelay0 LOC = IDELAY_X0Y21;

XDC の例 set_property LOC IDELAY_X0Y21 [get_cells idelay0]

IDELAYCTRL適用オブジェク ト セル

制約値 IDELAYCTRL_XnYn

UCF の例 INST idelayctrl0 LOC = IDELAYCTRL_X0Y0;

XDC の例 set_property LOC IDELAYCTRL_X0Y0 [get_cells idelayctrl0]

A5LUT、 B5LUT、 C5LUT、 D5LUT適用オブジェク ト セル

制約値 A5LUT、 B5LUT、 C5LUT、 D5LUT

UCF の例 INST a0 BEL = A5LUT;

XDC の例 set_property BEL A5LUT [get_cells a0]

A6LUT、 B6LUT、 C6LUT、 D6LUT適用オブジェク ト セル

制約値 A6LUT、 B6LUT、 C6LUT、 D6LUT

UCF の例 INST a0 BEL = D6LUT;

XDC の例 set_property BEL D6LUT [get_cells a0]

AFF、 BFF、 CFF、 DFF適用オブジェク ト セル

制約値 AFF、 BFF、 CFF、 DFF

UCF の例 INST a_reg[0] BEL = CFF;

XDC の例 set_property BEL CFF [get_cells a_reg[0]]

A5FF、 B5FF、 C5FF、 D5FF適用オブジェク ト セル

制約値 A5FF、 B5FF、 C5FF、 D5FF

UCF の例 INST a_reg[0] BEL = B5FF;

XDC の例 set_property BEL B5FF [get_cells a_reg[0]]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 34UG911 (v2014.3) 2014 年 10 月 1 日

Page 35: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

IOB

F7AMUX、 F7BMUX適用オブジェク ト セル

制約値 F7AMUX、 F7BMUX

UCF の例 INST m0 BEL = F7BMUX;

XDC の例 set_property BEL F7BMUX [get_cells m0]

TRUE適用オブジェク ト FF セル

制約値 TRUE

UCF の例 INST a1_reg[*] IOB = TRUE;

XDC の例 set_property IOB TRUE [get_cells b1_reg[*]]

FALSE適用オブジェク ト FF セル

制約値 FALSE

UCF の例 INST b1_reg[*] IOB = FORCE;

XDC の例 set_property IOB TRUE [get_cells a1_reg[*]]

FORCE適用オブジェク ト FF セル

制約値 FORCE

UCF の例 INST q_reg[*] IOB = FALSE;

XDC の例 set_property IOB TRUE [get_cells q_reg[*]]

注記 : XDC ではこの制約はサポート されません。 TRUE を使用してください。

H_SET適用オブジェク ト セル

制約値 ツールで生成される文字列

UCF の例 なし

XDC の例 なし

注記 : 詳細は、 『制約ガイ ド』 (UG625) の 「RLOC」 セ クシ ョ ンを参照して く ださい。Vivado Design Suite では、 H_SET セルに RPM とい うプロパティがあ り ます。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 35UG911 (v2014.3) 2014 年 10 月 1 日

Page 36: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

U_SET適用オブジェク ト セル

制約値 文字列

UCF の例 INST u0 U_SET = h0; (usually set in UCF)

XDC の例 XDC ではこの制約はサポート されません。 U_SET は、 属性と して HDL コードに記述する必要があ り ます。

詳細は、 『制約ガイ ド』 (UG625) の 「RLOC」 セクシ ョ ンを参照して ください。

RLOC適用オブジェク ト セル

制約値 XnYn

UCF の例 INST u0 RLOC = X2Y1;

XDC の例 XDC ではこの制約はサポート されません。 RLOC は、 属性と して HDL コードに記述する必要があ り ます。

詳細は、 『制約ガイ ド』 (UG625) の 「RLOC」 セクシ ョ ンを参照して ください。

RLOC_ORIGIN適用オブジェク ト セル

制約値 XnYn

UCF の例 INST u0 RLOC_ORIGIN = X144Y255;

XDC の例 XDC ではこの制約はサポート されません。 RLOC_ORIGIN は、 属性と して HDL コードに記述する必要があ り ます。

詳細は、 『制約ガイ ド』 (UG625) の 「RLOC」 セクシ ョ ンを参照してください。

RPM_GRID適用オブジェク ト セル

制約値 GRID

UCF の例 INST u0 RPM_GRID = GRID;

XDC の例 XDC ではこの制約はサポート されません。 RPM_GRID は、 属性と して HDL コードに記述する必要があ り ます。

詳細は、 『制約ガイ ド』 (UG625) の 「RLOC」 セクシ ョ ンを参照して ください。

USE_RLOC適用オブジェク ト セル

制約値 TRUE、 FALSE

UCF の例 INST u0 USE_RLOC = FALSE;

XDC の例 XDC ではこの制約はサポート されません。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 36UG911 (v2014.3) 2014 年 10 月 1 日

Page 37: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

RLOC_RANGE適用オブジェク ト セル

制約値 XnYn:XnYn

UCF の例 INST u0 RLOC_RANGE = X1Y1:X3Y3;

XDC の例 XDC ではこの制約はサポート されません。

適切な範囲の Pblock を作成し、 その Pblock に RPM セルを追加して ください。

BLKNM適用オブジェク ト セル

制約値 文字列

UCF の例 INST u0 BLKNM = blk0;

XDC の例 XDC ではこの制約はサポート されません。

HBLKNM適用オブジェク ト セル、 ネッ ト

制約値 文字列

UCF の例 INST u0 HBLKNM = blk0;

XDC の例 XDC ではこの制約はサポート されません。

XBLKNM適用オブジェク ト セル、 ネッ ト

制約値 文字列

UCF の例 INST u0 XBLKNM = blk0;

XDC の例 XDC ではこの制約はサポート されません。

BEL PROHIBIT を使用して、 関連のないロジッ クを禁止します。

HLUTNM適用オブジェク ト LUT セル

制約値 文字列

UCF の例 UCF では使用できません。 HDL でのみ使用できます。

XDC の例 set_property HLUTNM h0 [get_cells {LUT0 LUT1}]

LUTNM適用オブジェク ト LUT セル

制約値 文字列

UCF の例 UCF では使用できません。 HDL でのみ使用できます。

XDC の例 set_property LUTNM h0 [get_cells {LUT0 LUT1}]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 37UG911 (v2014.3) 2014 年 10 月 1 日

Page 38: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

CLOCK_DEDICATED_ROUTE

USE_LUTNM適用オブジェク ト LUT セル

制約値 TRUE、 FALSE

UCF の例 INST lut0 USE_LUTNM = FALSE;

XDC の例 XDC ではこの制約はサポート されません。

TRUE(1)適用オブジェク ト ネッ ト

制約値 TRUE

UCF の例 net clk0 CLOCK_DEDICATED_ROUTE = TRUE;

XDC の例 set_property CLOCK_DEDICATED_ROUTE TRUE [get_nets clk0]

TRUE(2)適用オブジェク ト ピン

制約値 TRUE

UCF の例 PIN clkbuf0.O CLOCK_DEDICATED_ROUTE = TRUE;

XDC の例 set_property CLOCK_DEDICATED_ROUTE TRUE [get_pins clkbuf0/O]

FALSE(1)適用オブジェク ト ネッ ト

制約値 FALSE

UCF の例 NET clk0 CLOCK_DEDICATED_ROUTE = FALSE;

XDC の例 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk0]

FALSE(2)適用オブジェク ト ピン

制約値 FALSE

UCF の例 PIN clkbuf0.O CLOCK_DEDICATED_ROUTE = FALSE;

XDC の例 set_property CLOCK_DEDICATED_ROUTE FALSE [get_pins clkbuf0/O]

BACKBONE(1)適用オブジェク ト ネッ ト

制約値 BACKBONE

UCF の例 NET clk0 CLOCK_DEDICATED_ROUTE = BACKBONE;

XDC の例 set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets clk0]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 38UG911 (v2014.3) 2014 年 10 月 1 日

Page 39: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

I/O 関連の制約

BACKBONE(2)適用オブジェク ト ピン

制約値 BACKBONE

UCF の例 PIN clkbuf0.O CLOCK_DEDICATED_ROUTE = BACKBONE;

XDC の例 set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_pins clkbuf0/O]

IODELAY_GROUP適用オブジェク ト IDELAY および IDELAYCTRL セル

制約値 文字列

UCF の例 INST idelay0 IODELAY_GROUP = group0;

XDC の例 set_property IODELAY_GROUP group0 [get_cells idelay0]

DCI_VALUE適用オブジェク ト I/O バッファー セル

制約値 整数値 (抵抗値をオームで指定)

UCF の例 INST a_IBUF[0]_inst DCI_VALUE = 75;

XDC の例 set_property DCI_VALUE 75 [get_cells {a_IBUF[0]_inst}]

DIFF_TERM適用オブジェク ト I/O バッファー セル

制約値 ブール値

UCF の例 INST a_IBUF[0]_inst DIFF_TERM = TRUE;

XDC の例 set_property DIFF_TERM true [get_cells {a_IBUF[0]_inst}]

DRIVE適用オブジェク ト 入出力および出力バッファー セル

制約値 整数値 : 2、 4、 6、 8、 12、 16、 24

UCF の例 INST q_OBUF[0]_inst DRIVE = 24;

XDC の例 set_property DRIVE 24 [get_ports q[0]]

LVTTL で有効な値は 24 です。

IOSTANDARD適用オブジェク ト I/O バッファー セル

制約値 I/O 規格の文字列

UCF の例 INST q_OBUF[0]_inst IOSTANDARD = LVCMOS25;

XDC の例 set_property IOSTANDARD LVCMOS25 [get_ports q[0]]

詳細は、 『制約ガイ ド』 (UG625) を参照して ください。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 39UG911 (v2014.3) 2014 年 10 月 1 日

Page 40: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

PORTS

SLEW適用オブジェク ト 入出力および出力バッファー セル

制約値 SLOW または FAST

UCF の例 INST q_OBUF[0]_inst SLEW = FAST;

XDC の例 set_property SLEW FAST [get_ports q[0]]

FAST適用オブジェク ト 入出力および出力バッファー セル

制約値 なし

UCF の例 INST q_OBUF[0]_inst FAST;

XDC の例 set_property SLEW FAST [get_ports q[0]]

SLOW適用オブジェク ト 入出力および出力バッファー セル

制約値 なし

UCF の例 INST q_OBUF[0]_inst SLOW;

XDC の例 set_property SLEW SLOW [get_ports q[0]]

IN_TERM適用オブジェク ト ポート

制約値 • NONE• UNTUNED_SPLIT_40• UNTUNED_SPLIT_50• UNTUNED_SPLIT_60

UCF の例 NET a[0] IN_TERM = UNTUNED_SPLIT_50;

XDC の例 set_property IN_TERM UNTUNED_SPLIT_50 [get_ports [list clk]]

OUT_TERM適用オブジェク ト ポート

制約値 • NONE• UNTUNED_25• UNTUNED_50• UNTUNED_75

UCF の例 net q[0] OUT_TERM = UNTUNED_50;

XDC の例 set_property OUT_TERM UNTUNED_50 [get_ports q[0]]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 40UG911 (v2014.3) 2014 年 10 月 1 日

Page 41: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

IOBDELAY適用オブジェク ト ポート ネッ ト

制約値 NONE

UCF の例 net b[0] IOBDELAY = NONE;

XDC の例 set_property IOBDELAY NONE [get_nets b[0]]

注記 : IOBDELAY は、ポートに設定するこ とはできませんが、入力バッファーなどのセルには設定できます。

BOTH適用オブジェク ト ポート ネッ ト

制約値 BOTH

UCF の例 net b[0] IOBDELAY = BOTH;

XDC の例 set_property IOBDELAY BOTH [get_nets b[0]]

注記 : IOBDELAY は、 ポートに設定するこ とはできませんが、 入力バッファーなどのセルには設定できます。

IBUF適用オブジェク ト ポート ネッ ト

制約値 IBUF

UCF の例 net b[0] IOBDELAY = IBUF;

XDC の例 set_property IOBDELAY IBUF [get_nets b[0]]

注記 : IOBDELAY は、 ポートに設定するこ とはできませんが、 入力バッファーなどのセルには設定できます。

IFD適用オブジェク ト ポート ネッ ト

制約値 IFD

UCF の例 net b[0] IOBDELAY = IFD;

XDC の例 set_property IOBDELAY IFD [get_nets b[0]]

注記 : IOBDELAY は、 ポートに設定するこ とはできませんが、 入力バッファーなどのセルには設定できます。

KEEPER適用オブジェク ト ポート ネッ ト

制約値 • TRUE• FALSE• YES• NO

UCF の例 NET n1 KEEPER = TRUE;

XDC の例 set_property KEEPER true [get_ports n1]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 41UG911 (v2014.3) 2014 年 10 月 1 日

Page 42: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

その他のネッ ト関連の制約

PULLDOWN適用オブジェク ト ポート ネッ ト

制約値 • TRUE• FALSE• YES• NO

UCF の例 NET n1 PULLDOWN = TRUE;

XDC の例 set_property PULLDOWN true [get_ports n1]

PULLUP適用オブジェク ト ポート ネッ ト

制約値 • TRUE• FALSE• YES• NO

UCF の例 NET n1 PULLUP = TRUE;

XDC の例 set_property PULLUP true [get_ports n1]

VCCAUX_IO適用オブジェク ト ポート

制約値 • NORMAL• HIGH• DONTCARE

UCF の例 NET d[0] VCCAUX_IO = HIGH;

XDC の例 set_property VCCAUX_IO HIGH [get_ports d[0]]

KEEP適用オブジェク ト ネッ ト

制約値 • TRUE• FALSE

UCF の例 net x_int KEEP = TRUE;

XDC の例 set_property DONT_TOUCH true [get_nets x_int]

SAVE NET FLAG適用オブジェク ト ネッ ト

制約値 なし

UCF の例 net x_int S;

XDC の例 set_property DONT_TOUCH true [get_nets x_int]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 42UG911 (v2014.3) 2014 年 10 月 1 日

Page 43: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

コンフ ィギュレーシ ョ ン関連の制約

CONFIG PROHIBIT

LOCK_PINS適用オブジェク ト LUT セル

制約値 CSV 文字列 : I[0-5]:A[6-1]

UCF の例 INST LUT1 LOCK_PINS = I3:A6, I2:A5;

XDC の例 set_property LOCK_PINS {I3:A6 I2:A5} [get_cells LUT1]

ROUTE適用オブジェク ト ネッ ト

制約値 指定配線文字列 (DIRT)

UCF の例 NET n85 ROUTE={2;1;-4!-1;-53320; . . .16;-8!};

XDC の例 set_property FIXED_ROUTE {EE2BEG0 NR1BEG0 CLBLL_LL_AX} [get_netsn85]

注記 : ISE Design Suite の指定配線文字列と Vivado Design Suite のネッ ト配線プロパティは互換性があ り ません。 Vivado では、 独自のエンコード されていないフォーマッ トが使用されます。

ピン サイ ト

適用オブジェク ト サイ ト

制約値 ピン サイ ト

UCF の例 CONFIG PROHIBIT = K24, K26, K27, K28;

XDC の例 set_property PROHIBIT true [get_sites {K24 K26 K27 K28}]

バンク番号

適用オブジェク ト サイ ト

制約値 バンク番号

UCF の例 CONFIG PROHIBIT = BANK34, BANK35, BANK36;

XDC の例 set_property PROHIBIT true [get_sites -of [get_iobanks 34 35 36]]

RAM(1)適用オブジェク ト サイ ト

制約値 RAM

UCF の例 CONFIG PROHIBIT = RAMB18_X0Y0;

XDC の例 set_property PROHIBIT true [get_sites RAMB18_X0Y0]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 43UG911 (v2014.3) 2014 年 10 月 1 日

Page 44: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

RAM(2)適用オブジェク ト サイ ト

制約値 RAM

UCF の例 CONFIG PROHIBIT = RAMB18_X0Y1, RAMB18_X0Y3, RAMB18_X0Y5;

XDC の例 set_property PROHIBIT true [get_sites {RAMB18_X0Y1 RAMB18_X0Y3RAMB18_X0Y5}]

注記 : 上記の例では、 カンマ区切りの リ ス トに RAM サイ ト を使用していますが、 サポート されているどのサイ ト タイプでも使用できます。

RAM(3)適用オブジェク ト サイ ト

制約値 RAM

UCF の例 CONFIG PROHIBIT = RAMB36_X1Y1:RAMB36_X2Y2;

XDC の例 set_property PROHIBIT true [get_sites -range {RAMB36_X1Y1 RAMB36_X2Y2}]

RAM(4)適用オブジェク ト サイ ト

制約値 RAM

UCF の例 CONFIG PROHIBIT = RAMB36_X3Y*;

XDC の例 set_property PROHIBIT true [get_sites RAMB36_X3Y*]

DSP48適用オブジェク ト サイ ト

制約値 DSP48

UCF の例 CONFIG PROHIBIT = DSP48_X0Y*;

XDC の例 set_property PROHIBIT true [get_sites DSP48_X0Y*]

SLICE適用オブジェク ト サイ ト

制約値 スライス

UCF の例 CONFIG PROHIBIT = SLICE_X0Y0:SLICE_X47Y49;

XDC の例 set_property PROHIBIT true [get_sites -range {SLICE_X0Y0 SLICE_X47Y49}]

ILOGIC適用オブジェク ト サイ ト

制約値 ILOGIC

UCF の例 CONFIG PROHIBIT = ILOGIC_X0Y0:ILOGIC_X0Y49;

XDC の例 set_property PROHIBIT true [get_sites -range {ILOGIC_X0Y0 ILOGIC_X0Y49}]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 44UG911 (v2014.3) 2014 年 10 月 1 日

Page 45: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

OLOGIC適用オブジェク ト サイ ト

制約値 OLOGIC

UCF の例 CONFIG PROHIBIT = OLOGIC_X0Y0:OLOGIC_X0Y49;

XDC の例 set_property PROHIBIT true [get_sites -range {OLOGIC_X0Y0 OLOGIC_X0Y49}]

BUFGCTRL適用オブジェク ト サイ ト

制約値 BUFGCTRL

UCF の例 CONFIG PROHIBIT = BUFGCTRL_X0Y0:BUFGCTRL_X0Y15;

XDC の例 set_property PROHIBIT true [get_sites -range {BUFGCTRL_X0Y0 BUFGCTRL_X0Y15}]

BUFR適用オブジェク ト サイ ト

制約値 BUFR

UCF の例 CONFIG PROHIBIT = BUFR_X0Y0:BUFR_X0Y3;

XDC の例 set_property PROHIBIT true [get_sites -range {BUFR_X0Y0 BUFR_X0Y3}]

BUFIO適用オブジェク ト サイ ト

制約値 BUFIO

UCF の例 CONFIG PROHIBIT = BUFIO_X0Y0:BUFIO_X0Y3;

XDC の例 set_property PROHIBIT true [get_sites -range {BUFIO_X0Y0 BUFIO_X0Y3}]

BUFHCE適用オブジェク ト サイ ト

制約値 BUFHCE

UCF の例 CONFIG PROHIBIT = BUFHCE_X0Y0:BUFHCE_X1Y11;

XDC の例 set_property PROHIBIT true [get_sites -range {BUFHCE_X0Y0 BUFHCE_X1Y11}]

電圧

適用オブジェク ト I/O バンク

制約値 電圧

UCF の例 CONFIG INTERNAL_VREF_BANK14 = 0.75;

XDC の例 set_property INTERNAL_VREF 0.75 [get_iobanks 14]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 45UG911 (v2014.3) 2014 年 10 月 1 日

Page 46: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

NONE適用オブジェク ト I/O バンク

制約値 NONE

UCF の例 CONFIG INTERNAL_VREF_BANK0 = NONE;

XDC の例 reset_property INTERNAL_VREF [get_iobanks 0]

CONFIG DCI_CASCADE適用オブジェク ト I/O バンク

制約値 バンクの順序

UCF の例 CONFIG DCI_CASCADE = 17 15 14;

XDC の例 set_property DCI_CASCADE {15 14} [get_iobanks 17]

M_SERIAL適用オブジェク ト グローバル

制約値 M_SERIAL

UCF の例 CONFIG CONFIG_MODE = M_SERIAL;

XDC の例 set_property CONFIG_MODE M_SERIAL [current_design]

S_SERIAL適用オブジェク ト グローバル

制約値 S_SERIAL

UCF の例 CONFIG CONFIG_MODE = S_SERIAL;

XDC の例 set_property CONFIG_MODE S_SERIAL [current_design]

B_SCAN適用オブジェク ト グローバル

制約値 B_SCAN

UCF の例 CONFIG CONFIG_MODE = B_SCAN;

XDC の例 set_property CONFIG_MODE B_SCAN [current_design]

B_SCAN+READBACK適用オブジェク ト グローバル

制約値 B_SCAN+READBACK

UCF の例 CONFIG CONFIG_MODE = B_SCAN+READBACK;

XDC の例 set_property CONFIG_MODE B_SCAN+READBACK [current_design]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 46UG911 (v2014.3) 2014 年 10 月 1 日

Page 47: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

M_SELECTMAP適用オブジェク ト グローバル

制約値 M_SELECTMAP

UCF の例 CONFIG CONFIG_MODE = M_SELECTMAP;

XDC の例 set_property CONFIG_MODE M_SELECTMAP [current_design]

M_SELECTMAP+READBACK適用オブジェク ト グローバル

制約値 M_SELECTMAP+READBACK

UCF の例 CONFIG CONFIG_MODE = M_SELECTMAP+READBACK;

XDC の例 set_property CONFIG_MODE M_SELECTMAP+READBACK [current_design]

S_SELECTMAP適用オブジェク ト グローバル

制約値 S_SELECTMAP

UCF の例 CONFIG CONFIG_MODE = S_SELECTMAP;

XDC の例 set_property CONFIG_MODE S_SELECTMAP [current_design]

S_SELECTMAP+READBACK適用オブジェク ト グローバル

制約値 S_SELECTMAP+READBACK

UCF の例 CONFIG CONFIG_MODE = S_SELECTMAP+READBACK;

XDC の例 set_property CONFIG_MODE S_SELECTMAP+READBACK [current_design]

S_SELECTMAP16適用オブジェク ト グローバル

制約値 S_SELECTMAP16

UCF の例 CONFIG CONFIG_MODE = S_SELECTMAP16;

XDC の例 set_property CONFIG_MODE S_SELECTMAP16 [current_design]

S_SELECTMAP16+READBACK適用オブジェク ト グローバル

制約値 S_SELECTMAP16+READBACK

UCF の例 CONFIG CONFIG_MODE = S_SELECTMAP16+READBACK;

XDC の例 set_property CONFIG_MODE S_SELECTMAP16+READBACK [current_design]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 47UG911 (v2014.3) 2014 年 10 月 1 日

Page 48: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

S_SELECTMAP32適用オブジェク ト グローバル

制約値 S_SELECTMAP32

UCF の例 CONFIG CONFIG_MODE = S_SELECTMAP32;

XDC の例 set_property CONFIG_MODE S_SELECTMAP32 [current_design]

S_SELECTMAP32+READBACK適用オブジェク ト グローバル

制約値 S_SELECTMAP32+READBACK

UCF の例 CONFIG CONFIG_MODE = S_SELECTMAP32+READBACK;

XDC の例 set_property CONFIG_MODE S_SELECTMAP32+READBACK [current_design]

SPIx1適用オブジェク ト グローバル

制約値 SPIx1

UCF の例 CONFIG CONFIG_MODE = SPIx1;

XDC の例 set_property CONFIG_MODE SPIx1 [current_design]

SPIx2適用オブジェク ト グローバル

制約値 SPIx2

UCF の例 CONFIG CONFIG_MODE = SPIx2;

XDC の例 set_property CONFIG_MODE SPIx2 [current_design]

SPIx4 適用オブジェク ト グローバル

制約値 SPIx4

UCF の例 CONFIG CONFIG_MODE = SPIx4;

XDC の例 set_property CONFIG_MODE SPIx4 [current_design]

BPI8適用オブジェク ト グローバル

制約値 BPI8

UCF の例 CONFIG CONFIG_MODE = BPI8 ;

XDC の例 set_property CONFIG_MODE BPI8 [current_design]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 48UG911 (v2014.3) 2014 年 10 月 1 日

Page 49: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

POST_CRC コマンド

BPI16適用オブジェク ト グローバル

制約値 BPI16

UCF の例 CONFIG CONFIG_MODE = BPI16;

XDC の例 set_property CONFIG_MODE BPI16 [current_design]

CONFIG POST_CRC適用オブジェク ト グローバル

制約値 ENABLE

UCF の例 CONFIG POST_CRC = ENABLE;

XDC の例 set_property POST_CRC ENABLE [current_design]

DISABLE適用オブジェク ト グローバル

制約値 DISABLE

UCF の例 CONFIG POST_CRC = DISABLE;

XDC の例 set_property POST_CRC DISABLE [current_design]

HALT適用オブジェク ト グローバル

制約値 HALT

UCF の例 CONFIG POST_CRC_ACTION = HALT;

XDC の例 set_property POST_CRC_ACTION HALT [current_design]

CONTINUE適用オブジェク ト グローバル

制約値 CONTINUE

UCF の例 CONFIG POST_CRC_ACTION = CONTINUE;

XDC の例 set_property POST_CRC_ACTION CONTINUE [current_design]

CORRECT_AND_CONTINUE適用オブジェク ト グローバル

制約値 CORRECT_AND_CONTINUE

UCF の例 CONFIG POST_CRC_ACTION = CORRECT_AND_CONTINUE;

XDC の例 set_property POST_CRC_ACTION CORRECT_AND_CONTINUE [current_design]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 49UG911 (v2014.3) 2014 年 10 月 1 日

Page 50: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

CORRECT_AND_HALT適用オブジェク ト グローバル

制約値 CORRECT_AND_HALT

UCF の例 CONFIG POST_CRC_ACTION = CORRECT_AND_HALT;

XDC の例 set_property POST_CRC_ACTION correct_and_halt [current_design]

CONFIG POST_CRC_FREQ適用オブジェク ト グローバル

制約値 整数値 (周波数を MH z で指定)

UCF の例 CONFIG POST_CRC_FREQ = 50;

XDC の例 set_property POST_CRC_FREQ 50 [current_design]

ENABLE適用オブジェク ト グローバル

制約値 ENABLE

UCF の例 CONFIG POST_CRC_INIT_FLAG = ENABLE;

XDC の例 set_property POST_CRC_INIT_FLAG ENABLE [current_design]

DISABLE適用オブジェク ト グローバル

制約値 DISABLE

UCF の例 CONFIG POST_CRC_INIT_FLAG = DISABLE;

XDC の例 set_property POST_CRC_INIT_FLAG DISABLE [current_design]

FIRST_READBACK適用オブジェク ト グローバル

制約値 FIRST_READBACK

UCF の例 CONFIG POST_CRC_SOURCE = FIRST_READBACK;

XDC の例 set_property POST_CRC_SOURCE FIRST_READBACK [current_design]

PRE_COMPUTED適用オブジェク ト グローバル

制約値 PRE_COMPUTED

UCF の例 CONFIG POST_CRC_SOURCE = PRE_COMPUTED;

XDC の例 set_property POST_CRC_SOURCE PRE_COMPUTED [current_design]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 50UG911 (v2014.3) 2014 年 10 月 1 日

Page 51: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 3 章 : UCF 制約の XDC への移行

DEFAULT コマンド

注記 : DEFAULT はサポート されません。 I/O ポート を個別にコンフ ィギュレーシ ョ ンする必要があ り ます。

DEFAULT FLOAT適用オブジェク ト グローバル

制約値 ブール値

UCF の例 DEFAULT FLOAT = TRUE;

XDC の例 XDC ではこの制約はサポート されません。

DEFAULT KEEPER適用オブジェク ト グローバル

制約値 ブール値

UCF の例 DEFAULT KEEPER = TRUE;

XDC の例 XDC ではこの制約はサポート されません。

DEFAULT PULLDOWN適用オブジェク ト グローバル

制約値 ブール値

UCF の例 DEFAULT PULLDOWN = TRUE;

XDC の例 XDC ではこの制約はサポート されません。

DEFAULT PULLUP適用オブジェク ト グローバル

制約値 ブール値

UCF の例 DEFAULT PULLUP = TRUE;

XDC の例 XDC ではこの制約はサポート されません。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 51UG911 (v2014.3) 2014 年 10 月 1 日

Page 52: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 4 章

レガシ IP を含むデザインの Vivado Design Suite への移行

概要Vivado® Design Suite には、 CORE Generator™ ツールからの IP デザインを移行できます。 また、 IP を Vivado DesignSuite での最新バージ ョ ンにアップグレードするこ と もできます。

重要 : Vivado 統合設計環境 (IDE) では、 IP のインスタンシエーシ ョ ンおよびポート名はすべて小文字である必要があり ます。大文字のファイル名や、大文字と小文字の混ざったファイル名は、すべて小文字に変更する必要があ り ます。

Vivado Design Suite では、 次のソースからの IP を再利用できます。

• CORE Generator IP を使用する ISE® Design Suite プロジェク ト

• CORE Generator IP を使用する PlanAhead™ ツール プロジェク ト

• CORE Generator プロジェク トからの IP

• Vivado IDE の [Add IP] を使用して追加した IP (.xci ファ イル)

• Create and Package IP ウ ィザードを使用したエンベデッ ド開発キッ ト (EDK) からの IP

重要 : デザインを Vivado Design Suite に移行する前に、最新バージ ョ ンの IP を使用しているかど うかを確認してください。

IP を含むプロジェク ト (以前のバージ ョ ンの Vivado プロジェク ト または ISE Design Suite の .xise プロジェク ト ) を移行する場合、 または外部に保存されている IP (CORE Generator または Vivado) を追加する場合、 IP は次のいずれかの状態になり ます。

• IP は最新。 IP はカスタマイズし直すこ とができ、 出力ファイルを生成できます。

• カタログ内にバージ ョ ンが見つからないため IP はロッ ク状態であ り、 アップグレードが可能。 アップグレードしない場合、 次の 2 つの状況があ り ます。

° 追加/インポート したと きに出力ファイルがあった場合、 これらのファイルを Vivado で使用できます。

カスタマイズし直したり、追加の出力ファイルを生成したりするこ とはできません。合成 (RTL) またはインプリ メンテーシ ョ ン (NGC) に必要な出力ファイルがある場合は、 次に進むこ とができます。

注記 : ビヘイビアー シ ミ ュレーシ ョ ンには、 シ ミ ュレーシ ョ ン ターゲッ トが必要です。

° 出力ファイルがない場合、 Vivado Design Suite で生成し直すこ とはできません。 IP を作成したソフ ト ウェアバージ ョ ンに戻って生成するか、 最新バージ ョ ンにアップグレードする必要があ り ます。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 52UG911 (v2014.3) 2014 年 10 月 1 日

Page 53: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 4 章 : レガシ IP を含むデザインの Vivado Design Suite への移行

• カタログ内にバージ ョ ンが見つからないため IP はロッ ク状態であ り、 アップグレードが不可。 次の 2 つの状況があ り ます。

° 追加/インポート したと きに出力ファイルがあった場合、これらのファイルを Vivado Design Suite で使用できます。 カスタマイズし直したり、 追加の出力ファイルを生成したりするこ とはできません。 合成 (RTL) またはインプリ メンテーシ ョ ン (ネッ ト リ ス ト ) に必要な出力ファイルがある場合は、次に進むこ とができます。

注記 : ビヘイビアー シ ミ ュレーシ ョ ンには、 シ ミ ュレーシ ョ ン ターゲッ トが必要です。

° 出力ファイルがない場合、 Vivado Design Suite で生成し直すこ とはできません。 IP を作成したソフ ト ウェアバージ ョ ンに戻って生成するか、 Vivado Design Suite で現在使用可能な IP を使用して作成し直す必要があ ります。 この場合、 インターフェイスおよびデザインの変更が必要なこ と もあ り ます。

推奨 : IP を使用する場合は、 IP をプロジェク ト外のリモート ディ レク ト リに置いて ください。 これによ り、 IP を移植および管理しやすくな り ます。 IP をカスタマイズする場合は、 出力ファ イルを生成して ください。 出力ファ イルは、 CORE Generator の NGC および Vivado の合成、 シ ミ ュレーシ ョ ン、 テス トベンチ、 サンプル デザイン、 その他のファイルです。 これによ り、 IP が削除された場合や、 再カスタマイズまたは生成できるよ うにするために Vivadoツールでアップデートが必要な場合でも、 IP が合成やインプ リ メンテーシ ョ ンで使用可能なものになり ます。

CORE Generator IP の Vivado Design Suite への移行CORE Generator IP を Vivado Design Suite に移行するには、 次の 2 つの手順を実行します。

1. CORE Generator IP を使用するデザインを移行

2. IP を最新バージ ョ ンに移行

手順 1 : CORE Generator IP を使用するデザインを移行

IP を含むプロジェク ト を Vivado Design Suite に移行できます。 移行するには、 次のいずれかを実行します。

° ISE Design Suite プロジェク ト を Vivado Design Suite プロジェク トにインポート します (6 ページの 「XISEProject Navigator プロジェク トのインポート 」 を参照)。

° PlanAhead ツール プロジェク ト を Vivado Design Suite プロジェク トに変換します (7 ページの 「PlanAheadツール プロジェク トの変換」 を参照)。

° CORE Generator プロジェク トからの IP コアのソース ファ イル (.xco ファ イル) を Vivado Design Suite に追加します。

手順 2 : IP を最新バージ ョ ンに移行 デザインで IP の最新バージ ョ ンを使用します。 IP を移行するには、 現在の IP を次のよ うにアップデート します。

1. [Sources] ビューで [IP Sources] タブをク リ ッ ク します。

2. IP コアのソースを右ク リ ッ ク します。

3. [Upgrade IP] をク リ ッ ク します。

注記 : IP を最新バージ ョ ンにアップグレードする と、 再カスタマイズできるよ うにな り ます。

重要 : IP が IP カタログで提供されなくなっている場合は、 NGC ネッ ト リ ス トやシ ミ ュレーシ ョ ン ファ イルなど、 既存の IP ネッ ト リ ス トおよびソースを Vivado 合成およびインプ リ メンテーシ ョ ン フローで再利用できます。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 53UG911 (v2014.3) 2014 年 10 月 1 日

Page 54: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 4 章 : レガシ IP を含むデザインの Vivado Design Suite への移行

推奨 : また、 [Tools] → [Report] → [Report IP Status] をク リ ッ クする と、 プロジェク トに含まれるすべての IP のステータス レポートが表示され、 アップグレードの推奨および IP 変更ログを確認できます。 IP ステータス レポート を確認したら、 レポートで IP を選択してアップグレード します。

?

ビデオ : Vivado Design Suite ビデオ チュート リ アル : Vivado IP のバージ ョ ン アップグレードの管理

EDK IP の Vivado Design Suite への移行XPS プロセッサ コア (Pcore) は、 Vivado Design Suite のネイティブ IP に変換して、 IP インテグレーターで使用できるよ うにするこ とが可能です。

これには、 [Tools] → [Create and Package IP] をク リ ッ クする必要があ り ます。 このプロセスによ り、 Create and PackageIP ウ ィザードを使用して IP-XACT 定義ファイル component.xml が作成されます。 これは、 [Manage IP] フローを使用するか、 Pcore を直接操作するか、 デザイン プロジェク ト内で完了できます。

完全な手順は、 『Vivado Design Suite チュート リ アル : エンベデッ ド プロセッサ ハード ウェア デザイン』 (UG940) [参照 8] の 「Lab 5: Converting Legacy EDK IP to use in IP Integrator」 を参照して ください。

Vivado Design Suite IP と ISE CORE Generator IP の違い Vivado Design Suite に含まれる IP には、 ISE Design Suite CORE Generator ツールに含まれる IP と比べて次のよ う な違いがあ り ます。

• 1 つの統合された IP カタログからアクセスできます。

• 物理およびタイ ミ ング制約用の新しいザイ リ ンクス デザイン制約 (XDC ファ イル) が使用されます (自動的に適用)。

• ザイ リ ンクス制約インターフェイス (XCI) ファ イル、 さまざまな出力ファイル、 およびデフォルトで合成デザイン チェッ クポイン ト (DCP ファ イル) が生成されます。

° DCP には、 IP のネッ ト リ ス ト と、 参照する場合は XCI が含まれ、 IP 用に生成されたすべての制約がインプリ メンテーシ ョ ンで使用されます。

° DCP にはネッ ト リ ス ト と解決された制約が含まれるので、DCP を NGC と同様に直接使用するこ と もできますが、 お勧めしません。

° IP に BMM、 ELF、 Tcl スク リプ ト 、 またはその他のファイルが含まれる場合、 DCP には含まれません。 XCIを使用する と、 合成済みネッ ト リ ス ト を含むすべての出力ファイルが確実に使用されます。

• 各 IP (XCI ファ イル) が個別のディ レク ト リに配置されます。 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 6] の [Manage IP] フローおよびプロジェク ト フローに関する記述を参照して ください。

• 各 IP に出力ソースと して独自のシ ミ ュレーシ ョ ン ソースが含まれるので、シ ミ ュレーシ ョ ンに XilinxCoreLibは使用されません。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 54UG911 (v2014.3) 2014 年 10 月 1 日

Page 55: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章

XPS から IP インテグレーターへの移行

概要Vivado® Design Suite IP インテグレーターを使用する と、 ザイ リ ンクス IP またはカスタム IP を含むデザインを、 GUI環境を使用して短時間で統合できます。

Xilinx Platform Studio と同様、IP インテグレーターではエンベデッ ド プロセッサ デザイン (Zynq® -7000 プロセッサまたは MicroBlaze™ プロセッサなどを使用) とペリ フェラルをすばやく作成できます。 IP インテグレーターには、 次のデザイン タイプを移行できます。

• Zynq プロセッサ ベースのデザイン

• MicroBlaze プロセッサ ベースのデザイン

• ISE® または PlanAhead™ ツールで作成されたカスタム IP

重要 : Zynq デバイスおよび MicroBlaze プロセッサをターゲッ ト とするデザインを含む新しいエンベデッ ド プロセッサ デザインには、 Xilinx Platform Studio (XPS) の代わりに Vivado IP インテグレーターを使用します。 XPS は VivadoDesign Suite には統合されなくな り ましたが、XPS で制約なしで作成された DCP および NGC ファ イルは Vivado DesignSuite のソース ファ イルと してサポート されます。

XPS と IP インテグレーターの機能比較Xilinx Platform Studio (XPS) および IP インテグレーターは、 ザイ リ ンクス IP またはカスタム IP を使用するデザインを簡単に作成できるよ うにするシステム レベルのツールです。 表 5-1 に、 この 2 つのツール フローの主な違いを示します。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 55UG911 (v2014.3) 2014 年 10 月 1 日

Page 56: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

XPS のデザインを IP インテグレーター用に変換する際のヒン トXPS デザインを IP インテグレーターに変換する手順は、 自動化されていません。 そのため、 XPS デザインを参照しながら IP インテグレーターで始めからデザインを作成する必要があ り ます。

システム レベル ツールで実行する主なタスクは、 次のとおりです。

• IP インスタンシエーシ ョ ン

• IP のカスタマイズ

• デザインの接続

• アドレス マップ

• ク ロ ッ クおよびリセッ ト

• インターコネク トのコンフ ィギュレーシ ョ ン

• デバッグ用の設定

• ELF ファ イルの関連付け

• Zynq-7000 AP Soc ベース デザインの移行

• MicroBlaze プロセッサ ベース デザインの移行

表 5-1 : XPS と IP インテグレーターのツール フローの違い

機能 XPS IP インテグレーター

IP カタログ エンベデッ ドのみの IP カタ ログ (その他の IP (CORE Generator™ カタログ) とは別)

統合された Vivado Design Suite カタログ

デザイン キャプチャ形式 • プロジェク ト情報 (デバイス、フロー)用の XMP ファ イル

• デザイン情報 (IP およびその接続) 用の MHS ファ イル

• プロジェク ト情報は Vivado DesignSuite のデザインの一部と して保存

• デザイン情報は BD (XML 形式) に保存

テキス ト ベースの編集 テキス ト エディ ター /XPS エディ ターでMHS ファ イルを編集

Vivado Design Suite と一致した Tcl ベースの編集/デザイン作成機能

デザイン ツールとの統合 • Vivado Design Suite と密接ではないが統合

• ISE Design Suite (PlanAhead ツール) および Vivado Design Suite の両方でサポート

• Vivado Design Suite と密接に統合

• Vivado Design Suite でのみサポート

ド メ インのアドレス指定 エンベデッ ド (プロセッサ ベース ) すべて

グラフ ィ ッ ク ユーザー インターフェイス

イ ン ターフ ェ イ ス用にパッチ パネルベースの接続

回路図/ブロ ッ ク ベースの編集

デザイン フロー makefile ベース Vivado Design Suite フローに統合

デバイス ファ ミ リ サポート • PlanAhead デザイン ツール :Spartan®-3、 Virtex®-4、 Virtex-5、Virtex-6、 7 シ リーズ デバイス、Zynq デバイス

• Vivado : 7 シ リーズ デバイスのみ (Zynq デバイスのサポート なし )

• 7 シ リーズ デバイス

• Zynq デバイス

• 新規アーキテクチャ

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 56UG911 (v2014.3) 2014 年 10 月 1 日

Page 57: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

IP インスタンシエーシ ョ ン XPS からのほとんどの 7 シ リーズ IP は、 Vivado IDE の IP カタログに含まれる別の IP に対応しています。 XPS の各IP に対して、 等価の IP を IP インテグレーターのキャンバスにインスタンシエートする必要があ り ます。

XPS IP のインスタンシエーシ ョ ンの Tcl コマンド

XPS IP の IP インテグレーターへのインスタンシエーシ ョ ンは、 create_bd_cell Tcl コマンドを適切なオプシ ョ ンと共に使用しても達成できます。

ISE Design Suite の IP カタログからの IP の中には、 エンベデッ ド デザインの要件に合わせて修正され、 XPS IP カタログで提供されるものがあ り ます。 Vivado では IP カタログが統合されているので、次の IP の移行には注意が必要です。

1. AXI 7 シ リーズ DDRx から MIG

2. ブロッ ク RAM から Block Memory Generator

3. Clock Generator から Clocking Wizard

4. IP とプロセッサの接続に使用される AXI インターコネク ト

5. デバッグ IP : ほとんどのデバッグ IP は、XPS と同様に Vivado Design Suite でも使用できます。使用可能なデバッグ IP は、 次のとおりです。

° AXI パフォーマンス モニター

° ChipScope ILA/Vivado ILA

° 仮想 I/O

° MicroBlaze デバッグ モジュール

IP のカスタマイズ

エンベデッ ド IP ではできるだけ XPS と同様のパラ メーターが使用されていますが、 適切にカスタマイズするためVivado Design Suite IP のデータシート を参照するこ とをお勧めします。図 5-1 に MHS ファ イルのパラ メーター仕様部分の例を示し、 図 5-2 に適切なインスタンシエーシ ョ ン オプシ ョ ンをハイライ ト して示します。

X-Ref Target - Figure 5-1

図 5-1 : MHS ファイルのパラメーター仕様を示す部分

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 57UG911 (v2014.3) 2014 年 10 月 1 日

Page 58: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

デザインの接続

IP インテグレーターには、 デザインを接続するプロセスを自動化する設計アシスタンス と呼ばれる機能があ り ます。図 5-3 に MHS からの例、 図 5-4 に IP インテグレーターで使用可能な設計アシスタンスを示します。

X-Ref Target - Figure 5-2

図 5-2 : MicroBlaze プロセッサを適切なオプシ ョ ンでインスタンシエートする Tcl コマンド

X-Ref Target - Figure 5-3

図 5-3 : MHS ファイルのインターフェイスおよびポートの接続を示すコード部分

X-Ref Target - Figure 5-4

図 5-4 : インターフェイスおよびポートの接続を作成するために IP インテグレーターで使用される Tcl コマンド

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 58UG911 (v2014.3) 2014 年 10 月 1 日

Page 59: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

アドレス マップ

XPS では、 スレーブ IP にアクセスするマスターに関係なく、 すべてのスレーブが同じアドレスにな り ます。 IP インテグレーターでは、 マスター ベースのアドレス指定がサポート されます。 そのため、 1 つのスレーブに対して 2 つのマスターで異なるアドレスを指定できます。 図 5-5 および図 5-6 に、 MHS と IP インテグレーターのアドレス指定の違いを示します。

クロックおよびリセッ ト

XPS では、 Clock Generator IP を使用したセン ト ラル ク ロ ッキング メカニズムが提供されています。 Clock Generatorですべての IP のクロ ッ ク要件が認識され、 IP の一部と して必要な MMCM/PLL コンフ ィギュレーシ ョ ンが生成されます。

IP インテグレーターでは、 ク ロ ッ クのコンフ ィギュレーシ ョ ンに Clocking Wizard が使用されます。

重要 : Clocking Wizard IP の一部と して、 周波数を入力する必要があ り ます。 生成クロ ッ クのプロパティ (周波数、 位相など) は、 IP にインプ リ メン ト されているパラ メーター伝搬方法を使用して、 Clocking Wizard IP から個々の IP に伝搬されます。

詳細は、 次の資料を参照して ください。

• 『Vivado Design Suite ユーザー ガイ ド : エンベデッ ド プロセッサ ハードウェア デザイン』 (UG898) [参照 9]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 6]

インターコネク トのコンフ ィギュレーシ ョ ン

LogiCORE™ IP Advanced eXtensible Interface (AXI) Interconnect コアは、1 つまたは複数の AXI メモ リ マップ マスターデバイスを 1 つまたは複数のメモリ マップ スレーブ デバイスに接続します。

デバッグ用の設定

IP インテグレーターでは、 ブロッ ク デザインのネッ ト を右ク リ ッ ク し、 [Mark Debug] をク リ ッ クする と、 デバッグする信号をマークできます。生成された HDL コードで適切なネッ ト保持属性を付けるこ とによ り、デバッグ用にマークされたネッ トが保持されます。この後デザインを合成すると、デバッグ コアが合成済みネッ ト リ ス トに挿入されます。

Zynq-7000 プロセッサ ベースおよび MicroBlaze プロセッサ ベースのデザインでは、 ク ロス ト リ ガー機能もサポートされます。 つま り、 プロセッサに Vivado ロジッ ク解析で ト リ ガーする機能およびト リガーされる機能がある というこ とです。

X-Ref Target - Figure 5-5

図 5-5 : XPS のアドレス マップを示す MHS ファイルのコード部分

X-Ref Target - Figure 5-6

図 5-6 : IP インテグレーターでアドレス マップを作成するための Tcl コマンド

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 59UG911 (v2014.3) 2014 年 10 月 1 日

Page 60: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

詳細は、 次の資料を参照して ください。

• 『Vivado Design Suite チュート リ アル : エンベデッ ド プロセッサ ハード ウェア デザイン (UG940) [参照 8]

• 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 5]

ELF ファイルの関連付け

MicroBlaze プロセッサ デザインや Zynq-7000 プロセッサ デザインなどのマイ ク ロプロセッサ ベースのデザインでは、 SDK (またはその他のソフ ト ウェア開発ツール) で生成される ELF ファ イルをインポート して、 Vivado のブロ ック デザインに関連付けるこ とができます。 この後、 Vivado からビッ ト ス ト リームを ELF ファ イルと共にプログラムして、 ターゲッ ト ハード ウェアで実行できます。 このプロセスは、 XPS でも IP インテグレーターでも同じです。

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 10] を参照してください。

Zynq-7000 AP Soc ベース デザインの移行

Vivado IDE では、 エンベデッ ド開発に IP インテグレーター ツールを使用します。 Vivado IDE の IP カタログでは、複雑なデザインに対応するため、 さまざまな IP が提供されています。 IP カタログには、 カスタム IP を追加するこ と も可能です。

Zynq-7000 プロセッサ ベースのデザインを Vivado Design Suite に移行するには、 次の手順に従います。

1. システム基盤を生成します。

a. 必要なボードまたはプログラマブル デバイス用の Vivado プロジェク ト を作成します。

b. Flow Navigator の [IP Integrator] → [Create Block Design] をク リ ッ ク します。

c. デザイン名 「design_1」 を入力します。 ブロ ッ ク デザインが生成されます。

2. ZYNQ7 Processing System を追加し、 XPS デザインからの XML ファ イルをインポート します。

a. ブロッ ク図の任意の場所を右ク リ ッ ク し、 [Add IP] をク リ ッ ク して IP カタログを開きます。

b. IP カタログで [ZYNQ7 Processing System] をダブルク リ ッ クします。ブロッ ク デザインに processing_system7_0インスタンスがインスタンシエート されます。

c. processing_system7_0 インスタンスをダブルク リ ッ ク します。

d. [Re-customize IP] ダイアログ ボッ クスの上部にある [Import XPS Settings] をク リ ッ ク します。

e. [...] ボタンをク リ ッ ク し、 XPS で使用された XML ファ イルのディ レク ト リ を選択します。

f. [OK] をク リ ッ ク します。

ヒン ト : 通常 XML ファ イルは、 <XPS_Project>/data/ps7_system_prj.xml ディ レ ク ト リ にあ り ます。 XMLファ イルには、 Zynq ペリ フェラル、 MIO 設定、 DDR 設定、 ファブ リ ッ ク ク ロ ッ クを含むクロ ッキングなどに関する情報が含まれます。 Zynq デバイス用に AXI およびその他のインターフェイスを手動でイネーブルにする必要があ ります。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 60UG911 (v2014.3) 2014 年 10 月 1 日

Page 61: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

3. MHS ファ イルを開いて processing_system7 インスタンスのパラ メーターおよびポート を確認します。

a. Page Navigator で [PS-PL Configuration] をク リ ッ ク します。

b. MHS を検索し、 表 5-2 に基づいて次のオプシ ョ ンを設定します。

表 5-2 : PS/PL コンフ ィギュレーシ ョ ン オプシ ョ ンの設定

パラメーターまたはポート あり なし

C_USE_M_AXI_GP0 = 1 GP Master AXI Interface/M AXI GP0Interface : オン

GP Master AXI Interface/M AXI GP0Interface : オフ

C_USE_M_AXI_GP1 = 1 GP Master AXI Interface/M AXI GP1Interface : オン

GP Master AXI Interface/M AXI GP1Interface : オフ

C_USE_S_AXI_GP0 = 1 GP Slave AXI Interface/S AXI GP0Interface : オン

GP Slave AXI Interface/S AXI GP0Interface : オフ

C_USE_S_AXI_GP1 = 1 GP Slave AXI Interface/S AXI GP1Interface : オン

GP Slave AXI Interface/S AXI GP1Interface : オフ

C_USE_S_AXI_HP0 = 1 HP Slave AXI Interface/S AXI HP0Interface : オン

HP Slave AXI Interface/S AXI HP0Interface : オフ

C_USE_S_AXI_HP1 = 1 HP Slave AXI Interface/S AXI HP1Interface : オン

HP Slave AXI Interface/S AXI HP1Interface : オフ

C_USE_S_AXI_HP2 = 1 HP Slave AXI Interface/S AXI HP2Interface : オン

HP Slave AXI Interface/S AXI HP2Interface : オフ

C_USE_S_AXI_HP3 = 1 HP Slave AXI Interface/S AXI HP3Interface : オン

HP Slave AXI Interface/S AXI HP3Interface : オフ

C_USE_S_AXI_ACP = 1 ACP Slave AXI Interface/S AXI ACPInterface : オン

ACP Slave AXI Interface/S AXI ACPInterface : オフ

FCLK_CLKTRIG0_N General/Enable ClockTriggers/FLCK_CLKTRIG0 :

オン

General/Enable ClockTriggers/FLCK_CLKTRIG0 :

オフ

FCLK_CLKTRIG1_N General/Enable ClockTriggers/FLCK_CLKTRIG1 :

オン

General/Enable ClockTriggers/FLCK_CLKTRIG1 :

オフ

FCLK_CLKTRIG2_N General/Enable Clock Triggers/FLCK_CLKTRIG2 :

オン

General/Enable Clock Triggers/FLCK_CLKTRIG2 :

オフ

FCLK_CLKTRIG3_N General/Enable Clock Triggers/FLCK_CLKTRIG3 :

オン

General/Enable ClockTriggers/FLCK_CLKTRIG3 :

オフ

FCLK_RESET0_N General/Enable Clock Resets/FCLK_RESET0_N :

オン

General/Enable Clock Resets/FCLK_RESET0_N :

オフ

FCLK_RESET1_N General/Enable ClockResets/FCLK_RESET1_N :

オン

General/Enable ClockResets/FCLK_RESET1_N :

オフ

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 61UG911 (v2014.3) 2014 年 10 月 1 日

Page 62: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

4. Page Navigator で [Clock Configuration] をク リ ッ ク します。MHS を検索し、表 5-3 に基づいて次のオプシ ョ ンを設定します。

[Requested Frequencies] は、 インポート した XML ファ イルに基づいて自動的に設定されます。

5. 割り込みが使用される場合は、 次を実行します。

a. Page Navigator で [Interrupts] をク リ ッ ク します。

b. [Fabric Interrupts] をオンにし、 Zynq デバイスで使用される割り込みを選択します。BSB デザインの場合、 [PL-PS Interrupts Ports] の下の [IRQ_F2P[15:0]] が使用されています。 [PL-PS InterruptPorts] の下の [IRQ_F2P[15:0]] をオンにします。

6. [Re-customize IP] ダイアログボ ッ クスで [OK] をク リ ッ ク し、 インポート された設定を保存します。

ZYNQ7 Processing System のブロック オート メーシ ョ ン

1. /processing_system7_0 の [Run Block Automation] をク リ ッ ク します。 これによ り、デザイン最上位に対して適切な外部接続が作成されます。

2. ボードに一致させる場合は、 [Apply Board Preset] をオンにします。

3. [OK] をク リ ッ ク します。

processing_system7 インスタンスへのファブリ ッ ク クロックの接続

MHS をテキス ト エディ ターで開き、AXI インターフェイス クロ ッ クがデザイン オート メーシ ョ ンで正し く接続されているかを確認します。 MHS ファ イルで次のクロ ッ クを検索します。

通常これらのクロッ クは、 processing_system7 インスタンスの FCLK_CLK(0-3) に接続されます。 接続されていない場合は、 MHS ファ イルのコンフ ィギュレーシ ョ ンと一致するよ うに外部 clk port または clk_wiz に接続する必要があ ります。 MHS ファ イルの接続に基づいてこれらのクロ ッ クを接続して ください。

さ らに、MHS の processing_system7 インスタンスに DMA コン ト ローラー ペリ フェラルの要求インターフェイスが含まれている場合は、 DMA0_ACLK、 DMA1_ACLK、 DMA2_ACLK、 DMA3_ACLK クロ ッ クを接続します。

通常これらのクロッ クは、 processing_system7 インスタンスの FCLK_CLK(0-3) に接続されます。 接続されていない場合は、 MHS ファ イルのコンフ ィギュレーシ ョ ンと一致するよ うに外部 clk port または clk_wiz に接続する必要があ ります。

表 5-3 : クロッ ク コンフ ィギュレーシ ョ ン

ポート あり なし

FCLK_CLK0 PL FabricClocks/FCLK_CLK0 :

オン

PL FabricClocks/FCLK_CLK0 :

オフ

FCLK_CLK1 PL FabricClocks/FCLK_CLK1 :

オン

PL FabricClocks/FCLK_CLK1 :

オフ

FCLK_CLK2 PL FabricClocks/FCLK_CLK2 :

オン

PL FabricClocks/FCLK_CLK2 :

オフ

FCLK_CLK3 PL FabricClocks/FCLK_CLK3 :

オン

PL FabricClocks/FCLK_CLK3 :

オフ

M_AXI_GP0_ACLK M_AXI_GP1_ACLK S_AXI_GP0_ACLK

S_AXI_GP1_ACLK S_AXI_ACP_ACLK S_AXI_HP0_ACLK

S_AXI_HP1_ACLK S_AXI_HP2_ACLK S_AXI_HP3_ACLK

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 62UG911 (v2014.3) 2014 年 10 月 1 日

Page 63: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

ベース デザインへの IP の追加とデザイン オート メーシ ョ ン

このセクシ ョ ンでは、 デザインに AXI IP を追加する方法を説明します。 デザイン オート メーシ ョ ンを実行する と、リ セッ ト 用の Proc Sys Reset IP への接続が自動的に設定され、 IP の AXI インターフェ イスおよび生成された AXIInterconnect インスタンスに適切なクロ ッ クが追加されます。 ZYNQ7 Processing System ブロ ッ クを使用する と、 最大で 9 つの AXI3 インターフェイス (2 つの AXI3 マスター インターフェイス/6 つの AXI3 スレーブ インターフェイス)を含めるこ とができます。

AXI スレーブ IP (AXI4-Lite および AXI4) の例

同等の IP をブロ ッ ク図に追加します。 次の例は、 AXI GPIO の手順を示しています。

1. ブロッ ク図の任意の場所を右ク リ ッ ク し、 [Add IP] をク リ ッ ク します。

2. AXI GPIO を検索し、 ダブルク リ ッ ク して追加します。

3. インスタンス (axi_gpio_0) をダブルク リ ッ ク し、 IP をコンフ ィギュレーシ ョ ンします。

4. MHS ファ イルを開いて、 設定ができるだけ同じになるよ うにします。 パラ メーターが追加、 修正、 削除されている可能性があ り ます。

5. 可能であれば、[Generate Board based IO Constraints] をオンにして、ボードに基づいたパラ メーターを設定します。

6. [OK] をク リ ッ ク します。

注記 : パラ メーターの検証については、「Zynq プロセッサ ベース デザインの AXI マスターおよびスレーブの XPSおよび IP インテグレーター デザイン間でのパラ メーター検証」 を参照して ください。

7. MHS ファ イルの AXI スレーブ インスタンス セクシ ョ ンで、BUS_INTERFACE S_AXI の行を検索します。S_AXIの名前が少し異なっている可能性があるこ とに注意して ください。

8. その行の式の右側 (BUS_INTERFACE S_AXI = AXI_INTERCONNECT_GP0_MASTER となっている場合はAXI_INTERCONNECT_GP0_MASTER) を検索し、 processing_system_7 に ト レースします。

たとえば、BUS_INTERFACE M_AXI_GP0 = AXI_INTERCONNECT_GP0_MASTER の場合、 この AXI スレーブ インターフェイスは M_AXI_GP0 インターフェイスに接続されます。 Zynq の AXI スレーブ インターフェイスは、MHS での接続によって、 M_AXI_GP0、 M_AXI_GP1 に接続できます。

9. IP の AXI スレーブ インターフェイスに対して [Run Connection Automation] を実行します。 たとえば /axi_gpio_0/S_AXI インターフェイスの場合、 インターフェイス接続はマスター /processing_system7_0/M_AXI_GP0のものです。

10. [OK] をク リ ッ ク します。

これによ り、 processing_system7_0_axi_periph インスタンスが作成または変更されます。

その他のクロ ッ クおよびリセッ ト の場合、 およびその他の内部または外部信号の場合は、 接続方法は XPS デザインに使用していた方法と同様です。 可能であれば、 /axi_gpio_0/GPIO などの外部イ ン ターフェ イ スに対して [RunConnection Automation] を実行します。

AXI マスター IP (AXI4-Lite および AXI4) の例

同等の IP をブロ ッ ク図に追加します。 次の例は、 AXI Central Direct Memory Access (CDMA) の手順を示しています。

1. ブロッ ク図の任意の場所を右ク リ ッ ク し、 [Add IP] をク リ ッ ク します。

2. AXI Central Direct Memory Access を検索し、 ダブルク リ ッ クして追加します。

IP の AXI マスター (SG エンジンおよび AXI4 データ マスター ) は、 このセクシ ョ ンでのみ接続されます。

3. インスタンス (axi_cdma_0) をダブルク リ ッ ク し、 IP をコンフ ィギュレーシ ョ ンします。

4. MHS ファ イルを開いて、 設定をできるだけ同じよ うにします。 パラ メーターが追加、 修正、 削除されている可能性があ り ます。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 63UG911 (v2014.3) 2014 年 10 月 1 日

Page 64: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

5. [OK] をク リ ッ ク します。

注記 : パラ メーターの検証については、「Zynq プロセッサ ベース デザインの AXI マスターおよびスレーブの XPSおよび IP インテグレーター デザイン間でのパラ メーター検証」 を参照して ください。

6. MHS ファ イルの AXI マスター インスタンス セクシ ョ ン (axi_cdma_0 インスタンス) で、 BUS_INTERFACEM_AXI = AXI_INTERCONNECT_HP0_SLAVE の行を検索します。

注記 : M_AXI の名前が少し異なっている可能性があ り ます。

7. その行の式の右側 (BUS_INTERFACE M_AXI = AXI_INTERCONNECT_HP0_SLAVE となっている場合は AXI_INTERCONNECT_HP0_SLAVE) を検索し、 processing_system_7 インスタンスに ト レースします。

たとえば、 BUS_INTERFACE S_AXI_HP0 = AXI_INTERCONNECT_HP0_SLAVE の場合、 この AXI マスター インターフェイスは S_AXI_HP0 インターフェイスに接続されます。

Zynq-7000 プロセッサの AXI マスター インターフェイスは、MHS での接続によって、S_AXI_GP0、S_AXI_GP1、S_AXI_HP0、 S_AXI_HP1、 S_AXI_HP2、 S_AXI_HP3、 S_AXI_ACP に接続できます。

8. Zynq デバイスの AXI スレーブ インターフェイスには関連する AXI Interconnect がないので (このインターフェイスで最初に [Run Connection Automation] を実行する場合など)、 Zynq-7000 プロセッサの AXI スレーブ インターフェイスに対して [Run Connection Automation] を実行します。

この例の場合、 AXI スレーブ インターフェイスは /processing_system_7_0/S_AXI_HP0 です。

AXI マス ターの /axi_cdma_0/M_AXI または /axi_cdma_0/M_AXI_SG が [Run Connection Automation] ダイアログボッ クスに表示されます。

9. /axi_cdma_0/M_AXI を選択します。

10. [OK] をク リ ッ ク します。

11. Zynq AXI スレーブ インターフェイスに AXI Interconnect インスタンスが含まれない場合は、 AXI マスター インターフェイス (/axi_cdma_0/M_AXI_SG など) に対して [Run Connection Automation] を実行します。

12. /processing_system7_0/S_AXI_HP0 を選択します。

13. [OK] をク リ ッ ク します。

コネクシ ョ ン オート メーシ ョ ンによ り、 AXI Interconnect の axi_mem_intercon インスタンスが作成または修正されます。

その他のクロ ッ クおよびリセッ トの場合、 およびその他の内部または外部信号の場合は、 接続方法は XPS デザインに使用していた方法と同様です。

14. 可能であれば、 外部インターフェイスに対して [Run Connection Automation] を実行します。

割り込みの接続

重要 : 割り込みサポートの必要な各 IP に対して、 その IP の GUI で割り込みサポートのパラ メーターがオンになっているこ とを確認して ください。

1. ブロッ ク図の任意の場所を右ク リ ッ ク し、 [Add IP] をク リ ッ ク します。

2. Concat を検索し、 ダブルク リ ッ ク して追加します。

3. xlconcat_0 インスタンスをダブルク リ ッ ク します。

4. ポート数をデザインの割り込み数と同じになるよ うに変更します。

5. [OK] をク リ ッ ク します。

6. xlconcat_0 インスタンスの dout[1:0] を processing_system7_0 インスタンスの IRQ_F2P[0:0] に接続します。

7. IP からの割り込みを xlconcat_0 InX 入力ポートに接続します。 たとえば、 axi_gpio_0 インスタンスの ip2intc_irptを xlconcat_0 の In0[0:0] に接続します。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 64UG911 (v2014.3) 2014 年 10 月 1 日

Page 65: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

この方法を使用して、 デザインの各割り込みを接続して ください。

ヒン ト : In0[0:0] ポートの割り込み優先度が一番低く、 MHS では processing_system_7 の PORT IRQ_F2P に接続されている一番左の信号の割り込み優先度が一番低くな り ます。

XPS デザインに一致させるためのアドレスのカスタマイズ

デザイン オート メーシ ョ ンによ りアド レスおよびアドレス サイズが設定されるので、 デザインのアドレスに一致させる必要があ り ます。 これによ り、 既存ソフ ト ウェアとの互換性が確実になり ます。

1. テキス ト エディ ターで MHS ファ イルを開き、 ブロ ッ ク デザインの [Address Editor] ビューをク リ ッ ク します。

2. MHS の各 AXI スレーブに対し、PARAMETER C_BASEADDR および PARAMETER C_HIGHADDR を取得します。

a. processing_system7_0/Data の下の AXI スレーブの [Offset Address] セルに C_BASEADDR の値を入力します。

b. C_HIGHADDR が AXI スレーブの [High Address] 列と一致しているこ とを確認してください。

一致していない場合は、 AXI スレーブの [Range] 列を調整して、 Zynq-7000 AXI マスター インターフェイス(M_AXI_GP0/M_AXI_GP1) の C_HIGHADDR と一致する よ う にし ます。 ア ド レ ス空間は、 それぞれ0x40000000 ~ 0x7FFFFFFF および 0x80000000 ~ 0xBFFFFFFF です。

注記 : Zynq デバイスの AXI スレーブ インターフェ イ スの場合、 ア ド レス範囲は自動的に 0x00000000 ~0x3FFFFFFF に設定されます。

スト ラテジ

デフォル ト では、 [Interconnect Optimization Strategy] は [Custom] に設定されています。 これによ り、 各マスター /スレーブ インターフェイスにレジスタ スライスのデータ FIFO を追加できるよ うにな り ますが、 フル ク ロスバーのサポートが有効になり ます (マスターにすべてのスレーブに対する直接接続あ り )。AXI マスターの送信は 2 に設定され、AXI スレーブの受信は 4 に設定されます。

重要 : XPS デザインにスパース ク ロスバー サポート (特定のマスターのスレーブ サブセッ トへのアクセス ) が含まれる場合、 この機能は IP インテグレーターでは使用できません。 IP インテグレーターでは、 各マスターがすべてのスレーブに接続されます。

デザインの一部が AXI4-Lite スレーブ ペリ フェラルにのみ接続される場合は、 [Minimize Area] ス ト ラテジを使用してください。

これによ り、 AXI Interconnect は共有ア ク セス モード (マス ターがス レーブへの接続を共有) になるので、 AXIInterconnect のシステム リ ソースが削減され、 AXI マスターの送信が 1 に、 AXI スレーブの受信が 1 に設定されます。このオプシ ョ ンは、 processing_system7_0_axi_periph または processing_system7_0_axi_periph_1 インスタンスで使用して ください。

重要 : AXI MIG または AXI ブロ ッ ク RAM などの高速 AXI スレーブが AXI Interconnect インスタンスに接続されている場合は、 ス ト ラテジは [Custom] のままにしておきます。

デザインの高パフォーマンス部分には、 [Maximize Performance] ス ト ラテジを使用します。 これによ り、 各マスターに深さ 512 の FIFOが追加され、 AXI マスターの送信が 4、 AXI スレーブの受信が 4 に設定されます。 すべての AXIマスターで Packet AXI Data FIFO が必要でない場合は、 axi_mem_intercon インスタンスを [Custom] のままにしておきます。 これらについては、 次のセクシ ョ ンで説明します。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 65UG911 (v2014.3) 2014 年 10 月 1 日

Page 66: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

AXI マスターのレジスタ スライスおよび AXI データ FIFO の設定

MHS ファ イルを開き、 各 AXI マスターの [Enable Register Slice]/[Enable Data FIFO] を設定します。 正しい設定を確認するには、 表 5-4 に示す情報を使用して MHS ファ イルを検索して ください。 検索する際は、 <intf_name> の部分を関連する BUS_INTERFACE の名前に置き換えます。

た と えば、 BUS_INTERFACE M_AXI_MM2S の <intf_name> は M_AXI_MM2S、 BUS_INTERFACE M_AXI_GP0 の<intf_name> は M_AXI_GP0 です。

注記 :

° IP ごとに複数の AXI マスター インターフェイスがある場合もあ り ます。

° AXI マスターは、 AXI Interconnect のスレーブに接続されます。 [Slave Interfaces] タブで選択して ください。

AXI スレーブのレジスタ スライスおよび AXI データ FIFO の設定

MHS ファ イルを開き、 各 AXI スレーブの [Enable Register Slice]/[Enable Data FIFO] を設定します。 正しい設定を確認するには、 表 5-5 に示す情報を使用して MHS ファ イルを検索して ください。

検索する際は、<intf_name> の部分を関連する BUS_INTERFACE の名前に置き換えます。たとえば、BUS_INTERFACES_AXI の <intf_name> は S_AXI、 BUS_INTERFACE S_AXI_HP0 の <intf_name> は S_AXI_HP0 です。

注記 :

° IP ごとに複数の AXI スレーブ インターフェイスがある場合もあ り ます。

° AXI スレーブは、 AXI Interconnect のマスターに接続されます。 [Master Interfaces] タブで選択してください。

表 5-4 : Zynq デバイスを使用した場合の AXI マスターのインターコネク ト設定

パラ メーター あり なし

C_INTERCONNECT_<intf_name>_AR_REGISTER C_INTERCONNECT_<intf_name>_R_REGISTER C_INTERCONNECT_<intf_name>_AW_REGISTERC_INTERCONNECT_<intf_name>_W_REGISTER C_INTERCONNECT_<intf_name>_B_REGISTER

SXX_AXI : [Enable RegisterSlice] - [Auto]

SXX_AXI : [Enable RegisterSlice] - [None]

C_INTERCONNECT_<intf_name>_WRITE_FIFO_DEPTH C_INTERCONNECT_<intf_name>_READ_FIFO_DEPTH

パラ メーター = 32SXX_AXI : [Enable Data FIFO] - [32 deep]

パラ メーター = 512SXX_AXI : [Enable Data FIFO] - [512 deep]

SXX_AXI : [Enable Data FIFO] - [None]

表 5-5 : Zynq デバイスを使用した場合の AXI スレーブのインターコネク ト設定

パラ メーター あり なし

C_INTERCONNECT_<intf_name>_AR_REGISTER C_INTERCONNECT_<intf_name>_R_REGISTER C_INTERCONNECT_<intf_name>_AW_REGISTERC_INTERCONNECT_<intf_name>_W_REGISTER C_INTERCONNECT_<intf_name>_B_REGISTER

MXX_AXI : [Enable RegisterSlice] - [Auto]

MXX_AXI : [Enable RegisterSlice] - [None]

C_INTERCONNECT_<intf_name>_WRITE_FIFO_DEPTH C_INTERCONNECT_<intf_name>_READ_FIFO_DEPTH

パラ メーター = 32MXX_AXI : [Enable Data FIFO] - [32 deep]

パラ メーター = 512MXX_AXI : [Enable Data FIFO] - [512 deep]

MXX_AXI : [Enable Data FIFO] - [None]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 66UG911 (v2014.3) 2014 年 10 月 1 日

Page 67: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

デザインの検証

[Validate Design] ボタンをク リ ッ クする と、信号、接続、 またはその他の問題など、デザインの問題を修正するのに役立ちます。

Zynq プロセッサ ベース デザインの AXI マスターおよびスレーブの XPS および IP インテグレーター デザイン間でのパラメーター検証

1. XPS デザインのネッ ト リ ス トが生成されているこ とを確認します。 これによ り、 <xps_project>/hdl ディ レク ト リに各 IP の最上位ラ ッパー ファ イルが作成されます。

たとえば、 <xps_project>/hdl /system_leds_4bits_wrapper.vhd には EDK デザインの AXI_GPIO のラ ッパーが含まれます。 ラ ッパーの最も重要な部分は、 次に示すよ うなパラ メーターへのマップです。

C_GPIO_WIDTH => 4,C_GPIO2_WIDTH => 32,C_ALL_INPUTS => 0,C_ALL_INPUTS_2 => 0,C_INTERRUPT_PRESENT => 1,C_DOUT_DEFAULT => X"00000000",C_TRI_DEFAULT => X"ffffffff",C_IS_DUAL => 0,C_DOUT_DEFAULT_2 => X"00000000",C_TRI_DEFAULT_2 => X"ffffffff"

2. Tcl コンソールで IP のプロパティを取得します。 たとえば、 Tcl コンソールに次のコマンドを入力します。

report_property [get_bd_cells axi_gpio_0]

Tcl コンソールのレポートでは、パラ メーターを示す C_ が含まれる行が重要です。次に、比較に使用される行の例を示します。

CONFIG.C_ALL_INPUTS string false true 0CONFIG.C_ALL_INPUTS_2 string false true 0CONFIG.C_ALL_OUTPUTS string false true 1CONFIG.C_ALL_OUTPUTS_2 string false true 0CONFIG.C_DOUT_DEFAULT string false true 0x00000000CONFIG.C_DOUT_DEFAULT_2 string false true 0x00000000CONFIG.C_GPIO2_WIDTH string false true 32CONFIG.C_GPIO_WIDTH string false true 4CONFIG.C_INTERRUPT_PRESENT string false true 1CONFIG.C_IS_DUAL string false true 0CONFIG.C_TRI_DEFAULT string false true 0xFFFFFFFFCONFIG.C_TRI_DEFAULT_2 string false true 0xFFFFFFFF

パラ メ ーターを比較する と、 IP イ ンテグ レーターの最新の AXI GPIO IP の新しいパラ メ ーターであ るC_ALL_OUTPUTS と C_ALL_OUTPUTS_2 以外のパラ メーター値はすべて同じであるこ とがわかり ます。

3. これらのパラ メーターを設定する際は、 追加されたパラ メーターやパラ メーター値の違いを IP の製品仕様で確認して ください。

パラ メーターへの変更が必要な場合 :

ブロッ ク図で IP インスタンスをダブルク リ ッ ク し、 パラ メーターを変更して XCI ファ イルをアップデート します。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 67UG911 (v2014.3) 2014 年 10 月 1 日

Page 68: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

GUI にパラ メーターがない場合 :

1. ブロッ ク図で IP を選択します。

2. [Block Properties] ビューで [Properties] タブをク リ ッ ク します。

3. [CONFIG] を展開表示し、 パラ メーター値を入力します。

4. report_property コマンドを再実行し、 必要に応じて変更します。

5. [Validate Design] をク リ ッ ク し、 デザインに問題がないこ とを確認します。

デザインの完成

1. [Sources] ビューで design_1 を右ク リ ッ ク し、 [Generate Output Products] をク リ ッ ク します。

2. [Generate] をク リ ッ ク します。

3. 生成が完了したら、 [Sources] ビューで design_1 を右ク リ ッ ク し、 [Create HDL Wrapper] をク リ ッ ク します。

4. [OK] をク リ ッ ク し、 最上位ラ ッパーが Vivado Design Suite で管理されるよ うにします。

5. ボードに関連しないピンを固定する制約や、 MIG 用の追加制約を含む XDC ファ イルを作成します。 たとえば、XDC ファ イルによ り MIG のリセッ ト ピンの位置と DCI_CASCADE 設定を固定できます。

MicroBlaze プロセッサ ベース デザインの移行

システム基盤 (MicroBlaze、 AXI_Interconnect、 Clk_Wiz、 Proc_Sys_Reset) の生成

システム基盤 (MicroBlaze、 AXI_Interconnect、 Clk_Wiz、 Proc_Sys_Reset) を生成するには、 次の手順に従います。

1. 必要なボードまたはプログラマブル デバイス用の Vivado プロジェク ト を作成します。

2. Flow Navigator の [IP Integrator] → [Create Block Design] をク リ ッ ク します。

3. デザイン名 (design_1 など) を入力します。

ブロッ ク デザインが生成されます。

重要 : MIG がデザインに含まれる場合は、 次に進む前に 71 ページの 「AXI MIG の移行」 の手順に従ってください。

MicroBlaze インターフェイス/ベース システムのコンフ ィギュレーシ ョ ンの決定

1. テキス ト エディ ターで XPS デザインの MHS ファ イルを開きます。

2. MicroBlaze でどのインターフェイスが使用されているかを判断します。 MHS ファ イルで BUS_INTERFACEILMB、BUS_INTERFACE DLMB、BUS_INTERFACE M_AXI_DP、BUS_INTERFACE M_AXI_DC、BUS_INTERFACEM_AXI_IC、 BUS_INTERFACE DEBUG、 BUS_INTERFACE INTERRUPT を検索します。

通常、 Base System Builder (BSB) で構築された MicroBlaze デザインには、 ローカル ブロ ッ ク RAM 用の LMB インターフェイス、 MicroBlaze データ ポート インターフェイス ( AXI GPIO などのスレーブ レジスタ用)、デバッグインターフェイス (MDM IP の C_USE_UART パラ メーターに基づく UART を含むまたは含まない MDM を使用)、および割り込みサポート (AXI_INTC スレーブ IP を使用) が含まれます。BSB では、 これ以外にも clock_generatorおよび proc_sys_reset IP でサポート されるクロ ッ クおよびリセッ ト も追加されます。

さ らに複雑なインターフェイスの場合は、 MicroBlaze 命令キャ ッシュ ポー ト と MicroBlaze データ キャ ッシュポートが使用されます。 通常、 これらのインターフェイスは AXI MIG または AXI ブロ ッ ク RAM (AXI4 スレーブ) の高パフォーマンス部分に使用されます。 C_CACHE_BYTE_SIZE および C_DCACHE_BYTE_SIZE パラ メーターは、 MicroBlaze MHS インスタンスのキャ ッシュのサイズを決定します。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 68UG911 (v2014.3) 2014 年 10 月 1 日

Page 69: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

3. 表 5-6 を参照し、 MicroBlaze インスタンスの MHS ファ イルのインターフェイスに基づいて、 MicroBlaze ブロ ック オート メーシ ョ ンを設定します。

MicroBlaze のブロック オート メーシ ョ ン

MicroBlaze のブロ ッ ク オート メーシ ョ ンは、 BSB と同様にデザインの一部を自動的に追加できる機能です。 オートメーシ ョ ンは次を実行します。

• MicroBlaze キャ ッシュ インターフェイスをイネーブルにします (キャ ッシュ サイズのみを設定し、その他の接続は設定されない)。

• 次を追加します。

° ローカル メモ リ (LMB)

° デバッグ モジュール (UART デバッグ モジュールあ り またはなし )

° AXI4-Lite スレーブ ペリ フェラル用の AXI Interconnect

° 割り込みコン ト ローラー (AXI INTC)

° ほかの IP からのクロ ッ ク接続

° デザインの proc_sys_reset IP を使用した外部クロ ッ クまたは clk wiz、 およびリセッ ト

MicroBlaze のブロック オート メーシ ョ ンの実行

1. ブロッ ク デザインに MicroBlaze IP を追加します。

2. [Run Block Automation] をク リ ッ ク し、 MicroBlaze_0 を選択します。

3. [Run Block Automation] ダイアログ ボッ クスで、 69 ページの表 5-6 の情報に基づいて設定します。

ヒン ト : AXI4-Lite スレーブ ペリ フェラルの AXI Interconnect インスタンスは、デバッグ用に割り込みまたは UART がオンになっている場合は microblaze_0_axi_periph と呼ばれます。 [Run Connection Automation] を実行する と、 最初のAXI スレーブ (通常は AXI4-Lite インターフェイス ) を接続する際に、 この AXI Interconnect インスタンスが追加されます。

表 5-6 : XPS の MicroBlaze デザインで使用されるバス インターフェイス

インターフェイス あり なし

BUS_INTERFACE ILMBBUS_INTERFACE DLMB

[Local Memory] : MHS に CNTLR インスタンスが含まれる場合、 LMB BRAM の C_BASEADDR/C_HIGHADDR に基づいて KB サイズを選択

[Local Memory] : [None]

BUS_INTERFACE DEBUG MHS の MDM インスタンスで C_USE_UART = 0

[Debug Module] : [Debug Only]

MHS の MDM イ ン ス タ ン スでC_USE_UART = 1

[Debug Module] : [Debug & UART]

[Debug Module] : [None]

BUS_INTERFACE M_AXI_DP [Peripheral AXI Port] : [Enabled] [Peripheral AXI Port] : [Disabled]

BUS_INTERFACE M_AXI_ICBUS_INTERFACE M_AXI_DC

[Cache Configuration] :

MHS ファ イルの MicroBlaze インスタンスに対して、C_CACHE_BYTE_SIZE またはC_DCACHE_BYTE_SIZE に基づいてキャッシュ サイズ (KB) を選択

[Cache Configuration] : [None]

BUS_INTERFACE INTERRUPT [Interrupt Controller] : オン [Interrupt Controller] : オフ

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 69UG911 (v2014.3) 2014 年 10 月 1 日

Page 70: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

4. [Clock Connection] には、XPS での接続によって、[New Clocking Wizard] または [New External Port] を選択します。

5. MIG が使用される場合は、 次を実行します。

a. MIG をインスタンシエート してコンフ ィギュレーシ ョ ンします。

b. MicroBlaze ク ロ ッ ク用のクロ ッ ク (通常は 100MHz クロ ッ ク ) を選択します。

Clocking Wizard/プロセッサ システム リセッ トの使用

重要 : このセクシ ョ ンの手順は、 AXI MIG がデザインに含まれていない場合にのみ実行して ください。

Clocking Wizard のインターフェイスの接続

1. clk_wiz_1 をダブルク リ ッ ク します。

デザインに合わせて、 次に示すボード フローまたは非ボード フローの手順に従います。

ボード フロー

2. [Generate Board based IO Constraints] をオンにします。

3. DIFF_CLK_IN1 に sys_diff_clock を選択します。

4. EXT_RESET_IN のリセッ ト を選択します。

5. clk_wiz_1 インスタンスの CLK_IN1_D を右ク リ ッ ク し、 [Make External] をク リ ッ ク します。

6. reset を右ク リ ッ ク し、 [Make Active] をク リ ッ ク します。

7. reset 外部ピンを proc_sys_reset_1 の ext_reset_in に接続します。

非ボード フロー

使用中のボードに基づいて、 Clocking Wizard を手動で設定します。

2. clk_wiz_1 インスタンスの CLK_IN1_D を右ク リ ッ ク し、 [Make External] をク リ ッ ク します。

3. reset を右ク リ ッ ク し、 [Make Active] をク リ ッ ク します。

4. reset 外部ピンを proc_sys_reset_1 の ext_reset_in に接続します。

AXI MIG/プロセッサ システム リセッ トの使用

重要 : このセクシ ョ ンの手順は、 AXI MIG がデザインに含まれている場合にのみ実行して ください。

AXI MIG のインターフェイスの接続

1. [Run Connection Automation] をク リ ッ ク し、 /mig_7series_0/S_AXI をク リ ッ ク します。

2. [/microblaze_0 (Cached)] または [/microblaze_0 (Periph)] を次のよ うに選択します。

a. [/microblaze_0 (Cached)] を選択できる場合は選択します。

b. [/microblaze_0 (Cached)] を選択できない場合は、 デザインにキャ ッシュは含まれていません。 その場合は[/microblaze_0 (Periph)] を選択します。

注記 : [/microblaze_0 (Cached)] を選択する と、 axi_mem_intercon とい う別の AXI Interconnect インスタンスが生成されます。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 70UG911 (v2014.3) 2014 年 10 月 1 日

Page 71: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

AXI MIG の移行

重要 : AXI MIG を移行する前に、 XPS プロジェク トに XPS 14.7 を使用して生成したネッ ト リ ス トが含まれていることを確認して ください。

1. <EDK_PROJECT>/__xps/<MIG_INST_NAME>/mig.prj を別のディ レク ト リにコピーします。

2. テキス ト エディ ターで mig.prj ファ イルを開きます。

3. <TargetFPGA> セクシ ョ ンが Vivado プロジェク トのデバイス設定と一致しているかど うかを確認します。 一致していない場合は、 適切なパッケージとスピードに変更します。

4. DDR3 を使用している場合は、 ddr_ をすべて ddr3_ に変更します。

5. DDR2 を使用している場合は、 ddr_ をすべて ddr2_ に変更します。

ブロック デザインへの MIG の追加

1. ブロッ ク デザインに Memory Interface Generator IP を追加します。

2. mig_7series_0 インスタンスをク リ ッ ク します。

AXI MIG のコンフ ィギュレーシ ョ ン

1. [Block Properties] ビューで [Properties] タブをク リ ッ ク し、 [CONFIG] を展開表示して [XML_INPUT_FILE] までスク ロール ダウンします。

2. 修正した mig.prj ファ イルの絶対パスを指定し、 Enter キーを押します。

XML ファ イルが読み込まれ、 PRJ ファ イルが正し くない場合はエラー メ ッセージが表示されます。

ヒン ト : この操作が完了するまでには、 約30 秒程かかり ます。

3. ブロッ ク図で mig_7series_0 インスタンスをダブルク リ ッ ク し、 MIG 設定を確認します。

メモ リ コン ト ローラーのクロ ッキング/ リセッ トは IP で処理されるので、MIG でデザインの入力クロ ッ ク、出力クロッ ク、 およびリセッ ト をコンフ ィギュレーシ ョ ンする必要があ り ます。

4. [Options for Controller 0] ページの設定 (メモ リ速度、メモ リ選択など) が正しいかど うかを確認します。設定がボード メモ リに対して正し くない場合は、 変更します。

5. [AXI Parameter Options C0] ページの設定が正しいかど うかを確認します。 [Narrow Burst Support] が XPS デザインに基づいて正し く設定されているかど うかを確認します。 正しいかど うかがわからない場合は、 このパラ メーターを 1 に設定します。

6. [Memory Options for Controller 0] ページで入力クロ ッ ク と リセッ ト を設定します。

a. [Input Clock Period] に、ボード タイプに基づいて差動またはシングルエンド ク ロ ッ ク入力周波数を選択します。 KC705 ボードの場合、 正しい設定は [5000 ps (200 MHz)] になり ます。

b. 既存の XPS デザインに MicroBlaze またはその他の IP が含まれる場合は、追加のファブ リ ッ ク ク ロ ッ クが必要です。 [Select Additional Clocks] をオンにします。

注記 : 通常、 元の XPS デザインが BSB を使用して作成されている場合、 追加クロ ッ クは必要あ り ません。

c. MicroBlaze には、 通常 100MHz のクロ ッ クが含まれます。 [Clock 0] に [10000 ps (100.00000 MHz)] を選択します。 これは、 デザインの MicroBlaze 部分に使用されます。

d. メモ リ コン ト ローラーのその他のオプシ ョ ンを確認します。

e. [Next] をク リ ッ ク します。

[System Clock] で、 メモ リ コン ト ローラーに入力クロッ クを供給する方法を選択します。 KC705 ボードでは差動クロッ ク入力が使用されるので、

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 71UG911 (v2014.3) 2014 年 10 月 1 日

Page 72: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

7. [System Clock] に [Differential] を選択します。

基準クロッ クは、 200MHz のクロッ クを生成できる場合は内部 MMCM から生成できます。

8. [Reference Clock] に [Use System Clock] を選択します。

9. [System Reset Polarity] を、 ボード タイプに基づいて [ACTIVE HIGH] または [ACTIVE LOW] に設定します。

a. 使用しているボードに適した設定を選択します。 KC705 の場合は、 [ACTIVE HIGH] に設定します。

b. メモ リ コン ト ローラーのその他のオプシ ョ ンを確認します。

c. [Next] をク リ ッ ク します。

10. 設定を確認しながら、 [Pin/Bank Selection Mode] ページまで [Next] をク リ ッ ク し続けます。

a. [Pin/Bank Selection Mode] ページで [Fixed Pin Out] をオンにし、 [Next] をク リ ッ ク します。

メモ リに対する MIG 設定が正しければ、 ピン配置は既に定義されており、 プロジェク ト ファ イルは正し く修正されています。

b. [Validate] をク リ ッ ク します。

c. ピン配置が正しいこ とを確認したら、INFO メ ッセージが表示されている場合でも、[OK] をク リ ッ ク します。

d. [Next] をク リ ッ ク します。

11. [System Signals Selection] ページで次を実行します。

a. ボードで使用される sys_clk_p/n ピンを選択します。 KC705 では、 バンク番号 33 のピン AD12/AD11 が使用されます。 clk_ref は既に内部信号に接続されています。

b. デザインに基づき、 必要に応じてステータス信号を接続します。

12. メモ リ モデルの使用許諾契約が表示されるまで、 [Next] をク リ ッ ク し続けます。

a. メモ リ モデルの使用許諾契約で [Accept] をオンにし [Next] を 2 回ク リ ッ ク します。

b. [Generate] をク リ ッ ク します。

AXI MIG インターフ ェイスの接続

ブロッ ク図で次のインターフェイスを右ク リ ッ ク し、 [Make External] をク リ ッ ク します。

• SYS_CLK

• sys_rst

• DDR3

これで、 すべての DDR3 信号、 ク ロ ッ クおよびリセッ トが最上位ボード ピンに接続されます。

AXI MIG を残りのデザインに接続する方法は、 69 ページの 「MicroBlaze のブロ ッ ク オート メーシ ョ ン」 を参照してください。

ベース デザインへの IP の追加

このセクシ ョ ンでは、 デザインに AXI IP を追加する方法を説明します。

低速ペリフ ェラル (AXI4-Lite) の追加

1. 同等の IP をブロ ッ ク図に追加します。 AXI GPIO の場合、 次を実行します。

a. ブロッ ク図の任意の場所を右ク リ ッ ク し、 [Add IP] をク リ ッ ク します。

b. AXI GPIO を検索し、 ダブルク リ ッ ク して追加します。

2. インスタンス (axi_gpio_0 など) をダブルク リ ッ クし、 IP をコンフ ィギュレーシ ョ ンします。 MHS を開き、 設定ができるだけ同じになるよ うにします。 パラ メーターが追加、 修正、 削除されている可能性があり ます。 可能であれば、 ボード (led_8bits など) に基づいてペリ フェラル IP 設定 (ボード ベースの I/O 制約) を適用します。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 72UG911 (v2014.3) 2014 年 10 月 1 日

Page 73: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

3. [OK] をク リ ッ ク します。

注記 : パラ メーターの検証については、 「MicroBlaze プロセッサ ベース デザインの AXI マスターおよびスレーブのXPS および IP インテグレーター デザイン間でのパラ メーター検証」 を参照して ください。

4. IP のスレーブ インターフェイスに対して [Run Connection Automation] をク リ ッ ク してコネクシ ョ ン オート メーシ ョ ンを実行します (/axi_gpio_0/S_AXI インターフェイスなど)。

5. 適切な AXI Interconnect インスタンスに接続します。 これは、 microblaze_0_axi_periph インスタンスを使用した/microblaze_0 (Periph) になり ます。

6. その他のクロッ ク、 リセッ ト 、 または信号を接続します。 外部信号の接続方法は、 XPS デザインで使用した方法と同様です。 可能であれば、 外部イ ン ターフ ェ イ スに対し て [Run Connection Automation] を実行し ます(/axi_gpio_0/GPIO インターフェイスなど)。

高速ペリフ ェラル (AXI4) の追加

1. 同等の IP をブロ ッ ク図に追加します。 たとえば AXI ブロ ッ ク RAM を追加するには、 次を実行します。

a. ブロッ ク図の任意の場所を右ク リ ッ ク し、 [Add IP] をク リ ッ ク します。

b. AXI BRAM Controller を検索し、 ダブルク リ ッ ク して追加します。

2. インスタンス (axi_bram_ctrl_0 など) をダブルク リ ッ ク し、 IP をコンフ ィギュレーシ ョ ンします。

3. MHS を開き、 設定ができるだけ同じになるよ うにします。 パラ メーターが追加、 修正、 削除されている可能性があ り ます。

4. [OK] をク リ ッ ク します。

注記 : パラ メーターの検証については、 「MicroBlaze プロセッサ ベース デザインの AXI マスターおよびスレーブのXPS および IP インテグレーター デザイン間でのパラ メーター検証」 を参照して ください。

5. IP の AXI マスターまたは AXI スレーブ インターフェイスに対して [Run Connection Automation] をク リ ッ ク してコネクシ ョ ン オート メーシ ョ ンを実行します (/axi_bram_ctrl_0/S_AXI インターフェイスなど)。

6. 適切な AXI Interconnect インスタンスに接続します。 これは、 axi_mem_intercon インスタンスを使用した/microblaze_0 (Cached) になり ます。

7. その他のクロッ ク、 リセッ ト、 または信号を接続します。

外部信号の接続方法は、 XPS デザインで使用した方法と同様です。

8. 可能であれば、 外部インターフェイスに対して [Run Connection Automation] を実行します (/axi_bram_ctrl_0/BRAM_PORTA または /axi_bram_ctrl_0/BRAM_PORTB イ ン ターフ ェ イ スなど)。 通常は、BRAM_PORTA および RAM_PORTB に対して True Dual Port RAM が使用されます。

割り込みの接続

割り込みサポートの必要な各 IP に対して、割り込みサポートのパラ メーターがオンになっているこ とを確認します。

1. ブロッ ク オート メーシ ョ ンで作成された microblaze_0_xlconcat インスタンスをダブルク リ ッ ク します。

2. ポート数をデザインの割り込み数と同じになるよ うに変更します。

3. [OK] をク リ ッ ク します。

4. IP からの割り込みを microblaze_0_xlconcat InX 入力ポートに接続します。 たとえば、 axi_gpio_0 インスタンスのip2intc_irpt を microblaze_0_xlconcat の In0[0:0] に接続します。

5. デザインの各割り込みに対して手順 4 を繰り返します。

注記 : In0[0:0] ポートの割り込み優先度が一番低く、MHS では axi_intc インスタンスの PORT INTR に接続されている一番左の信号の割り込み優先度が一番低くな り ます。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 73UG911 (v2014.3) 2014 年 10 月 1 日

Page 74: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

XPS デザインに一致させるためのアドレスのカスタマイズ

重要 : デザイン オート メーシ ョ ンによ りアド レスおよびアドレス サイズが設定されるので、このセクシ ョ ンの手順を実行してデザインのアドレスに一致させる必要があ り ます。 これによ り、既存ソフ ト ウェアとの互換性が確実になります。

1. テキス ト エディ ターで MHS ファ イルを開き、 ブロ ッ ク デザインの [Address Editor] ビューをク リ ッ ク します。

2. MHS の各 AXI スレーブまたは LMB ブロ ッ ク RAM に対して次を取得してください。

° PARAMETER C_BASEADDR

° PARAMETER C_HIGHADDR

3. AXI スレーブまたは LMB ブロ ッ ク RAM の [Offset Address] セルに C_BASEADDR の値を入力します。

4. C_HIGHADDR が AXI スレーブまたは LMB ブロ ッ ク RAM の [High Address] 列と一致しているこ とを確認します。 一致していない場合は、 AXI スレーブまたは LMB ブロ ッ ク RAM の [Range] 列を調整して、 C_HIGHADDRに一致するよ うにします。

microblaze_0/Data と microblaze_0/Instruction など、 1 つの AXI スレーブに複数の AXI マスターが接続されている場合、 複数の AXI マスターの [Offset Address] でアドレスを変更します。

スト ラテジ

デフォル ト では、 [Interconnect Optimization Strategy] は [Custom] に設定されています。 これによ り、 各マスター /スレーブ インターフェイスにレジスタ スライスのデータ FIFO を追加できるよ うにな り ますが、 フル ク ロスバーのサポートが有効になり (マスターにすべてのスレーブに対する直接接続あ り )、AXI マスターの送信は 2 に設定され、AXIスレーブの受信は 4 に設定されます。

重要 : XPS デザインにスパース ク ロスバー サポート (特定のマスターのスレーブ サブセッ トへのアクセス ) が含まれる場合、 この機能は IP インテグレーターでは使用できません。 IP インテグレーターでは、 各マスターがすべてのスレーブに接続されます。

デザインの一部が AXI4-Lite スレーブ ペリ フェラルにのみ接続される場合は、 [Minimize Area] ス ト ラテジを使用してください。 これによ り、 AXI Interconnect は共有アクセス モード (マスターがスレーブへの接続を共有) になるので、AXI Interconnect のシステム リ ソースが削減され、 AXI マスターの送信が 1 に、 AXI スレーブの受信が 1 に設定されます。 このオプシ ョ ンは、 microblaze_0_axi_periph インスタンスで使用して ください。

デザインの高パフォーマンス部分には、 [Maximize Performance] ス ト ラテジを使用します。 これによ り、 各マスターに深さ 512 の FIFOが追加され、 AXI マスターの送信が 4、 AXI スレーブの受信が 4 に設定されます。 すべての AXIマスターで Packet AXI Data FIFO が必要でない場合は、 axi_mem_intercon インスタンスを [Custom] のままにしておきます。

重要 : IP インテグレーターの AXI Interconnect では、 スパース接続はサポート されていません。

AXI マスターのレジスタ スライスおよび AXI データ FIFO の設定

1. MHS ファ イルを開き、 表 5-7 のパラ メーターを検索して、 各 AXI マスターの [Enable Register Slice]/[Enable DataFIFO] を設定します。

検索する際は、 <intf_name> の部分を関連する BUS_INTERFACE の名前に置き換えます。 たとえば、BUS_INTERFACE M_AXI_MM2S の <intf_name> は M_AXI_MM2S になり ます。

ヒン ト : AXI マスターは、 AXI Interconnect のスレーブに接続されます。 [Slave Interfaces] タブで選択してください。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 74UG911 (v2014.3) 2014 年 10 月 1 日

Page 75: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

AXI スレーブのレジスタ スライスおよび AXI データ FIFO の設定

1. MHS ファ イルを開き、 75 ページの表 5-8 のパラ メーターを検索して、 各 AXI マスターの [Enable RegisterSlice]/[Enable Data FIFO] を設定します。

検索する際は、 <intf_name> の部分を関連する BUS_INTERFACE の名前に置き換えます。 たとえば、BUS_INTERFACE S_AXI の <intf_name> は S_AXI になり ます。

ヒン ト : AXI スレーブは、 AXI Interconnect のマスターに接続されます。 [Master Interfaces] タブで選択して ください。

デザインの検証

1. [Validate Design] ボタンをク リ ッ ク します。

2. デザインに必要な変更を加えます。 変更には、 信号接続やその他の問題が含まれるこ とがあ り ます。

表 5-7 : MicroBlaz デバイスを使用した場合の AXI マスターのインターコネク ト設定

パラ メーター あり なし

C_INTERCONNECT_<intf_name>_AR_REGISTER C_INTERCONNECT_<intf_name>_R_REGISTER C_INTERCONNECT_<intf_name>_AW_REGISTERC_INTERCONNECT_<intf_name>_W_REGISTER C_INTERCONNECT_<intf_name>_B_REGISTER

SXX_AXI : [Enable RegisterSlice] - [Auto]

SXX_AXI : [Enable RegisterSlice] - [None]

C_INTERCONNECT_<intf_name>_WRITE_FIFO_DEPTH C_INTERCONNECT_<intf_name>_READ_FIFO_DEPTH

パラ メーター = 32SXX_AXI : [Enable Data FIFO] - [32 deep]

パラ メーター = 512SXX_AXI : [Enable Data FIFO] - [512 deep]

SXX_AXI : [Enable Data FIFO] - [None]

表 5-8 : MicroBlaz デバイスを使用した場合の AXI スレーブのインターコネク ト設定

パラ メーター あり なし

C_INTERCONNECT_<intf_name>_AR_REGISTER C_INTERCONNECT_<intf_name>_R_REGISTER C_INTERCONNECT_<intf_name>_AW_REGISTERC_INTERCONNECT_<intf_name>_W_REGISTER C_INTERCONNECT_<intf_name>_B_REGISTER

MXX_AXI : [Enable Register Slice] - [Auto]

MXX_AXI : [Enable Register Slice] - [None]

C_INTERCONNECT_<intf_name>_WRITE_FIFO_DEPTH C_INTERCONNECT_<intf_name>_READ_FIFO_DEPTH

パラ メーター = 32MXX_AXI : [Enable DataFIFO] - [32 deep]

パラ メーター = 512MXX_AXI : [Enable DataFIFO] - [512 deep]

MXX_AXI : [Enable Data FIFO] - [None]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 75UG911 (v2014.3) 2014 年 10 月 1 日

Page 76: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

MicroBlaze プロセッサ ベース デザインの AXI マスターおよびスレーブの XPS および IP インテグレーター デザイン間でのパラメーター検証

1. XPS デザインのネッ ト リ ス トが生成されているこ とを確認します。 これによ り、 <xps_project>/hdl ディ レク ト リに各 IP の最上位ラ ッパー ファ イルが作成されます。

たとえば、 <xps_project>/hdl /system_leds_8bits_wrapper.vhd には EDK デザインの AXI_GPIO のラ ッパーが含まれます。 ラ ッパーの最も重要な部分は、 次に示すよ うなパラ メーターへのマップです。

C_GPIO_WIDTH => 8,C_GPIO2_WIDTH => 32,C_ALL_INPUTS => 0,C_ALL_INPUTS_2 => 0,C_INTERRUPT_PRESENT => 1,C_DOUT_DEFAULT => X"00000000",C_TRI_DEFAULT => X"ffffffff",C_IS_DUAL => 0,C_DOUT_DEFAULT_2 => X"00000000",C_TRI_DEFAULT_2 => X"ffffffff"

2. Tcl コンソールで次のコマンドを使用して、 IP のプロパティを取得します。

report_property [get_bd_cells axi_gpio_0]

Tcl コンソールのレポートでは、パラ メーターを示す C_ が含まれる行が重要です。次に、比較に使用される行の例を示します。

CONFIG.C_ALL_INPUTS string false true 0CONFIG.C_ALL_INPUTS_2 string false true 0CONFIG.C_ALL_OUTPUTS string false true 1CONFIG.C_ALL_OUTPUTS_2 string false true 0CONFIG.C_DOUT_DEFAULT string false true 0x00000000CONFIG.C_DOUT_DEFAULT_2 string false true 0x00000000CONFIG.C_GPIO2_WIDTH string false true 32CONFIG.C_GPIO_WIDTH string false true 8CONFIG.C_INTERRUPT_PRESENT string false true 1CONFIG.C_IS_DUAL string false true 0CONFIG.C_TRI_DEFAULT string false true 0xFFFFFFFFCONFIG.C_TRI_DEFAULT_2 string false true 0xFFFFFFFF

3. パラ メーターを比較します。

IP イ ンテグレーターの最新の AXI GPIO IP の新しいパラ メ ーターであ る C_ALL_OUTPUTS とC_ALL_OUTPUTS_2 以外のパラ メーター値はすべて同じである こ とがわかり ます。 パラ メーターの追加、 パラメーター値の違い、 これらのパラ メーターの設定に関する情報は、 IP の製品ガイ ドを参照してください。

4. パラ メーターに変更が必要な場合は、 ブロ ッ ク図で IP インスタンスをダブルク リ ッ ク し、 適切なパラ メーターに変更します。

5. パラ メーターが GUI にない場合は、 次を実行します。

a. ブロッ ク図で IP を選択します。

b. [Block Properties] ビューで [Properties] タブをク リ ッ ク し、 [CONFIG] を展開表示してパラ メーター値を入力します。

c. report_property コマンドを再実行し、 必要に応じて変更します。

d. [Validate Design] ボタンをク リ ッ ク して、 デザインに問題がないこ とを確認します。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 76UG911 (v2014.3) 2014 年 10 月 1 日

Page 77: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 5 章 : XPS から IP インテグレーターへの移行

デザインの完成

1. [Sources] ビューで design_1 を右ク リ ッ ク し、[Generate Output Products] をク リ ッ ク して [Generate] をク リ ッ ク します。

2. 生成が完了したら、 [Sources] ビューで design_1 を右ク リ ッ ク し、 [Create HDL Wrapper] をク リ ッ ク します。

3. [OK] をク リ ッ ク し、 最上位ラ ッパーが Vivado Design Suite で管理されるよ うにします。

4. ボードに関連しないピンを固定する制約や、 MIG のリセッ ト ピンの位置および DCI_CASCADE 設定などの追加制約を含む XDC ファ イルを作成します。

5. [Sources] ビューで右ク リ ッ ク し、 [Associate ELF Files] をク リ ッ ク します。

6. [Associated ELF Files] 列の参照ボタン ([…]) をク リ ッ ク し、 [Design Sources] および [Simulation Sources] に適切なELF ファ イルを選択します。

7. Vivado Design Suite でインプ リ メンテーシ ョ ンを実行します。

Pcore の Vivado Design Suite プロジェク トへの移行プロセッサ コア (ISE ツールまたは PlanAhead ツールでパッケージ化された pcore IP) は、 パッケージ化し直すとVivado Design Suite プロジェク トに移行できます。

詳細な手順は、 『Vivado Design Suite チュート リ アル : エンベデッ ド プロセッサ ハード ウェア デザイン』 (UG940) [参照 8] を参照して ください。

ロケーシ ョ ン制約の管理XPS では、 MIG を除くすべての制約は最上位 XDC に含まれていました。

IP インテグレーターでは、 物理制約およびタイ ミ ング制約が各 IP の出力ファイルの一部と して生成されます。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 77UG911 (v2014.3) 2014 年 10 月 1 日

Page 78: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 6 章

ISim Tcl の Vivado シミ ュレータ Tcl への移行

Tcl コマンドの移行表 6-1 に、ISE® シ ミ ュレータ (ISim) の Tcl コマンド と、対応する Vivado® シ ミ ュレータの Tcl コマンドを リ ス ト します。

表 6-1 : ISim Tcl と対応する Vivado Tcl

ISim Tcl Vivado Design Suite Tcl

bp add <file_name> <line_number> add_bp file_name line_number

bp clear remove_bps

bp del <index> [<index>…] remove_bp indexlist…

bp list report_bps

bp remove <file_name> <line_number> remove_bps [get_bps –filter {file_name==<file_name> && line_number == <line_number>}]

describe <name> describe name

dump report_values

dump –p <process_scope_name> report_values process_scope_name/*

isim condition add <condition_expression> <command> [-label <label_name>]

add_condition [-label name] <condition_expression> <command>

isim condition remove [<label_names>…] [<indexlist>…] [-all]

remove_conditions [names_indices_objects…]

isim condition list report_conditions

isim force add <object_name> <value> [-radix <radix>] [-time <time_offset>] { [ -value <value> [-radix <radix>] -time <time_offset>] } <[-cancel <time_offset>] [-repeat <time_offset>]

add_force [-radix radix] [-cancel_after <time_offset>] [-repeat_every <time_duration>] <object_name> {<value> [<time>] } [{ <value> <time>}…]

isim force remove remove_force

isim get <property> Properties: arraydisplaylength, radix, userunit, maxtraceablesize, ltrace, ptrace

get_property property_name [current_sim] Properties: array_display_limit, radix, time_unit, trace_limit, line_tracing, process_tracing

isim set <property> <value> properties: arraydisplaylength, radix, userunit, maxtraceablesize, ltrace, ptrace

set_property property_name property_value [current_sim] Properties: array_display_limit, radix, time_unit, trace_limit, line_tracing, process_tracing

onerror {tcl_commands} onerror {tcl_commands}

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 78UG911 (v2014.3) 2014 年 10 月 1 日

Page 79: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 6 章 :

put [–radix <radix>] name value set_value [–radix radix] Design_object value

quit [-f|-force] [-s|-sim] quit [-f|-force]

restart restart

resume resume

run [all | continue | <time> <unit>] run [-all] [time unit]

saif open [-scope <path_name>] [-file <file_name>] [-level <nesting_level>] [-allnets]

open_saif file_name; log_saif hdl_objects

saif_close close_saif [SaifObj]

scope [<path>] current_scope hdl_scope

sdfanno SDF アノテーシ ョ ンは、 シ ミ ュレーシ ョ ンの xelab (エラボレーター ) コマンドのオプシ ョ ンです。sdfanno はサポート されな くなっています。

show time current_time

show port report_objects [get_objects * –filter {type == port}]

show scope report_scope

show signal report_objects [get_objects * –filter {type == signal}]

show variable report_objects [get_objects * –filter {type == variable}]

show constant report_objects [get_objects * –filter {type == constant}]

show child [-r] report_scopes [get scopes –r *]

show driver <hdl_object_name> report_drivers hdl_object (サポート なし )

show load <hdl_object_name> report_readers hdl_object (サポート なし )

show value [-radix <radix>] <hdl_object_name>

report_value [-radix radix] hdl_object

step step [-over]

test [-radix radix] <hdl_object_name> <test_value>

現在はサポート されていません。 Tcl ビルト イン コマンドを次のよ うに使用して ください。 expr {[get_value –radix radix hdl_object] == test_value}

vcd dumpfile <file_name> open_vcd file_name

vcd dumpvars –m <hdl_scope_name> [-l <level>]

log_vcd hdl_objects

vcd dumplimit <size> limit_vcd [VCDObject] filesize

vcd dumpon start_vcd [VCDObject]

vcd dumpoff stop_vcd [VCDObject]

vcd dumpflush flush_vcd [VCDObject]

wave log [-r] name log_wave hdl_objects

表 6-1 : ISim Tcl と対応する Vivado Tcl (続き)

ISim Tcl Vivado Design Suite Tcl

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 79UG911 (v2014.3) 2014 年 10 月 1 日

Page 80: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 6 章 :

シミ ュレーシ ョ ン ライブラリのコンパイルISE Design Suite の compxlib では、サードパーティ シ ミ ュレーシ ョ ン ツールのシ ミ ュレーシ ョ ン ライブラ リがコンパイルされます。 Vivado Design Suite では、 compile_simlib Tcl コマンドを使用します。 詳細は、 次の資料を参照して ください。

• 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 12]

注記 : compile_simlib Tcl コマンド オプシ ョ ンは Vivado Design Suite に含まれます。 config_compile_simlibTcl コマンドを使用する と、 デフォルト を変更できます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4] および 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 12]の第 2 章を参照して ください。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 80UG911 (v2014.3) 2014 年 10 月 1 日

Page 81: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 7 章

ISE ChipScope Logic Analyzer の Vivado ラボ ツールへの移行

概要この章では、Vivado® Design Suite ラボ ツールについて、 ISE® Design Suite ChipScope™ Logic Analyzer ツールとの関連と、 ISE ChipScope 環境から Vivado ラボ ツールへの IP コアの移行方法を説明します。

「Vivado ラボ ツール」 とは、Vivado Design Suite で使用可能なすべてのプログラムおよびデバッグ ツールの総称です。Vivado ラボ ツールに含まれる機能は次のとおりです。

• Vivado デバイス プログラマ

• Vivado ロジッ ク解析

• Vivado シ リアル I/O 解析

表 7-1 に、 Vivado 統合設計環境 (IDE) の機能の名前と、 それに対応する ISE ツールを リ ス ト します。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 81UG911 (v2014.3) 2014 年 10 月 1 日

Page 82: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 7 章 : ISE ChipScope Logic Analyzer の Vivado ラボ ツールへの移行

レガシ IP コアのサポート新しい Vivado デバッグ IP に移行するこ とをお勧めします。

重要 : ChipScope Pro デバッグ IP コアの XCO ファ イルは、 Vivado とは互換性があ り ません。 Vivado プロジェク トにXCO ファ イルを追加しないでください。

• Vivado プロジェク トに次を追加します。

° コアから生成した NGC ファ イル

° XDC ファ イル

° 合成テンプレート ファ イル (HDL 言語によって .v または .vhd)

• ChipScope デバッグ コアの XDC ファ イルで、 USED_IN_SYNTHESIS プロパティを false に設定します。

• SCOPED_TO_REF プロパティを最適なセル名に設定します。

次に、 icon_v1_06a、 ila_v1_05a、 および vio_v1_05a の ChipScope Pro デバッグ IP コアを含むデザインの例を示します。

set_property USED_IN_SYNTHESIS false [get_files icon_v1_06a.xdc ila_v1_05a.xdc vio_v1_05a.xdc]set_property SCOPED_TO_REF {ila_v1_05a} [get_files ila_v1_05a.xdc]

• 表 7-2 にリ ス ト されるレガシ ChipScope Pro デバッグ IP コアにランタイム デバッグ中にアクセスするには、ChipScope Pro Analyzer が必要です。 Vivado ラボ ツールとは互換性があ り ません。

表 7-1 : Vivado IDE の機能と説明、 およびそれに対応する ISE ツール

Vivado IDE の機能 説明 同等の ISE ツール

Vivado デバイス プログラマ ザイ リ ン ク ス デバイスをプログラムおよびコンフ ィギュレーシ ョ ンするために使用される Vivado IDE の機能。 ザイ リ ンクス デバイスに接続される不揮発性 (NV) メモ リ ス ト レージ デバイスをプログラムする こ と も可能です。 NV メモ リ デバイスには、 ザイ リ ンクス デバイスをプログラムするのに使用される コンフ ィ ギュレーシ ョ ン情報が格納されます。

iMPACT デバイス プログラム ツール

Vivado ロジッ ク解析 ハード ウェアのザイ リ ンクス デバイスで実行されるデザインの論理デバッグおよび検証に使用される VivadoIDE の機能。 次を含む LogiCORE IP ロジッ ク デバッグ コアにアクセスするために使用されます。

• ILA 2.0 (およびそれ以降のバージ ョ ン) • VIO 2.0 (およびそれ以降のバージ ョ ン)

ChipScope Logic Analyzer

Vivado シ リアル I/O 解析 ザイ リ ンクス デバイスの高速シ リアル I/O リ ンクをデバッグおよび検証に使用される Vivado IDE の機能。次を含むシ リ アル I/O デバッグ LogiCORE IP コアにアクセスするために使用されます。 • IBERT 7 Series GTZ 3.0 (およびそれ以降) • IBERT 7 Series GTH 3.0 (およびそれ以降) • IBERT 7 Series GTX 3.0 (およびそれ以降) • IBERT 7 Series GTP 3.0 (およびそれ以降)

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 82UG911 (v2014.3) 2014 年 10 月 1 日

Page 83: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 7 章 : ISE ChipScope Logic Analyzer の Vivado ラボ ツールへの移行

ChipScope Pro Analyzer コアの互換性次のセクシ ョ ンでは、 ChipScope Pro Analyzer と新しい Vivado デバッグ IP コアとの互換性について説明します。

ILA および VIO デバッグ IP コア

ILA v2.0 (またはそれ以降) および VIO v2.0 (またはそれ以降) デバッグ IP コアを使用するには、 Vivado ロジッ ク解析を使用する必要があ り ます。

表 7-3 に、 ロジッ ク デバッグ IP コアのランタイム ツールとの互換性を示します。

表 7-2 : レガシ コア、 互換性、 および新規 Vivado デバッグ IP コア

レガシ ChipScope Pro デバッグ IP コアとそのバージ ョ ン

Vivado 2013.1 (およびそれ以降)

との互換性

互換性のある新規 Vivado デバッグ IP コア

Agilent Trace Core 2 (ATC2) v1.05a X なし

AXI ChipScope Monitor v3.05a ○ なし

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTHv2.01a

X Integrated Bit Error Ratio Tester (IBERT)7 Series GTH v3.0 (またはそれ以降)

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTPv2.00a

X Integrated Bit Error Ratio Tester (IBERT)7 Series GTP v3.0 (またはそれ以降)

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTXv2.02a

X Integrated Bit Error Ratio Tester (IBERT)7 Series GTX v3.0 (またはそれ以降)

Integrated Bit Error Ratio Tester (IBERT) Spartan6 GTPv2.02a

X なし

Integrated Bit Error Ratio Tester (IBERT) Virtex5 GTXv2.01a

X なし

Integrated Bit Error Ratio Tester (IBERT) Virtex6 GTXv2.03a

X なし

Integrated Bit Error Ratio Tester (IBERT) Virtex6 GTHv2.06a

X なし

Integrated Controller (ICON) v1.06a ○ なし

Integrated Logic Analyzer (ILA) v1.05a ○ Integrated Logic Analyzer (ILA) v2.0 (またはそれ以降)

Virtual Input/Output (VIO) v1.05a ○ Virtual I/O (VIO) v2.0 (またはそれ以降)

表 7-3 : デバッグ IP コアとランタイム ツール要件

デバッグ IP コアとそのバージ ョ ン ランタイム ツール要件

AXI ChipScope Monitor v3.05a (またはそれ以前) ChipScope Pro Analyzer

Integrated Controller (ICON) v1.06a (またはそれ以前) ChipScope Pro Analyzer

Integrated Logic Analyzer (ILA) v1.05a (またはそれ以前) ChipScope Pro Analyzer

Integrated Logic Analyzer (ILA) v2.0 (またはそれ以降) Vivado ロジッ ク解析

Virtual Input/Output (VIO) v1.05a (またはそれ以前) ChipScope Pro Analyzer

Virtual Input/Output (VIO) v2.0 (またはそれ以前) Vivado ロジッ ク解析

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 83UG911 (v2014.3) 2014 年 10 月 1 日

Page 84: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 7 章 : ISE ChipScope Logic Analyzer の Vivado ラボ ツールへの移行

IBERT 7 Series GTH/GTP/GTX/GTZ v3.0 (またはそれ以降) デバッグ IP コア

IBERT 7 Series GTH/GTP/GTX/GTZ v3.0 (またはそれ以降) デバッグ IP コアにアクセスするには、 Vivado シ リ アル I/O解析を使用して ください。

表 7-4 に、 シ リ アル I/O デバッグ IP コアのランタイム ツールとの互換性を示します。

デザイン内でのレガシ ChipScope Pro と Vivado デバッグ IP コアの統合

次の規則に従う と、 レガシ ChipScope コアと Vivado コアを統合できます。

• Vivado デバッグ IP コアを HDL コードにインスタンシエートするか、 Vivado デザイン ネッ ト リ ス トに ILA v2.0を挿入できます。

注記 : Vivado デバッグ IP コアを JTAG インフラス ト ラ クチャに接続する dbg_hub コアが、自動的にデザインに挿入されます。

• レガシ ChipScope Pro デバッグ IP コアは、 HDL コードにインスタンシエートする必要があ り ます。

注記 : デバッグ コアの Vivado デザイン ネッ ト リ ス トへの挿入は、 レガシ ChipScope Pro デバッグ IP コアではサポート されません。

• ほかのレガシ ChipScope Pro デバッグ IP コアを JTAG チェーン インフラス ト ラ クチャに接続するために使用される ICON コアは、 デザインにインスタンシエート します。

重要 : ICON および dbg_hub コアで同じ JTAG ユーザー スキャン チェーンが使用されないよ うにして ください。 同じJTAG ユーザー スキャン チェーンが使用される と、 write_bitstream DRC チェッ クでエラーが発生します。

図 7-1 に、 dbg_hub コアの JTAG ユーザー スキャン チェーンの変更方法を示します。

表 7-4 : IBERT 7 Series デバッグ IP コアとランタイム ツール要件

デバッグ IP コアとそのバージ ョ ン ランタイム ツール要件

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTH v2.01a (またはそれ以前) ChipScope Pro Analyzer

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTH v3.0 (またはそれ以降) Vivado シ リ アル I/O 解析

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTP v2.00a (またはそれ以前) ChipScope Pro Analyzer

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTP v3.0 (またはそれ以降) Vivado シ リ アル I/O 解析

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTX v2.02a ChipScope Pro Analyzer

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTX v3.0 (またはそれ以降) Vivado シ リ アル I/O 解析

Integrated Bit Error Ratio Tester (IBERT) 7 Series GTZ v2.0 ChipScope Pro Analyzer または Vivadoシ リアル I/O 解析

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 84UG911 (v2014.3) 2014 年 10 月 1 日

Page 85: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 7 章 : ISE ChipScope Logic Analyzer の Vivado ラボ ツールへの移行

X-Ref Target - Figure 7-1

図 7-1 : dbg_hub コアの JTAG ユーザー スキャン チェーンの変更方法

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 85UG911 (v2014.3) 2014 年 10 月 1 日

Page 86: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 8 章

その他のコマンド ライン ツールの Vivado IDE への移行

概要 この章では、 さまざまなザイ リ ンクス コマンド ラ イン ツールを Vivado® 統合設計環境 (IDE) で使用できるよ うにする方法を説明します。

ISE Partgen コマンド ライン ツールの移行ISE® Design Suite の Partgen を使用する と、 次の情報を取得できます。

• システムにインス トールされたすべてのデバイスの情報

• 詳細なパッケージ情報

これと同じタイプの情報は、 Vivado Design Suite で Tcl コマンドを使用して取得できます。 表 8-1 に、 Partgen のパーツ リ ス ト ファ イル (.xct) に含まれるのと同等の情報を取得する Vivado の Tcl コマンドを示します。

Tcl コマンドの詳細は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4] を参照してください。

パーツリス ト ファイルの内容

表 8-1 : Partgen のパーツリス トの内容と同等の情報を取得する Tcl コマンド

パーツリストの内容 Tcl コマンド

Device get_parts

Package get_property PACKAGE [get_parts <part_name>]

Speedgrade get_property SPEED [get_parts <part_name>]

NBIOBS llength [get_sites -filter {IS_BONDED==1 && SITE_TYPE =~ IOB*}

SLICES_PER_CLB [llength [get_sites -of_objects [lindex [get_tiles CLBLM_L_*] 0] -filter {NAME=~SLICE*}]]

NUM_BLK_RAMS llength [get_sites RAMB36*]

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 86UG911 (v2014.3) 2014 年 10 月 1 日

Page 87: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 8 章 : その他のコマンド ライン ツールの Vivado IDE への移行

NUM_BLK_RAM_COLS set looplimit[llength [get_sites RAMB36*]];

for {set i 0} {$i <= $looplimit} {incr i} {

set BLK_PER_COL [llength [get_sites RAMB36_X${i}Y*]]

if {$BLK_PER_COL > 0} {

puts "Number of BlockRAM per Column for RAMB36_X${i}, $BLK_PER_COL"}}

for {set x 0} {$x <= $looplimit} {incr x} {

set BLK_COLS [llength [get_sites RAMB36_X*Y$x]]

if {$BLK_COLS > 0 } {

puts "Number of BlockRAM Columns for RAMB36_Y$x, $BLK_COLS"}}

FF_PER_SLICE [llength [get_bels -of [get_sites SLICE_X0Y0] -fil

ter {NAME=~*FF*}]]

NUM_MMCM llength [get_sites MMCM*]

NUM_LUTS_PER_SLICE llength [get_bels -of [get_sites SLICE_X0Y0] -filter {TYPE=~LUT_OR_MEM*}]

LUT_NAME ENUMERATION および LUT_SIZE_ENUMERATION

foreach bel [get_bels -of [get_sites SLICE_X0Y0] -filter "TYPE=~LUT_OR_MEM*"] {

set name [split $bel /]

set type [get_property TYPE $bel]

set fields [split $type "M"]

lappend newlist "LUT_NAME=[lindex $name 1] and LUT_SIZE=[lindex $fields 2]"}

foreach line $newlist {puts "$line"}

NUM_GLOBAL_BUFFERS llength [get_sites BUFGCTRL*]

GLOBAL_BUFFERS ENUMERATION

get_sites BUFGCTRL

GLOBAL_BUFFER IOBS ENUMERATION

[get_sites -of [get_package_pins -filter {IS_CLK_CAPABLE==1 && IS_MASTER==1}]]

NUM_BUFIO_BUFFERS llength [get_sites BUFIO*]

BUFIO_BUFFERS ENUMERATION

get_sites BUFIO

NUM_DSP llength [get_sites DSP*]

NUM_PCIE llength [get_sites PCIE*]

NUM_PLL llength [get_sites PLL*]

NUM_CLB llength [get_tiles CLB*]

CLKRGN ENUMERATION get_clock_regions

NUM_OF_SLR llength [get_slrs]

NUM_DSP_COLUMNS llength [get_sites DSP48_X*Y1]

NUM_DSP_PER_COLUMN llength [get_sites DSP48_X1Y*]

表 8-1 : Partgen のパーツリス トの内容と同等の情報を取得する Tcl コマンド (続き)

パーツリストの内容 Tcl コマンド

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 87UG911 (v2014.3) 2014 年 10 月 1 日

Page 88: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 8 章 : その他のコマンド ライン ツールの Vivado IDE への移行

パッケージ情報

表 8-2 に、 Partgen のパッケージ ファ イルの内容を取得する Tcl コマンドを リ ス ト します。

NUM_BRAM_PER_COLUMN set looplimit [llength [get_sites RAMB36*]]

for {set i 0} {$i <= $looplimit} {incr i} {

set BLK_PER_COL [llength [get_sites RAMB36_X${i}Y*]]

if {$BLK_PER_COL > 0} {

puts "Number of BlockRAM per Column for RAMB36_X${i}, $BLK_PER_COL"}}

for {set x 0} {$x <= $looplimit} {incr x} {

set BLK_COLS [llength [get_sites RAMB36_X*Y$x]]

if {$BLK_COLS > 0 } {

puts "Number of BlockRAM Columns for RAMB36_Y$x, $BLK_COLS"}}

HEIGHT_OF_DSP foreach region [get_clock_regions] { puts "Height of DSP48 in $region, [llength [get_sites -filter "CLOCK_REGION==$region" DSP48*]]" }

SLR ENUMERATION get_slrs

表 8-2 : Partgen のパッケージ ファイルの内容と同等の情報を取得する Tcl コマンド

パッケージ ファイル Tcl コマンド

ピン タイプ foreach pin [get_package_pins] {puts "Pin Type = [get_property CLASS [get_package_pins $pin|get_package_pins $pin]_]"}_

ピン名 foreach pin [get_package_pins] {puts "Pin Name = $pin"}

ピン関数 foreach pin [get_package_pins] {puts "Pin Function = [get_property PIN_FUNC [get_package_pins $pin|get_package_pins $pin]_]"}_

PAD 名 foreach pin [get_package_pins] {puts "PAD Name = [get_property NAME [get_sites $pin|get_sites $pin]_]"}_

ピンのバンク番号 foreach pin [get_package_pins] {puts "Bank Number = [get_property BANK [get_package_pins $pin|get_package_pins $pin]_]"}_

差動ペア foreach pin [get_package_pins] {puts "DIff Pair = [get_property DIFF_PAIR_PIN [get_package_pins $pin|get_package_pins $pin]_]"}_

I/O バンク タイプ foreach pin [get_package_pins] {puts "Bank Type = [get_property BANK_TYPE [get_iobanks [get_property BANK [get_package_pins $pin|get_package_pins $pin]_]]]"}_

パッケージ ピン とポート配置情報の記述 (パーツの各ピンのパッケージ ト レース遅延情報を含む)

write_csv

表 8-1 : Partgen のパーツリス トの内容と同等の情報を取得する Tcl コマンド (続き)

パーツリストの内容 Tcl コマンド

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 88UG911 (v2014.3) 2014 年 10 月 1 日

Page 89: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 8 章 : その他のコマンド ライン ツールの Vivado IDE への移行

ISE Bitgen コマンド ライン ツール ISE Design Suite の Bitgen ツールは、 ビッ ト ス ト リームを生成します。

Vivado Design Suite では、 write_bitstream Tcl コマンドを使用します。 詳細は、 次の資料を参照してください。

• 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4]

• 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 5]

注記 : Bitgen コマン ドのオプシ ョ ンは、 Vivado Design Suite の Tcl プロパテ ィです。 新しいプロパテ ィおよび値は、『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 5] の付録 A 「デバイス コンフ ィギュレーシ ョ ン ビッ ト ス ト リーム設定」 を参照して ください。

ISE Speedprint コマンド ライン ツール ISE Design Suite の Speedprint ツールは、 すべてのデバイス コンポーネン トのスピード データを生成します。

重要 : Vivado Design Suite では、 この機能はサポート されません。 ISE Design Suite の speedprint ツールを使用してください。

ISE PROMGen コマンド ライン ツール ISE Design Suite の PROMGen ツールは、 プログラム用の PROM ファ イルを作成します。

重要 : Vivado Design Suite では、 この機能は write_cfgmem コマンドで提供されます。

ISE BSDLAnno コマンド ライン ツール ISE Design Suite の BSDLAnno ツールは、 コンフ ィギュレーシ ョ ン後の BSDL (バウンダ リ スキャン記述言語) ファ イルを作成します。

Vivado Design Suite では、 次の Tcl コマンドを使用します。

write_bsdl

このコマン ドは、 BSDL フ ァ イルを作成します。 詳細は、 『Vivado Design Suite Tcl コマン ド リ フ ァ レンス ガイ ド』(UG835) [参照 4] を参照して ください。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 89UG911 (v2014.3) 2014 年 10 月 1 日

Page 90: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

第 8 章 : その他のコマンド ライン ツールの Vivado IDE への移行

ISE Data2MEM コマンド ライン ツールISE の Data2MEM に対応するツールは、 Vivado では UpdateMEM です。 詳細な手順は、 『Vivado Design Suite チュートリ アル : エンベデッ ド プロセッサ ハードウェア デザイン』 (UG940) の 「UpdateMEM を使用した BIT、 MMI、 BMM、および ELF ファ イルの統合」 を参照してください。

compxlib から compile_simlib への移行compile_simlib コマンドは、 Vivado Design Suite の compxlib に置き換えられています。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 90UG911 (v2014.3) 2014 年 10 月 1 日

Page 91: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

付録 A

廃止プリ ミテ ィブ

概要次のプリ ミ ティブは、 7 シ リーズ デバイスではネイティブではあ り ません。 そのため、 Vivado® Design ではこれらのプリ ミ ティブを使用できません。

A

• AFIFO35_INTERNAL

• ARAMB_36_INTERNAL

B

• BSCAN_FPGACORE

• BSCAN_SPARTAN3

• BSCAN_SPARTAN3A

• BUFCF

• BUFDS

• BUFE

• BUFGDLL

• BUFIO2

• BUFIO2_2CLK

• BUFIO2FB

• BUFIODQS

• BUFPLL

• BUFPLL_MCB

• BUFT

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 91UG911 (v2014.3) 2014 年 10 月 1 日

Page 92: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

付録 A : 廃止プリ ミテ ィブ

C

• CAPTURE_FPGACORE

• CLKDLL

• CLKDLLE

• CLKDLLHF

• CONFIG

• CRC32

• CRC64

D

• DCM_CLKGEN

• DCIRESET

• DSP48A

• DSP48A1

E

• EMAC

F

• FDDRCPE

• FDDRRSE

• FIFO36_EXP

• FIFO36_72_EXP

• FMAP

• FRAME_ECC_VIRTEX4

• FRAME_ECC_VIRTEX5

G

• GT11

• GT11CLK

• GT11_CUSTOM

• GT11_DUAL

• GT11CLK_MGT

• GTHE1_QUAD

• GTPA1_DUAL

• GTP_DUAL

• GTX_DUAL

• GTXE1

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 92UG911 (v2014.3) 2014 年 10 月 1 日

Page 93: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

付録 A : 廃止プリ ミテ ィブ

I

• IBUF_DLY_ADJ

• IBUFDS_DLY_ADJ

• IBUFDS_GTHE1

• IBUFDS_GTXE1

• IFDDRCPE

• IFDDRRSE

• IODELAY2

• IODRP2

• IODRP2_MCB

• ISERDES2

J• JTAGPPC

• JTAGPPC440

• JTAG_SIM_SPARTAN3A

• JTAG_SIM_VIRTEX4

• JTAG_SIM_VIRTEX5

M

• MCB

O

• OFDDRCPE

• OFDDRRSE

• OFDDRTCPE

• OFDDRTRSE

• ORCY

• OSERDES2

P

• PCIE_2_0

• PCIE_A1

• PCIE_EP

• PCIE_INTERNAL_1_1

• PMCD

• POST_CRC_INTERNAL

• PPC405_ADV

• PPC440

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 93UG911 (v2014.3) 2014 年 10 月 1 日

Page 94: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

付録 A : 廃止プリ ミテ ィブ

R

• RAMB32_S64_ECC

• RAMB36_EXP

• RAMB36SDP_EXP

• RAMB4_S1

• RAMB4_S1_S1

• RAMB4_S1_S16

• RAMB4_S1_S2

• RAMB4_S1_S4

• RAMB4_S1_S8

• RAMB4_S16

• RAMB4_S16_S16

• RAMB4_S2

• RAMB4_S2_S16

• RAMB4_S2_S2

• RAMB4_S2_S4

• RAMB4_S2_S8

• RAMB4_S4

• RAMB4_S4_S16

• RAMB4_S4_S4

• RAMB4_S4_S8

• RAMB4_S8

• RAMB4_S8_S16

• RAMB4_S8_S8

• ROC

• ROCBUF

• RAMB16BWER

• RAMB16BWE

• RAMB8BWER

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 94UG911 (v2014.3) 2014 年 10 月 1 日

Page 95: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

付録 A : 廃止プリ ミテ ィブ

S

• SIM_CONFIG_S3A_SERIAL

• SIM_CONFIG_S3A

• SIM_CONFIG_S6_SERIAL

• SIM_CONFIG_S6

• SIM_CONFIG_V5_SERIAL

• SIM_CONFIG_V5

• SIM_CONFIG_V6_SERIAL

• SIM_CONFIG_V6

• SPI_ACCESS

• STARTUP_FPGACORE

• STARTUP_SPARTAN3E

T

• TBLOCK

• TEMAC

• TEMAC_SINGLE

• TIMEGRP

• TIMESPEC

• TOC

• TOCBUF

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 95UG911 (v2014.3) 2014 年 10 月 1 日

Page 96: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

付録 B

その他のリソースおよび法的通知

ザイリンクス リソースアンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照してください。

ソリューシ ョ ン センターデバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 デザイン アシスタン ト、 デザイン アドバイザリ、 ト ラブルシューティングのヒン ト などが含まれます。

参考資料このガイ ドでは、 次の資料が参照されています。

1. 『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892)

2. 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895)

3. 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903)

4. 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)

5. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908)

6. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896)

7. 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906)

8. 『Vivado Design Suite チュート リ アル : エンベデッ ド プロセッサ ハード ウェア デザイン』 (UG940)

9. 『Vivado Design Suite ユーザー ガイ ド : エンベデッ ド プロセッサ ハードウェア デザイン』 (UG898)

10. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994)

11. ビデオ チュート リ アル : 「Vivado IP のバージ ョ ン アップグレードの管理」

12. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900)

13. 『Vivado Design Suite プロパティ リ ファレンス ガイ ド』 (UG912)

14. Vivado Design Suite の資料

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 96UG911 (v2014.3) 2014 年 10 月 1 日

Page 97: ISE から Vivado Design Suite への 移行ガイド から Vivado Design Suite への 移行ガイド UG911 (v2014.3) 2014 年 10 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま

付録 B : その他のリソースおよび法的通知

ト レーニング リソースザイ リ ンクスでは、 この資料で説明されている概念を学ぶのに役立つさまざまな ト レーニング コースおよびビデオチュート リ アルを提供しています。 次のリ ンクから、 関連のト レーニング リ ソースを参照して ください。

15. ト レーニング コース リ ス ト

16. Vivado Design Suite ビデオ チュート リ アル : Vivado IP のバージ ョ ン アップグレードの管理

法的通知The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum extentpermitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES ANDCONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY,NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort,including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connectionwith, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage(including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if suchdamage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct anyerrors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute,or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of Xilinx’s limited warranty,please refer to Xilinx’s Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and supportterms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any applicationrequiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx’sTerms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos.

© Copyright 2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, UltraScale, and other designated brandsincluded herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective owners.

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アド レスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。

ISE-Vivado Design Suite 移行ガイド japan.xilinx.com 97UG911 (v2014.3) 2014 年 10 月 1 日