全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... ·...

90
全国高职高专规划教材 电路与电子技术简明教程 余根墀 黄云龙 副主编

Transcript of 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... ·...

Page 1: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

全国高职高专规划教材

电路与电子技术简明教程

余根墀 主 编

黄云龙 副主编

北 京

Page 2: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

内 容 简 介

本书是作者在多年教学经验基础上,根据高职高专教育的基本要求而编

写的。全书由半导体器件基础、基本放大电路、集成运算放大电路、负反馈

放大电路、集成运算放大器的应用、波形产生电路、直流稳压电源、晶闸管

电路及其应用组成。编写时力求简明扼要,深入浅出,图文并茂,重点突出。

每章配有本章要点、本章小结、习题,便于学生自学,以提高学生学习本课

程的主动性和积极性。

本书可作为高等职业学校、高等专科学校、成人高校的计算机、电子、

自动化、通信专业的教材,也可供从事电子技术的工程技术人员参考。

书繁体字版名为《SolidWorks 2001 PLUS 教学范本》,由知城数位科技股份

图书在版编目(CIP)数据

电路与电子技术简明教程/余根墀主编.—北京:科学出版社,2003

(全国高职高专规划教材)

ISBN 7-03-012238-0

Ⅰ.电… Ⅱ.余… Ⅲ.①电路理论—高等学校:技术学校—教材②

电子技术—高等学校:技术学校—教材 Ⅳ. ①TM13②TN01

中国版本图书馆 CIP 数据核字(2003)第 084091 号 策划编辑:李振格/责任编辑:王日臣 舒 立

责任印制:吕春珉/封面设计:东方人华平面设计部

出版 北京东黄城根北街 16号

邮政编码:100717

http://www.sciencep.com

印刷 科学出版社发行 各地新华书店经销

* 2003 年 8 月第 一 版

2003 年 8 月第一次印刷

印数:1—5 000

开本:787×1092 1/16

印张:19

字数:432 000

定价:26.00 元

Page 3: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

全国高职高专规划教材编委会名单

主 任 俞瑞钊

副主任 陈庆章 蒋联海 周必水 刘加海

委 员 (以姓氏笔画为序)

王 雷 王筱慧 方 程 方锦明 卢菊洪 代绍庆

吕何新 朱 炜 刘向荣 江爱民 江锦祥 孙光弟

李天真 李永平 李良财 李明钧 李益明 余根墀

汪志达 沈凤池 沈安衢 张 元 张学辉 张锦祥

张德发 陈月波 陈晓燕 邵应珍 范剑波 欧阳江林

周国民 周建阳 赵小明 胡海影 秦学礼 徐文杰

凌 彦 曹哲新 戚海燕 龚祥国 章剑林 蒋黎红

董方武 鲁俊生 谢 川 谢晓飞 楼 丰 楼程伟

鞠洪尧

秘书长 熊盛新

Page 4: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

前 言

本章是依据《高职高专教育专业人才培养目标及规格》的精神,并参照各高职高专

院校教学实践中对教材提出的要求而编写的。 本书可作为高职高专院校计算机、电子和自控等专业的教材,也可作为自学考试和

从事电子技术工程人员自学用书。 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。 (1)电路部分着重讲清楚基本的物理概念、基本公式和必要的计算,对 RLC 电路

的瞬态的过程也做了必要介绍。 (2)在模拟电路中,简单地介绍了分立元件及其放大电路,着重讲解运算放大器

及其应用,对直流稳压电源及模块做了扼要的讲解。 (3)在数字电路中,在讲清基本逻辑元件和逻辑函数的表达方法和基本运算的基

础上,主要讲解集成模块的基本原理、引脚功能和具体应用。 从实际工作需要出发,本书在第 5 章中增加了安全用电技术一节,在第 13 章中介

绍了电子电路抗干扰技术。 本书共 13 章。理论教学时数约 80 学时。 本书由浙江长征学院余根墀副教授担任主编,浙江工业大学浙西分校黄云龙副教授

担任副主编。 各章具体分工如下: 第 1 章、5.2.2 小节、第 7 章、第 10 章、第 11.6 节和第 12 章由余根墀执笔;第 2

章、第 3 章由浙江万里学院郝婕执笔;第 4 章、第 5 章由浙江工业大学浙西分校杨子鸣

执笔;第 6、第 13 章由浙江丽水师专赵洪执笔;第 8 章由浙江义乌工商学院楼忠义、何

耿明执笔;第 9 章、第 11 章由黄云龙执笔。 浙江长征学院的武骥文老师对本书的图形和文字处理做了大量工作,同时帮助工作

的还有陈海飞和吴少俊两位老师。特此致谢。 因作者水平有限,时间仓促,必有不少漏误之处,请批评指正。

编 者

二 OO 三年八月

于杭州

Page 5: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

目 录

第一篇 电路基础

第 1 章 电路基础知识 ..............................................................................................................................2

1.1 电路的基本概念 .............................................................................................................................2 1.1.1 电路和电路模型 ...........................................................................................................................2

1.1.2 电路的功能和种类........................................................................................................................2

1.2 电路中的物理量 .............................................................................................................................3 1.2.1 电流 ...............................................................................................................................................3

1.2.3 电功率 ...........................................................................................................................................4

1.3 电源 ....................................................................................................................................................5 1.3.1 直流电源和交流电源....................................................................................................................5

1.3.2 电压源 ...........................................................................................................................................5

1.3.3 电流源 ...........................................................................................................................................6

1.3.4 受控源 ...........................................................................................................................................7

1.4 电路中的负载元件 ........................................................................................................................8 1.4.1 电阻元件 .......................................................................................................................................8

1.4.2 电感元件 .......................................................................................................................................9

1.4.3 电容 ...............................................................................................................................................9

本章小结 ...................................................................................................................................................10 习题一........................................................................................................................................................10

第 2 章 直流电路 .....................................................................................................................................13

2.1 基本定律.........................................................................................................................................13 2.1.1 欧姆定律 .....................................................................................................................................13

2.1.2 基尔霍夫定律 .............................................................................................................................15

2.2 基本方法.........................................................................................................................................18 2.2.1 支路电流法 .................................................................................................................................18

2.2.2 网孔电流法 .................................................................................................................................19

2.2.3 节点电压法 .................................................................................................................................20

2.3 基本定理.........................................................................................................................................22 2.3.1 叠加定律 .....................................................................................................................................22

2.3.2 戴维南(Thevenin)定理和诺顿定理 .......................................................................................23

2.3.3 最大功率传递定理......................................................................................................................26

本章小结 ...................................................................................................................................................28

Page 6: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 iv

习题二........................................................................................................................................................29

第 3 章 含有 LC 元件电路的暂态过程 ..............................................................................................31

3.1 换路定律及初始植的计算.........................................................................................................31 3.1.1 过渡过程的概念 .........................................................................................................................31

3.1.2 换路定律及初始值的计算..........................................................................................................31

3.2 混合元件电路的暂态过程.........................................................................................................33 3.2.1 RC 电路 .......................................................................................................................................33

3.2.2 RL 电路的零输入响应................................................................................................................40

3.2.3 RLC 电路分析.............................................................................................................................46

本章小结 ...................................................................................................................................................50 习题三........................................................................................................................................................51

第 4 章 单相交流电路 ............................................................................................................................53

4.1 正弦交流电路的基本概念.........................................................................................................53 4.1.1 正弦量的波形、频率和周期 ....................................................................................................53

4.1.2 正弦量的瞬时值、幅值和有效值 ..............................................................................................54

4.1.3 正弦量的相位和初相..................................................................................................................55

4.2 正弦交流电路的计算..................................................................................................................57 4.2.1 正弦量的复数表示和相量表示..................................................................................................58

4.2.2 正弦交流电路中的阻抗..............................................................................................................63

4.2.3 简单正弦交流电路的分析计算..................................................................................................70

4.2.4 正弦交流电路的功率..................................................................................................................75

4.3 非正弦周期电流电路..................................................................................................................82 4.3.1 非正弦周期信号的分解和计算..................................................................................................82

4.3.2 非正弦周期电流电路的计算......................................................................................................85

本章小结 ...................................................................................................................................................87 习题四........................................................................................................................................................89

第 5 章 三相交流电路 ............................................................................................................................96

5.1 三相交流电源。 ...........................................................................................................................96 5.1.1 三相交流电的产生、输送和分配。 ..........................................................................................96

5.1.2 三相电路的联接 .........................................................................................................................98

5.1.3 对称三相电路的计算................................................................................................................101

5.2 安全用电技术..............................................................................................................................110 5.2.1 保护接地和保护接零................................................................................................................110

5.2.2 触电的防止和救护....................................................................................................................110

本章小结 .................................................................................................................................................111 习题五......................................................................................................................................................111

Page 7: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

目 录 v

第二篇 模拟电子技术

第 6 章 单管放大电路 ..........................................................................................................................116

6.1 半导体元件 ..................................................................................................................................116 6.1.1 二极管 .......................................................................................................................................116

6.1.2 三极管 .......................................................................................................................................119

6.1.3 场效应管 ...................................................................................................................................126

6.2 二极管的应用..............................................................................................................................130 6.2.1 钳位电路 ...................................................................................................................................130

6.2.2 整流电路 ...................................................................................................................................131

6.2.3 光电耦合电路 ...........................................................................................................................131

6.3 三极管放大电路 .........................................................................................................................132 6.3.1 共射电路的组成和各元件的作用 ............................................................................................132

6.3.2 直流通道和工作点的计算........................................................................................................133

6.3.3 交流通道和交流放大倍数的计算 ............................................................................................135

6.3.4 射极输出器 ............................................................................................................................... 140

6.4 场效应管放大电路 ....................................................................................................................143 6.4.1 共源电路 ...................................................................................................................................143

6.4.2 共漏电路 ...................................................................................................................................144

本章小结 .................................................................................................................................................146 习题六......................................................................................................................................................146

第 7 章 集成运算放大器.....................................................................................................................149

7.1 集成运算放大器的构成和主要参数 ...................................................................................149 7.1.1 集成运算放大器的构成...........................................................................................................149

7.1.2 差动输入放大电路...................................................................................................................150

7.1.3 集成运算放大器的主要参数和重要特性 ...............................................................................153

7.2 运算放大器的线性应用 ..........................................................................................................155 7.2.1 运算放大器的反馈电路...........................................................................................................155

7.2.2 比例运算放大器 ......................................................................................................................158

7.2.3 加减运算 ..................................................................................................................................160

7.2.4 微分与积分电路 ......................................................................................................................163

7.2.5 线性检波和相敏检波...............................................................................................................164

7.2.6 正弦波发生电路 ......................................................................................................................167

7.3 运算放大器的非线性应用......................................................................................................168 7.3.1 限幅器和比较器 ......................................................................................................................168

7.3.2 非正弦波发生电路...................................................................................................................172

7.4 集成运算放大器的具体应用 .................................................................................................175 7.41 集成运算放大器的选用和使用安装 ........................................................................................175

Page 8: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 vi

7.4.2 集成运算放大器的使用实例...................................................................................................175

本章小结 ................................................................................................................................................177 习题七.....................................................................................................................................................177

第 8 章 直流稳压电路 .........................................................................................................................182

8.1 串联型稳压电路 ........................................................................................................................182 8.1.1 稳压二极管电路计算...............................................................................................................182

8.1.2 集成三端稳压元件的应用.......................................................................................................186

8.2 开关型稳压电源.....................................................................................................................190 8.2.1 基本工作原理 ..........................................................................................................................190

8.2.2 计算机电源的典型电路分析...................................................................................................192

本章小结 ................................................................................................................................................194 习题八.....................................................................................................................................................195

第三篇 数字电子技术

第 9 章 逻辑代数基础 .........................................................................................................................198

9.1 基本逻辑关系及其逻辑元件 .................................................................................................198 9.1.1 与逻辑关系和与门...................................................................................................................198

9.1.2 或逻辑关系和或门...................................................................................................................199

9.1.3 非逻辑关系和非门...................................................................................................................199

9.1.4 其他常见的逻辑门...................................................................................................................200

9.2 逻辑函数的运算 ........................................................................................................................201 9.2.1 基本公式和定理 ......................................................................................................................202

9.2.2 基本逻辑运算和逻辑函数.......................................................................................................203

9.3 逻辑函数的表示方法...............................................................................................................205 9.3.1 真值表 ......................................................................................................................................205

9.3.2 函数表达式 ..............................................................................................................................205

9.3.3 卡诺图 ......................................................................................................................................206

9.3.4 逻辑图 ......................................................................................................................................210

9.3.5 时序图 ......................................................................................................................................210

本章小结 ................................................................................................................................................211 习题九.....................................................................................................................................................211 参考文献 ................................................................................................................................................212

第 10 章 集成组合逻辑电路..............................................................................................................213

10.1 编码器和译码器......................................................................................................................213 10.1.1 编码和译码的概念.................................................................................................................213

10.1.2 二进制编码和译码器.............................................................................................................213

10.1.3 二—十进制/BC D 码编码器和译码器..................................................................................219

Page 9: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

目 录 vii

10.1.4 字符显示译码器.....................................................................................................................220

10.2 数值比较器和加法器 ............................................................................................................222 10.2.1 比较器 ....................................................................................................................................222

10.2.2 加法器 ....................................................................................................................................224

10.3 数据选择器和数据分配器 ...................................................................................................226 10.3.1 数据选择器 ............................................................................................................................226

10.3.2 数据分配器 ............................................................................................................................227

本章小结 ................................................................................................................................................227 习题十.....................................................................................................................................................228

第 11 章 集成时序逻辑电路..............................................................................................................229

11.1 触发器 ........................................................................................................................................229 11.1.1 RS 触发器 ..............................................................................................................................229

11.1.2 D 触发器 ................................................................................................................................233

11.1.3 T 触发器 .................................................................................................................................234

11.1.4 JK 触发器 ...............................................................................................................................235

11.2 定时器和单稳态触发器 ........................................................................................................237 11.2.1 555 定时器 .............................................................................................................................237

11.2.2 集成单稳态触发器.................................................................................................................243

11.3 计数器 ........................................................................................................................................246 11.3.1 二进制计数器 ........................................................................................................................247

11.3.2 十进制计数器 ........................................................................................................................250

11.3.3 N 进制计数器.........................................................................................................................254

11.4 寄存器 ........................................................................................................................................258 11.4.1 基本寄存器 ............................................................................................................................258

11.4.2 移位寄存器 ............................................................................................................................259

11.4.3 顺序脉冲发生器...................................................................................................................263

11.5 存储器 .......................................................................................................................................264 11.5.1 只读存储器(ROM)............................................................................................................264

11.6 可编程逻辑器件 ......................................................................................................................269 11.6.1 PLA 器件................................................................................................................................269

11.6.2 PAL 器件................................................................................................................................270

11.6.3 FPGA 器件 .............................................................................................................................271

本章小结 ................................................................................................................................................273 习题十一 ................................................................................................................................................273

第 12 章 数/模、模/数转换..............................................................................................................275

12.1 数/模(D/A)转换 .................................................................................................................275 12.1.1 D/A 转换原理.........................................................................................................................275

12.2 A/D 转换....................................................................................................................................278

Page 10: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 viii

12.2.1 A/D 转换的基本原理.............................................................................................................278

12.2.2 典型 A/D 转换芯片的参数及应用 ........................................................................................283

本章小结 ................................................................................................................................................285 习题十二 ................................................................................................................................................285

第 13 章 电子电路的抗干扰技术 ....................................................................................................287

13.1 干扰源及干扰方式 .................................................................................................................287 13.1.1 内部干扰 ................................................................................................................................287

13.1.2 外部干扰 ................................................................................................................................287

13.2 抗干扰技术概要......................................................................................................................288 13.2.1 电源防干扰措施.....................................................................................................................288

13.2.2 输入电路防干扰措施.............................................................................................................290

13.2.3 屏蔽和布线 ............................................................................................................................291

本章小结 ................................................................................................................................................292 习题十三 ................................................................................................................................................292

Page 11: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 2章 直流电路

2.1 基本定律

2.1.1 欧姆定律

导体中电流强度的大小与这段导体两端电压成正比,与这段导体的电阻成反比,这

就是著名的欧姆定律。这是电路中 基本的定律之一,在实践中广泛的应用。

1. 部分电路欧姆定律

图 2.1 所示为一段不包含电源,只有电阻的部分电路。

U R

图 2.1

实验证明:电路中电流 I 的大小与电阻两端电压成正比,而与电阻 R 成反比,即

I=RU

,还可写成 U=IR 或 R=I

U。

例 2.1 测得阻值为 5.1kΩ 电阻器上的电流为 2mA,求电阻器两端电压为多少? 解:电阻器两端电压为:

U=IR=2×10-3×5.1×103=10.2V

2. 全电路欧姆定律

含有电源和负载的闭合电路称为全电路。如图 2.2 所示。图中 R0 为电源的内阻,E和 R0 构成电源内电路。

实验证明,全电路中的电流 I 与电动势 E 成正比,与总电阻(R+R0)成反比,这就

是全电路欧姆定律,即

0

EIR R

=+

(2-1)

可写成, E=I(R+ R0)=U+IR0 通常表示为, U=E- IR0

此式表示电源的端电压 U 等于电动势 E 与电阻 R0 上的电压 U0 之差。

Page 12: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 14

U RL

I

E

U0R0

图 2.2

下面利用全电路欧姆定律,分析电路状态。 (1)有载状态(通路) 图 2.2 所示为有负载的闭合电路,称为有载状态。通常电源的电动势和内阻可认为

不变,从式 2.1 可知电源输出电流 I 的大小只决定于负载电阻 R0,当负载增大(即负载

电阻 R 减少)时,输出电流 I 增大,内阻 R0 上的电压 U0 增加,电源两端电压 U 下降。

内阻越小,在负载变化时,端电压越稳定。 (2)开路状态(断路) 外电路断开(外电阻为无穷大)时称开路。这时电流 I=0,可知 U=E,即开路时,

电源端电压等于电动势。因此,可用电压表并接在开路的电源两端,来测量电动势,电

压表的读数就是电动势,如图 2.3 所示。

I=0

R0

E E=U

U

图 2.3

(3)短路状态 电源两端被导线短接(负载电阻 R=0)称为短路。如图 2.4。

U

RLIS

R0

图 2.4

I=0

E=U E

R0

V

U

RL IS

R0

Page 13: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 2 章 直流电路 15

这时,电源端电压 U 为零,电路中的短路电流 IS 极大,可超过正常工作电流的几

十倍至几百倍,从而损坏电源和导线,或造成严重损坏。

2.1.2 基尔霍夫定律

欧姆定律是求解简单直流电路的有效工具,对于复杂电路,仅靠欧姆定律是不够的,

电路元件的参数有分体参数和集中参数,例如一根导线的电阻是分布在整根导线上,而

用一个集中参数的电阻元件表示。本书中均指集中参数元件,还必须应用基尔霍夫定律。 我们知道电路是由各电路元件相互连接而成,为了便于介绍基尔霍夫定律,我们把

连接于电路中的一个二端元件或流过同一电流的几个串接的二端元件称为一条支路,支

路的连接点称为节点,流经支路的电流和支路的端电压便分别称为支路电流和支路电

压。

1

2

3 4

5

6

a b节点1

节点2

图 2.5

在图 2.5 所示电路中共有 4 条支路,2 个节点。显然,节点是两条或两条以上支路

的联接点。由支路构成的闭合路径,称为回路,如图元件 1、2、3,元件 1、2、5、6均构成回路,该电路有 6 个回路。在回路内部不另含有支路的回路称为网孔。例如 3、4,1、2、3,4、5、6 构成网孔,该电路有 3 个网孔。一般把含元件较多的电路称为网络。

1. 基尔霍夫电流定律(KCL)

在电路中,任一时刻、任何节点上的所有电流的代数和等于零。其数学表达式为:

∑ =n

ki1

0 (2-2)

式中 ik(t)为 t 时刻第 k 条支路电流,n 为节点处的支路数。 例如:对图 2.6 电路中的节点,应用 KCL,在支路电流的参考方向下(流进为负,

流出为正)有: -i1-i2+i3=0

5

43

1

2 6

ba

Page 14: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 16

i1

i3i2

图 2.6

此式表明:流入任一节点的支路电流必等于流出该节点的支路电流。 KCL 通常用于节点,但对包围几个节点的闭合面也是适用的,如图 2.7 所示的电路

中,闭合面 S 内有 3 个节点 1,2,3。在这些节点处,分别有(电流的方向都是参考方向): 节点 1 i1+i4-i6=0 节点 2 i2-i4+i5=0 节点头 i3-i5+i6=0

i1

i6

i5

i4

i3

i2

1

2

3S

图 2.7

以上三式相加后,得对闭合面 S 的电流代数和 i1-i2+i3=0。即 Σi=0。 可见,通过一个闭合面的电流的代数和也总是等于零。这就是说,流出闭合面的电

流等于流入该闭合面的电流,这就是电流的连续性。

A

i3

i2

i1

i4

a

图 2.8

i3 i2

i1

i6 i4

i5

i1

i2

i3

i4

i2

i1

i3

A

Page 15: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 2 章 直流电路 17

例 2.2 图 2.8 表示某复杂电路中的一个节点 A,已知 i1=5A,i2=2A,i3=-3A,试求

流过元件 A 的电流 i4。 解:i1,i2,i3 和 i4 是汇集于节点 a 的所有支路电流,满足 KCL 得:

-i1+i2 +i3- i4=0 即 i4=- i1 +i2+i3 代入数据得, i4=-5+2-3=-6A

2. 基尔霍夫电压定律(KVL)

在电路中,任一时刻,沿任一回路所有支路电压的代数和恒等于零。其数学表达式

为:

)(1

tU k

n

Σ =0 (2-3)

式中 uk(t)为 t 时刻回路中的第 k 条支路电压,n 为回路中的支路数。在写表达式

时,首先需要任意指定一个绕行回路的方向,凡电压的参考方向与回路饶行方向一致者,

在该式中此电压前面取“+”号;电压参考方向与回路饶行方向相反者,前面取“-”号。

1 2

34

5

6

2

1 3

4

图 2.9

图 2.9 所示电路中,如对由支路(1,2,3,4)构成的回路写 KVL 方程,并取绕

行回路的方向如图中箭头所示,则按图中的支路的方向,有 u1+u2-u3+u4=0

如果一个闭合节点序列不构成回路,如图 2.9 中的(1,3,4,1),节点 1,3 之间

没有支路;但可令节点 1,3 之间的开路电压为 u13,这样,KVL 将同样适用此闭合节点

序列,即有 u13+u34+u41=0 或 u13-u3+u4=0

所以,在总电路中,任何时刻,沿任何闭合节点序列,前一节点与后一节点之间的

全部电压之代数和恒等零。这是 KVL 的另一种形式。 可见,任何两点间的电压与计算时所选择的路径无关。

45

1

1

4 3

2 3 6

2

Page 16: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 18

+

-

+

-

+

+

+

+

-

-

-

-

例图 2.10

例 2.3 图 2.10 表示一复杂电路中的一个回路,已知各元件电压:u1=u6=2V,

u2=u3=3V,u4=-7V,试求 u5。 解:根据 KVL,这六个支路电压线性相关,给定任何五个电压即可求的另一电压。

为此应先列出 kvl 方程,设 u5 的参考极性如图所示。从 a 点出发,顺时针方向绕行一周

可得:-u1 +u2+ u3+ u4- u5- u6=0 代入数值-2+3+3+3-7- u5-2=0

u5=-5V

2.2 基本方法

2.2.1 支路电流法

以支路电流作为电路变量来列电路方程是一种直接方法。

i0

US1

U1

US2U8

U2

i11 2 3

4

i2

图 2.11

对图 2.11 电路,以电阻电路及电压源支路的电流 i1,i2,i3,i4,i0 为未知量,其联

立方程可列写如下: 写出 KCL 方程:

i1-i2-i3=0 (2-4) 写出 KVL 的方程:

321

4

VS2

V2 V1

V8 VS1

i1 i2

i0

R2R1

R3

i3

i4

u2

u3

u4

u5

u6

u1

a

b

d

Page 17: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 2 章 直流电路 19

1 1 3 3 s1

3 3 2 2 s2

00

R i R i uR i R i u+ − = ⎫

⎬− + + = ⎭ (2-5)

由上列三个方程即可解出所需的未知电流,进而求出所需的电压。这种以支路电流

为变量,建立联立方程组求解电路的方法,常称为支路电流法。 列出支路电流法的电路方程的步骤如下:(n 为节点数,b 为支路数) (1)定各支路电流的参考方向; (2)按 KCL,对(n-1)个独立节点列出方程; (3)选取(b-n+1)个独立回路,指定回路的绕行方向,应用 KVL,列出方程。

2.2.2 网孔电流法

当电路的支路数较多时,用支路电流法所列方程较多时,用网空电流法可减少方程

数,以网孔电流为求解变量。按照 KVL 元件列出足够的方程,解得网孔电流,再由网

孔电流求得各支路电流、各元件电压和功率。在网孔电流法中的特有名词有: 网孔电流:在电路的各网孔中假定有一电流沿参考方向流动,一般取顺时针方向。 自电阻:简称自阻,某一网孔中沿网孔周边所有电阻之和。 互电阻:简称互阻,不同网孔的共同电阻。

i3

i2

i1

im2im1Us3

Us2

Us1

R3R1

R2

图 2.12

例如图 2.12,列 KCL 方程: I2=Im1-Im2 (2-6)

列 KVL 方程: 1 m1 m1 m2 2 S1 S2

3 m2 m1 m2 2 S3 S2

( ) 0( ) 0

R I I I R V VR I I I R V V

+ − − + = ⎫⎬− − + − = ⎭

整理得, 1 2 m1 2 m2 S1 S2

3 2 m2 2 m1 S2 S3

( )( )R R I R I V VR R I R I V V+ − = − ⎫

⎬+ − = − ⎭

把自阻 R1+R2=R11,R3+R2=R22,互阻 R12=R21=-R2 代入得:

11 m1 12 m2 S1 S2

22 m2 21 n2 S2 S3

R I R I V VR I R I V V

+ = − ⎫⎬+ = − ⎭

(2-7)

令 VS11=VS1-VS2,VS22=VS2-VS3,为各网孔沿顺时针方向各电压源电压的代数和(电

压升为正,电压降为负)。当有 m 个网孔可以列出 m 个方程可解出 m 个网孔电流的解立

VS3VS1

i1 i3R3 R1

R2im1 im2

VS2

1

2

i2

Page 18: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 20

方程的一般式为: 11 m1 12 m2 1m mm S11

21 m1 22 m2 2m mm S22

m1 m1 m2 m2 mm mm Smm

......

. . . .

. . . .

. . . ....

R I R I R I VR I R I R I V

R I R I R I V

+ + + = ⎫⎪+ + + = ⎪⎪⎪⎬⎪⎪⎪

+ + = ⎪⎭

(2-8)

式中,Rm1 为第 m 个网孔与第 1 网孔的互阻,恒为负值;Rmm为第 m 个网孔的自阻,恒

为正值;VSmm为第 m 个网孔中各电源电压的代数和。 例 2.4,如图 2.13 所示。

i3i2

i1i4

12

3

4

i5

G5

Un3

Un2

Un1

G3

G4

G2

图 2.13

列网孔方程: 11 m1 12 m2 13 m3 S11

21 m1 22 m2 23 m3 S22

31 m1 32 m2 33 m3 S33

R I R I R I VR I R I R I VR I R I R I V

+ + = ⎫⎪+ + = ⎬⎪+ + = ⎭

R11=2+6=8Ω,R12=-6Ω,R13=-2Ω,VS11=2V R22=4+6=10Ω,R21=-6Ω,R23=-4Ω,VS22=4V R33=4+2+4=10Ω,R31=-2Ω,R32=-4Ω,VS33=-8V 代入上式得

m1 m2 m3

m1 m2 m3

m1 m2 m3

8 6 2 26 10 4 42 4 10 8

I I II I II I I

− − = ⎫⎪− + − = ⎬⎪− − + = − ⎭

解联立方程得: Im1=Im2=0.5A,Im3=-0.5A

2.2.3 节点电压法

对于支路数较多而节点较少的电路采用节点电压法解电路比较方便,节点电压法的

特点是:把电路中某一节点实为参考点,其电位为零,其他各节点对参考点的电压称为节

1

Un3G4G2Un2VS1

G3

i5 i2

i1 i3

G5

2 3

4

i4

Page 19: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 2 章 直流电路 21

点电压,节点电压为求解的变量,m 个节点可列 m 个方程,可求得各节点电压值,从而

求得各支路电流和功率。 在节点电压法中电阻元件的参数值用电导表示:

RG 1= , GV

RVI ==

电导的单位是西门子,符号为 S。 图 2.14 是 4 个点电路,令 V4=0,可写出各节点电位与各支路电流的关系:

R3i3

i6IS1

R5

IS6R2

i5

i2 23

4

1

R4

i1

i4

图 2.14

i2=G2(V1-V2) i3=G3V2 i4=G4V3

i5=G5(V1-V3) 对各节点列 KCL 方程: 节点 1:G2(V1-V2)+G5(V1-V3)=IS1 节点 2:G3V2-G2(V1-V2)=IS6 节点 3:G4V3-G5(V1-V3)=-IS6 整理得:

2 5 1 2 2 5 3 S1

3 1 2 3 2 S6

5 1 4 5 3 S6

( )( )( )

G G V G V G V IG V G G V IG V G G V I

+ − − = ⎫⎪− + + = ⎬⎪− + + = − ⎭

(2-9)

令: 11 2 5

22 2 3

33 4 5

G G GG G GG G G

= + ⎫⎪= + ⎬⎪= + ⎭

自导,与该节点直接相连接的各电导相加,恒为正值

12 21 2

13 31 5

23 32 0

G G GG G GG G

= = − ⎫⎪= = − ⎬⎪= = ⎭

互导,各节点间的电导,恒为负值

Page 20: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 22

S11 S1

S22 S6

33 6S S

i Ii Ii I

= ⎫⎪= ⎬⎪= − ⎭

流进各节点的恒流源,流进为正,流出为负

式(2-9)可改写为:

11 1 12 2 13 3 S11

21 1 22 2 23 3 S22

31 1 32 2 33 3 S33

G V G V G V iG V G V G V iG V G V G V i

+ + = ⎫⎪+ + = ⎬⎪+ + = ⎭

(2-10)

写成具有 n 个节点的电路可列出(n-1)个节点方程的一般表达式 11 1 12 2 13 3 1(n-1) n-1 S11

21 1 22 2 23 3 2(n-1) n-1 S22

(n-1)1 1 (n-1)2 2 (n-1)3 3 (n-1)(n-1) n-1 S(n-1)(n-1)

...

...

. .

. .

. ....

G V G V G V G V I

G V G V G V G V I

G V G V G V G V I

+ + + + = ⎫⎪

+ + + + = ⎪⎪⎪⎬⎪⎪⎪

+ + + + = ⎪⎭

注意:自导恒为正值,互导恒为负值代入。

2.3 基本定理

2.3.1 叠加定律

在多电源的线性电路中各支路电流(或电压)等效于各电源单独作用时在该支路中

产生的电源(或电压)的叠加(代数和)称叠加定理。 所谓都不存在(对于现态电压源的两端短接,对于理想电源将其开断)。 图 2.15(a)是具有一个电流源,一个电压源的电路。

+

E1

R1

R2

I2

I1

IS1

(a)

+

E1

-

R1

′2I

′1I

(b)

″1I

R1 R2″

2I

(c) 图 2.15

利用叠加定理,当只有 E1 存在时,IS1不存在,将其断开,则得到图 2.15(b)的电

路,可求得: 1

1 21 2

EI IR R

′ ′= =+

Page 21: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 2 章 直流电路 23

当只有 IS1 存在时,E1 不存在将其短接得到图 2.15(c),可求得: 2

1 S11 2

RI IR R

″ =+

12 S1

1 2

RI IR R

″ =+

利用叠加原理得:

1 1 1I I I′ ″= +

2 2 2I I I′ ″= +

例 2.4 图 2.15 中 E1=6 伏,R1=2Ω,R2=4Ω,IS1=3A。 解:当 E1 存在,IS1 不存在时:

1 26 1A

2 4I I′ ′= = =

+

当 IS1 存在,E1 不存在时

14 3 2A

2 4I ″ = × =

+

22 3 1A

2 4I ″ = × =

+

1 1 2 1 2 3AI I I′ ″= + = + =

2 2 2 1 1 2AI I I′ ″= + = + =

2.3.2 戴维南(Thevenin)定理和诺顿定理

线性有源二端网络,就其端口来看,可等效为一个电压源串联电阻支路(图 2.16(a))。电压源的电压等于该网络 N 的开路电压 uoc(图 2.16(b));串联电阻 Ro 等于该

网络中所有独立源为零值时所得网络 No 的等效电阻 Rab(图 2.16(c))。这就是说:若

线性有源二端网络的端口电压 u 和电流 I 为非关联参考方向,则其 VAR 可表为: u=uoc-Roi (2-11)

(a)

N M M

i a

b

U =

(b)

N

a

b

U

(c)

b

ia

R0

U∞

b

a

N0 Rab=R0

图 2.16 戴维南定理

图中 N 为线性有源二端网络;M为任意的外电路;No 为 N 中所有独立源为零值时所得的网格

这一电压源串联电阻支路称为戴维南等效电路,其中串联电阻在电子电路中有时也

Page 22: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 24

称为“输出电阻”记为 Ro 我们先举一例来说明这个定理的含义。

I

12kΩ10kΩ

10V

8kΩ

20V

a

b

+ +

--

图 2.17

例 2.5 求图 2.17 电路中 12kΩ电阻的电流 I。 解:根据戴维南定理,这电路中除 12kΩ电阻以外,其他部分(虚线框)所构成的

含量源二端网络,可以化简为一个电压源Uoc与电阻 Ro 相串联的等效支路。 为求得 Uoc,应该使该二端网络处于断开状态如图 2.18(a)所示,Uoc 即为该电路

中 ab 两点间的电压。设该电路中的电流为 I′,由 KVL 可得 (8+10)I′-20+=0

即 I′=20+108+10 =0.556mA

得 Uoc=10I′+10=5.56+10=15.56V 或 Uoc=-8I′+20=-4.45+20=15.56V

b b

a a a

b

Rab U∞ I’

8kΩ 10kΩ

10V 20V

4.45Ω

12kΩ

15.56V

10kΩ8kΩ

(a) (b) (c)

图 2.18 例 2.5 的求解步骤

为求得 Ro,应把图 2.18(a)所示有源二端网络中的两个独立电压源用短路代替,

得电路如图 2.18(b)。显然,电路 ab 两端的等效电阻

Rab=10×810+8 =4.45Ω

这样,我们就求得了用来代替图 2.18 中虚线框所示二端网络的等效电路,如图 2.18(c)所示。根据这电路可以方便地求得电流 I。由 KVL 得

(12+4.45)I-15.56=0 所以

I=15.56

12+4.45 =0.946mA

Page 23: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 2 章 直流电路 25

线性有源二端网络,就其端口来看,可以等效为一个电流源并联电阻组合(图 2.19(a))。电流源的电流等于该网络的短路电流 isc;并联电阻Ro 等于该网络中所有独立源

为零值时所得无源网络的等效电阻R(图 2.19(b))。这就是诺顿(Norton)定理。这

一电流源并联电阻组合称为诺顿等效电路。 根据诺顿定理,线性有源二端网络在图 2.19 中所示的电压、电流参考方向下可表示

为:

osc R

uii −= (2-12)

有源二端网络

外部电路

a

b

u+

-

外部电路

a

b

u+

-

Roisc

i

有源二端网络

isc

a

b

无源二端网络

a

b

Ro Rab=

(a)

(b) 图 2.19 诺顿定理

例 2.6 用诺顿定理求图 2.20 电路 4Ω电阻中的电流 I。

a

I

b

10Ω

24V

12V 图 2.20 例 2.6

解:把原电路除4Ω电阻以外的部分化简为诺顿等效电路。为此先应把拟化简的二

端网络短路,如图 2.21(a)所示,求短路电流 Isco 根据叠加定理,可得

sc24 1210 10/12

I = + =2.4+7.2=9.6A

再把拟化简的二端网络中的电压源用短路代替,得图 2.21(b),可得

Ro=Rab=10//2=1220

=1.67Ω

RO=Rab

uu

Page 24: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 26

a

I

20Ω

10Ω

12V

24VRab 2Ω

10Ω

b b

a a

b

4Ω 1.67Ω

9.6AI

isc

(a) (b) (c)

图 2.21

(a)求 Isc;(b)求 R0;(c)求 I。 图 2.21 运用诺顿定理的三个步骤求得诺顿等效电路后,再把 4Ω电阻接上,得图

2.21(c),由此可得

I=9.6×1.67

4+1.67 =2.78A

2.3.3 最大功率传递定理

uoc

Ro

RL

i N1 N2

图 2.22 求传递给负载的功率

给定一线性有源二端网络 N1,接在它两端的负载电阻不同,从二端网络传递给负载

的功率也不同。在什么条件下,负载能得到的功率为 大呢?线性有源二端网络可以用

戴维南或诺顿等效电路代替,如图 2.22 所示,设负载电阻为 RL,则当 RL很大时,流过

RL的电流很小,因而 RL所得的功率 i2RL很小。如果 RL很小,功率同样也得很小的。在

RL=与 RL=∞之间将有一个 R 值可使负载所得功率为 大。要解决这一 RL值究竟是多

大的问题,可先写出 RL为任意值时的功率 p:

( )2

OC2 L L L

0 L

up i R R f RR R

⎛ ⎞= = =⎜ ⎟+⎝ ⎠

(2-13)

要使 p 为 大,应使 dp/dRL=0,由此可解得 p 为 大时的 RL值。 即

( ) ( )( ) ⎥

⎥⎦

⎢⎢⎣

+

+−+= 4

LO

LLO2

LO2oc

L

2ddp

RRRRRRR

uR

= ( )( ) ⎥

⎥⎦

⎢⎢⎣

⎡=

+

−03

LO

LO2oc RR

RRu (2-14)

由此可得

uoc

R0

RL

N2 N1

Page 25: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 2 章 直流电路 27

RL=Ro (2-15) 由于

22OC

L 02 3L 0

d 0d 8

up R RR R

= = − ∠

所以,式(2-15)即为使 p 为 大的条件。因此,由线性二端网络传递给可变负载

RL 的功率为 大的条件是:负载 RL 应与戴维南(或诺顿)等效电阻相等。此即 大功

率传递定理。满足 RL=Ro 时,称为 大功率匹配(match)此时负载所得的 大功率为

pmax=o

2oc

4Ru

(2-16)

如用诺顿等效电路,则

pmax= 4o

2sc Ri

(2-17)

注意不要把 大功率传递定理理解为:要使负载功率 大,应使戴维南等效电阻

Ro 等于 RL。如果 Ro 可变而 RL固定,则应使 Ro 尽量减小,才能使 RL获得的功率增大。

当 Ro=0 时,RL获得 在功率。读者可自行导出这一结论。 另一常易产生的错误概念是:由线性二端网络获得 大功率时,其功率传递效率应

为 50%,因为 Ro 与 RL消耗的功率相等。如果负载功率来自一个具有内阻为 Ro 电压源,

那末,负载得到 大功率时,效率确实为 50%。但是,二端网络和它的等效电路,就其

内部功率而言是不等效的,由等效电阻 Ro 算得的功率一般并不等于网络内部消耗的功

率,因此,实际上当负载得到 大功率时,其功率传递效率未必是 50%。 例 2.7 求图 2.23(a)所示电路中 RL,为何值时能取得 大功率,该 大功率是

多少?

图 2.23 例 2.7 图

解:(1)断开 RL支路用叠加定理求 U0。16V 电压单独作用时,如图 2.23(b)所示,

根据分压关系,有

(a) (b)

(c) (d) (e)

Page 26: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 28

( )016 4+20 12V

8+4+20U = × =

1A 电流源单独作用时,如图 2.23(c)所示,根据分流关系,有

I=20

8+4+20 ×1=58 A

0U =-58×8-1×3=-8V

所示 ′ ″

0 0 0 4VU U U= − + =

(2)求 R0,将 16V 电压源和 1A 电流源均变为零,如图 2.23(d)所示,可得 ( )

0

8 4 20=3+ 9

8 4 20R

× +Ω

+ +

(3)根据求出的 U0 和 R0做了诺顿等效电路,并接上 RL,如图 2.23(e)所示,根

据 大功率传输定理可知,当 RL=RO=9Ω

时,可获得 大功率,这时,RL吸收的功率为 2

max4 4 W

4 9 9P = =

×

本章小结

(1)欧姆定律和基尔霍夫定律。它们都是电路理论中的重要定律,欧姆定律确定

了电阻元件上电压和电流之间的约束关系,通常称特性约束。KCL 定律确定了电路中各

支路电流之间的约束关系;KVL 确定了回路中各电压之间的约束关系。基尔霍夫定律

表达的约束关系通常称为拓扑约束。两种约束关系是电路分析的基础。 (2)以支路电流作变量列写独立节点的 KCL 方程,再补充和网孔个数相同的 KVL

方程,联立后足以解出全部支路电流,这就是支路电流法。此法优点是直观。缺点是支

路数目多,计算麻烦。 (3)以假像网孔电流作变量列写和网孔个数相同的 KVL 方程,联立求解求出网孔

电流,进而通过网孔电流和支路电流的关系再求出支路电流,或再求出其他电路变量,

这就是网孔电流法。优点是同一电路所需方程数目较支路电流法少,列写方程规律。缺

点是不直观。 (4)以独立节点的电压作为变量依 KCL 列写节点电压方程,求解出节点电压,进

而求得各支路电流或欲求的其它电路变量,叫节点电压法。 (5)叠加定理是线性电路叠加特性的概括表征。 (6) 大功率传输定理阐明了交换的负载为获得 大功率应满足的条件,即 RL=R0。

大功率为 U02/(4R0)。

Page 27: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 2 章 直流电路 29

习 题 二

2.1 求题 2.1 图所示电路中电压 Uac和 Uad。

题 2.1 图

2.2 在题 2.1 图所示电路中,已知 Ubd=4V,求 Ubc及 Us。

题 2.2 图 题 2.3 图

2.3 在题 2.3 图所示电路中,已知 I1=2A,I2=1A,U1=1V,U2=-3V,U4=-4V,U5=7V,

各电压、电流参考方向如图中所示。求电压 Ubd及元件 1、3、6 所消耗的功率。 2.4 试用支路电流法求题 2.4 图所示电路各支路电流。

题 2.4 图 题 2.5 图

2.5 试用叠加定理求题 2.5 图所示电路中 10V 电压源产生的功率。 2.6 电路如题 2.6 图所示,试用节点电压法求各支路电流。

Page 28: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 30

231

5V

10V

I1

I5

I6

I2

I3

I4

0.5S

0.5S 1S

题 2.6 图 题 2.7 图

2.7 试用叠加定理求题 2.7 图所示电路中的电压 U,并用网孔法或节点法验证结果。 2.8 用网孔电流法求题 2.8 图所示电路中各电阻支路的电流。

题 2.8 图

2.9 如图所示电路,求 RL上可能获得的 大功率。

题 2.9 图

Page 29: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 3章 含有 LC 元件电路的暂态过程

3.1 换路定律及初始植的计算

3.1.1 过渡过程的概念

如图 3.1 所示电路。当开关 S 闭合时,电阴支路的灯泡立即发亮,而且亮度始终不

变。说明电阴支路在开关闭合后没有经历过渡过程,立即进入稳定状态。电感支路的灯

泡在开关闭合瞬间不亮,然后逐渐变亮,最后亮度稳定不再变化。电容支路的灯泡在开

关闭合瞬间很亮,然后逐渐变暗直至熄来。这两个支路的现象说明电感支路的灯泡和电

容支路的灯泡达到最后稳定,都要经历一段过渡过程。一般说来,电路从一种稳定状态

变化到另一种稳定状态的中间过程叫做电路的过渡过程。实示电路中的过渡过程是暂时

存在、最后消失,故称为暂态过程,简称暂态。含有储能元件 L、C(或称动态元件)

的电路在换路时通常都要产生过渡过程。

+

-US

R C L

S

图 3.1 实验电路

对电路的过渡过程研究有重要的实际意义。一方面可以充分利用电路的一些暂态特

性应用于工程实际中;另一方面,又可以采取保护措施以防止暂态特性可能造成的破坏

性后果。

3.1.2 换路定律及初始值的计算

所谓换路,就是电路工作状况的改变,例如突然接入或切断电源、改变电路的结构

和电路中元件的参数等等,通常把换路瞬间定在 t=0,且把 t=0–记为换路前的最终时刻,

这时的电流为 i(0–),电压为 u(0–);把 t=0+记为换路后的最初时刻,这时的电流、电

压分别记为 I(0+)和 u(0+)。在动态电路分析中要确定电流、电压的初始值,就是计

算 i(0+)和 u(0+)。确定电路的初始值是进行暂态分析的一个重要环节。 前已述及若电容电流和电感电压为有限值,则电容电压和电感电流均不能跃变,即

Page 30: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 32

uc(o+)=uc(0–) iL(0+)=iL(0–) (3-1)

式(3-1)表述的换路前后瞬间电容电压和电感电流不能跃变的结果,通常称为换

路定律。根据换路定律,只有电容电压和电感电流在换路瞬间不能突变。其它各量均不

受换路定律的约束。为叙述方便,遵循换路定律的 uc(0+)和 uL(0+)称为独立初始值,

而把其余的初始值和 ic(0+)、uL(0+)、uR(0+)、iR(0+)等称为相关初始值。 独立初始值,可通过作换路前 t=0–等效电路求得。具体步聚为: ① 作 t=0–等效电路,求出 uc(0–)和 iL(0–); ② 根据换路定律确定出 uc(0+)及 iL(0+)。 机关初始值,可通过作换路后 t=0+等效电路来计算。具体步骤为: ① 用电压为 uc(0+)的电压源和电流为 iL(0+)的电流源取代原电路中的 C 和 L

的位置,可得 t=0 等效电路; ② 以 t=0+等效电路求出相关初始值。 例 3.1 图 3.2(a)所示电路中,已知 Us=18V,R1=1Ω,R2=2Ω,R3=3Ω,L=0.5H,

C=4.7μF,开关 S 在 t=0 时合上,设 S 合上前电路已进入稳态。试求 i1(0+)、i2(0+)、

i3(0+)、uL(0+)、uc(0+)。

i1

-

+

US

R1

R R

+

-uL

+-

uc C

+uL

-

R1

iL(0_)

R2 R3

+-

Uc(0_)

(a) (b)

+

+ +--

-

iL(0+) iL(0+)

UL(0+)12V

6V

(c)

iL

i 2

RR2 22 3

图 3.2 例 3.1 图

解:第一步,作 t=0–等效电路如图 3.2(b)所示,这时电感相当于短路,电容相当

于开路。 第二步,根据 t=0–等效电路,计算换路前的电感电流和电容电压:

( ) SL

1 2

180 6A1+2

UiR R− = = =+

( ) ( )c - 2 L -0 0 2 6=12Vu R i= = ×

根据换路定律,可得 iL(0+)=iL(0–)=6A

uc(0+)=uc(0–)=12V 第三步,作 t=0+等效电路如图 3.2(c)所示,这时电感 L 相当于一个 12A 的电流源,

电容 C 相当于一个 12V 的电压源。 第四步,根据 t=0+等效电路,计算其它的相关初始值:

Page 31: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 3 章 含有 LC 元件电路的暂态过程 33

( ) ( )S C3 +

3

0 18-120 = 2V3

U ui

R+−

= =

i1(0+)=iL(0+)+i2(0+)=6+2=8A uL(0+)=Us-R2iL(0+)=18-2×6=6V

3.2 混合元件电路的暂态过程

3.2.1 RC 电路

所谓零输入响应,就是动态电路在没有外加激励时,仅由电路初始储能产生的响应。

在工程实际中,典型的无电源一阶电路,有电容放电电路和发电机磁场的灭磁回路。前

者是 RC 电路,后者是 RL 电路。下面分别讨论这两种典型电路的零输入响应。RC 电路

的零输入响应如图 3.3 所示的 RC 电路,在开关 S 未闭合前,电容 C 已经充电,电容电

压 uc(0–)=Uo。当 t=0 时刻开关 S 闭合,RC 电路接通,根据换路定律,有 uc(0+)=uc

(0–)=U0,电路在 uc(0+)作用下产生的电流为 i(0+)=Uo/R。这样,从 t=0+开始,

电容通过电阻 R 放电。随着时间的增加,电容在初始时刻储存的能量(0.5C 20U )逐渐

被电阻所消耗,直到电容的储能被电阻消耗殆尽,这时电容电压为零,电流也为零,放

电过程全部结束。下面通过数学分析,找出电容放电过程中电容电压和电流随时间的变

化规律。

+

-C

+

-

R UR

iS(t=0)uc

图 3.3 RC 电路的零输入响应

根据图 3.3 所示电路电压、电流的参考方向,依 KVL,有 uc-uR=0 (t≥0)

将 uR=Ri, cddui Ct

= − (式中负号是因为电容电压和电流参考方向不一致),将其代

入上式可得 c

cd 0duRC ut+ = (t≥0) (3-2)

式(3-2)是一个常系数一阶线性齐次微分方程。由高等数学知识可知其通解形式

为 uc=Aept。其中,常数 p 是特征方程的根,A 为待定的积分常数。式(3-2)的特征方

程可将 uc=Aept 代入而得 RCp+1=0

特征根为 p=-RC1

所以 t

RCcu Ae

−=

Page 32: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 34

将初始条件 uc(0+)=Uo代入上式,可得 A=Uo,则

( ) 0

tRC

cu t U e−

= (t≥0) (3-3)

式(3-3)就是零输入响应,即电容放电过程中电容电压 uc 随时间变化规律的表达

式。 电路中的放电电流 i(t)和电阻电压 ur(t)分别为

i(t) c Od tRCu UC e

dt R= − = (t≥0) (3-4)

uR(t)=uc(t) O

tRCU e

−= (t≥0) (3-5)

从式(3-3)、(3-4)和式(3-5)中可以看出,电压 uc(t)、uR(t)和电流 i(t)都

是按同样的指数规律衰减的,它们随时间变化的曲线如图 3.4(a)、(b)所示。

Uc、UR

U0

0 t

0.368 U0

τ

(a)

0 t

0.368

τ

(b)

RU 0

RU 0

图 3.4 RC 电路零输入响应曲线

式(3-3)、(3-4)及式(3-5)中的 RC 具有时间的量纲,因为

[RC]=欧·法=欧· 库 伏

=欧· 安·秒

伏 =秒

所以称其为时间常数,并令 t=RC (3-6)

引入时间常数τ后,式(3-3)、(3-4)和式(3-5)可表示为

uc(t)= O

t

U e τ− (t≥0)

i(t)= OtU e

Rτ (t≥0)

uR(t)= O

t

U e τ− (t≥0)

时间常数τ是表征电路地渡过程快慢的物理量。τ值越大,过渡过程的进展越慢。

RC 电路的时间常数τ。仅由电路的参数 R 和 C 来决定。当 R 越大时,电路中放电电流

越小,放电时间就越长;当 C 越大储存的电场能量就越大,放电时间也就越长。

Page 33: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 3 章 含有 LC 元件电路的暂态过程 35

1. τ对暂态过程的影响

如图 3.5 所示。

现以电容电压 uc(t)为例来说明时间常数τ的意义。将 t=τ,2τ,3τ,…等不

同时间的响应 uc值列于表 3.1 之中。

表 3.1

t 0 τ 2τ 3τ 4τ 5τ ⋯ ∞

e-t

τ E0=1 e-1=1.368 e-2=0.135 e-3=0.05 e-4=0.018 e-5=0.0067 e-∞=0

Uc(t) Uo 0.368Uo 0.135Uo 0.050Uo 0.018Uo 0.0067Uo ⋯ 0 从表 3.1 中可以看出: (1)当 t=τ时,uc=0.368Uo,所以,时间常数τ是是路零输入响应衰减到初始值

0.368 倍所需要的时间。 (2)从理论上讲,t=∞时,uc=0,过渡过程才结束,但当 t=3τ~5τ时,uc已衰减

到初始值的 5%以下,因此,工程上一般认为经过 3τ~5τ的时间,放电过程便结束了。 例 3.2 如图 3.6(a)所示电路,在 t=0 时刻开关 S 闭合,S 闭合前电路已稳定。

试求(t≥0)时的 i1(t),i2(t)和 ic(t)。

R

2A

i i

i6Ω

c R3Ω0.5F

S(t=0)

(a)

R+

-2A U (0_)

R

R

+

-

c0.5F

u

Ri i

i+

-

c u R

(b) (c) (d)

1 1 2

c

2

1 1 21

c

2c c

2

c

图 3.6 例 3.2 图

解:(1)作 t=o-等效电路如图 3.6(b)所示,则有 uc(0+)=uc(0-)=2×3=6V (2)作 t≥0 电路如图 3.6(c)所示,其等效电路如图 3.6(d)所示。则等效电阻

R=R1//R2= 6 36 3×+

=2Ω

故电路的时间常数

Page 34: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 36

τ=RC=2×0.5=1s 根据式(3-3)可得

uc(t)=6e-tV (t≥0) 在图 3.6(c)所示电路中,可求得

i1(t)=- ( )c

1

u tR

=-e-tA (t≥0)

i2(t)=( )c

2

u tR

=2e-tA (t≥0)

ic(t)=C ( )cdu tdt

=-3e-tA (t≥0)

2. RC 电路的零状态响应

零状态响应,就是电路在初始状态为零的条件下,由外加激励所产生的响应。外加

激励可以是恒定的电压或电流,即直流电源,也可以是变化的电压或电流。 如图 3.7 所示 RC 串联电路,开关 S 闭合前电容初始状态为零,即 uc(0-)=0,在

t=0 时开关 S 闭合,电路接通直流电源 US,US向电容充电。在 t=0+瞬间,根据换路定律,

有 uc(0+)=0,电容相当于短路,电源电压全部加在电阻 R 两端,这时电流值为最大,

即 i(0+)=US/R。随着时间的推移,电容被充电,电容电压随之升高,这时电路中的电

流 i=(US-uc)/R 逐渐减小,直到电容电压 uc=US,i=0,充电过程结束,电路是入稳态。

+

-U

R

+

-

S(t=0) i

+ -u

u CR

CS

图 3.7 RC 电路的零状态响应

根据图 3.7 中 S 闭合后的电路,依 KVL,有 uR+uc= US (t≥0)

将 R、C 的伏安关系:i=Cdt

duC ,uR=Ri 代入上式后可得

RCdt

duC +uc= us(t≥0) (3-7)

式(3-7)是一个常系数一阶线性非齐次微分方程。由高等数学知识可知,它的解

由其特解 ucp和相应齐次方程的通解 uch两部分组成,即 uc=ucp +uch

对应于式(3-7)的齐次微分方程即式(3-2),其通解为

uch=t

RCAe 非齐次方程式(3-7)的特解为电路达到稳态时的解

Page 35: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 3 章 含有 LC 元件电路的暂态过程 37

ucp=Us 因此 uc的全解为

uc(t)= Us+t

RCAe (t≥0) 将初始条件 uc(0+)=0 代入上式,可得

A=-Us

则电容电压的零状态响应为

uc(t)= Us- Us

tRCe =Us(1-

tRCe )(t≥0) (3-8)

式(3-8)也就是充电过程中电容电压的表达式。它表明了这一过程中电压 uc 随时

间变化的规律。令τ=RC,则

uc(t)= Us(1-t

eτ )(t≥0) (3-9) 充电电流 i(t)和电阻电压 uR(t)为

i(t)= C c Sdd

tu U et R

τ= (t≥0) (3-10)

( )-

R i S= =t

u t R U e τ (t≥0) (3-11)

uc(t)、uR(t)和 i(t)随时间变化的曲线如图 3.8(a)、(b)所示。

tRuCu

u

SU

(a)t

i

SUR

0(b)

0

图 3.8 RC 电路的零状态响应曲线

由以上分析可知,在电容充电过程中,电容电压 uc(t)是从零开始按指数规律上

升趋于稳态值 Us,而充电电流 i(t)和电阴电压 uR(t)则由零值跃变到最大值后,以

相同的时间常数按指数规律逐渐衰减到零。 电压、电流变化进程的快慢,仍取决于电路的时间常数。当 t=τ时,uc=0.632Us,

即电容电压增到稳态值的 0.632 倍。当 t=3τ~5τ时,uc增到稳态值的 0.95~0.997 倍,

通常认为此时电路已进入稳态,即充电过程结束。

3. RC 电路的全响应

前面分别计论了一阶电路的零输入响应和零状态应。下面将讨论在非零状态下,同

时有外加输入激励作用时的一阶电路全响应。 现以图 3.9 所示的 RC 电路为便进行讨论。电路的初始状态为 uc(0+)=U,t=0 时

开关 S 闭合,电路输入直流电压 Us。计算电路的全响应 uc(t)。

Page 36: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 38

图 3.9 RC 电路的全响应

根据图 3.9 中 S 闭合后的电路,依 KVL,有

RC cddut+uc=Us (t≥0) (3-12)

对应于式(3-12)的齐次微分方程的通解为,

uch=Aet

RC−

非齐次微分方程的特解为 ucp=Us

因此,微分方程式(3-12)的全解为,

uc(t)=Us+t

RCAe−

(t≥0) 代入初始条件 uc(0+)=Uo,可得

A=Uo-Us (3-13) 则全响应

uc(t)=Us+(Uo-Us)t

RCe (t≥0) (3-14) 可以看出,上式右边第一项是受输入激励制约的稳态分量;第二项是随时间增长而

衰减的暂态分量,也就是说电路的全响应可分解为稳态分量和暂态分量之和。即 全响应=稳态分量+暂态分量

ƒ (t)=ƒ (∞)+t

Ae τ−

图 3.10 给出了 Uo<Us、Uo=Us、Uo>Us 三种不同初始状态下,RC 电路的全响应

uc(t)的曲线。

Cu

SU

0U

0

稳态分量

全响应

暂态分量

SUU −0 全响应稳态分量全响应

稳态分量

暂态分量0

SUSUU −0

0U

Cu

(a)SUU <0

(b) SUU =0 (c) SUU >0 图 3.10 三种情况下 uc随时间变化的曲线

Page 37: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 3 章 含有 LC 元件电路的暂态过程 39

还可将式(3-14)写成下列形式:

uc(t)= 0

tRCU e

−+Us(1-

tRCe

−) (3-15)

可以看出,上式右边第一项是 uc 的零输入响应,第二项是#的零状态响应,也就是

说,电路的全响应还可以分解为零输入响应和零状态响应的叠加。即 全响应=零输入响应+零状态响应

ƒ (t)=ƒ (0+) e τt+ƒ (∞)(1-

t

e τ−)

根据线性电路的叠加定理,电路的全响应 uc(t)可以看作是分别由外加激励 Us和

初始状态 uc(0+)单独作用时产生响应的叠加。 当 Us=0 时,响应 uc(t)'由初始状态 uc(0+)作用所产生,它就是零输入响应,

uc(t)'=Uo

tRCe

− (t≥0)

当 uc(0+)=0 时,响应 uc(t)〃由外加激励 Us所产生,它就是零状态响应,则

uc(t)〃=Us(1–t

RCe e) (t≥0) 因此,电路的全响应为

uc(t)=uc(t)'+uc(t)〃=Uo

tRCe +Us(1–

tRCe ) (t≥0)

上式与式(3-15)完全相同。 图 3.11 给出了 Uo<Us、Uo=Us、Uo>Us三种情况下,用零输入响应和零状态响应

叠加而得到的 uc(t)的全响应曲线,其结果与稳态分量和暂态分量叠加是一样的。

SU

SU SU

CuCu

0UCu

0U

0 0 0

(a)0 SU U< (b) 0 SU U= (c) 0 SU U>

全响应

全响应

零状态响应

零输入响应

ttt

全响应

零状态响应

零输入响应

图 3.11 三种情况下 uc随时间变化的曲线

从图 3.10 和图 3.11 曲线可以看出,当 Uo<Us时,电容在已有 Uo的基础上按指数

规律继续充电到 Us;当 Uo>Us时,电容电压从 Uo按指数规律放电到 Us;当 Uo=Us时,

电容不充电也不放电,电路仍处于稳态。因此,并不是所有情况电路都会出现暂态分量

和存在过渡过程。电路过渡过程的出现,与输入激励和动态元件初始状态的大小有关。 对于一阶电路全响应,暂态响应分量是 Ae-

τt ,A 是初始值与稳态值之差,即

A= ƒ (0+)-ƒ (∞),当ƒ (0+)=ƒ (∞)时,则暂态响应分量为零,电路无过渡

过程。其中稳态值ƒ (∞)可以由直流稳态电路求得,此时,电容相当于开路,电感相

当于短路。 例 3.3 图 3.12 所示电路,在 t=0 时开关 S 打开,uc(0+)=5V。求 t≥0 电路的

全响应 uc(t)。

Page 38: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 40

(a) (b)

图 3.12 例 3.3 图

解:作 t≥0 电路如图 3.12(b)所示。用响应的两种分解方法求全响应 uc(t)。 方法一:全响应分解为零输入响应和零状态响应的叠加。 按图 3.12(b)所示电路,当 Is=o 时,uc(0+)=5V,则电路的零输入响应为:

uc(t)'=uc(0)t

eτ =5t

τ=RC=(30+20)×0.5=25s 故得出:

uc(t)'=5 25t

e−

(t≥0) 按图 3.12(b)所示电路,当 uc(0+)=0 时,Is=1A,则电路的零状态响应为:

uc(t)〃=ƒ (∞)(1- t

e τ−

)=20(1- 25t

e ) (t≥0) 电路的全响应电容电压则为:

uc(t)=uc(t)'+uc(t)〃=5 25t

e−

+20+(1- 25t

e )=20-15 25t

e (t≥0) 方法二:全响应分解为稳态分量和暂态分量的叠加。 稳态分量 uc(∞)=20V

暂态分量为 A 25t

e ,A=ƒ (0+)- ƒ (∞)=5-20=-15V 所以全响应为

uc(t)=20-15 25t

e (t≥0)

3.2.2 RL 电路的零输入响应

如图 3.13(a)所示电路。开关 S 动作前电路已稳定,则电感 L 相当于短路,此时

电感电流为 iL(0-)=Us/Rs =Io。在开关动作后的初始时刻 t=0+时,根据换路定律,有 iL

(0+)=Io。这时电感中的初始储能( 200.5LI ),将逐渐被电阻消耗,直到磁场能量被电

阻消耗殆尽,电流为零,电感的消磁过程便结束。下面通过数学分析,找出电感电流和

电压的变化规律。

sR

1

2R

)0( =ts

LLi+

-SU

(a)

LR

Li+

-Lu

(b) 图 3.13 RL 电路的零输入响应

Page 39: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 3 章 含有 LC 元件电路的暂态过程 41

在图 3.13(b)中,依 KVL,可得 uL+RiL=0 (t≥0)

将电感的伏安关系 uL=L Lddit代入上式,可得

L Lddit

+RiL=0 (t≥0) (3-16)

式(3-16)也是一个常系数一阶线性齐次微分方程,与式(3-2)相似,其通解的形

式为 iL(t)=Ae-

τt。其中,τ是电路的时间常数。特征方程为

LP+R=0 P=-

LR

iL(t)=At

eτ (t≥0)

代入初始条件 iL(0+)=Io,可得 A=Io,故电路的零输入响应为

iL(t)=Io

tRLe = Io

t

eτ (t≥0) (3-17) 电阻和电感上的电压分别为

uR(t)=RiL=RIo

tRLe =RIo

t

eτ (t≥0) (3-18)

uL(t)=L Lddit

=-RIo

tRLe =-RIo

t

eτ (t≥0) (3-19)

式(3-19)中电感电压为负值,是因为电流不断减小,根据楞次定律可知,电感上

的感应电压,力图维持原来电流不变,故实际的感应电压的极性与参考极性相反,因而

为负值。 从式(3-17)、(3-18)和式(3-19)中或以看出,iL(t)、uR(t)和 uL(t)都是按

同一时间常图 3.14 所示。

RL电路的时间常数τ=RL ,同样具有时间量纲,其大小同样反映了电路中过渡过程

进行的快慢。 从以上的分析可见,RC 电路和 RL 电路中所有的零输入响应都是由初始值开始以

指数规律衰减的,而且都可写成相同的形式,即:

ƒ(t) = ƒ(0+)

t

eτ (t≥0) (3-20) 式(3-20)中,ƒ(0+)为响应的初始值,τ是电路的时间常数,RC 电路的τ=RC,

RL 电路的τ=L/R。其中 R 为换路后,从动态元件两端看进去的代文宁等效电阻。 例 3.4 如图 3.15(a)所示为一测量电路,忆知 L=0.4H,R=1Ω,Us=12V,电压

表的内阻 RV=10kΩ,量程为 50V。开关 S 原来闭合,电路已处于稳态。在 t=0 时,将开

关打开,试求:

Page 40: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 42

t

0RI−

0

0RI0I

iu,

LiRu

Lu

图 3.14 RL 电路的零输入响应曲线

(1)电流 i(t)和电压表两端的电压 uv(t); (2)t=0 时(S 刚打开)电压表两端的电压。

V

+

-US

S(t=0)+

-uv

R

L

i

RV

(a)

i

R

L

+

-uvRV

(b)

图 3.15 例 3.4 图

解:(1)t≥0 电路如图 3.15(b)所示,为一 RL电路。电路的时间常数为

τ= 310100.4×

≈+ VRRL =4×10-5s

电感中电流的初始值为

i(0+)=i(0-)=R

U S =12A

根据式(3-20),可得电感电流的表达式为

( ) ( ) ( )42.5 100 12 0tti t i e e tτ

− ×+= = ≥

电压表两端的电压为

( ) ( ) ( )44 2.5 10v v 12 10 0tu t R i t e t− ×= − = − × ≥

(2)当 t=0 时 uv=-12×104V

该数值远远超过电压表的量程,将损坏电压表。在断开电感电路时,必须先拆除电

压表。 从上例分析中可见,电感线圈的直流电源断开时,线圈两端会产生很高的电压,从

而出现火花甚到电弧,轻则损坏开关设备,得则引起火灾。因此工程上都采取一些保护

措施。常用的办法是在线圈两端并联续流二极管或接入阻容吸收电路,如图 3.16(a)、(b)所示。

Page 41: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 3 章 含有 LC 元件电路的暂态过程 43

+

-US

S(t=0)

R

L

(a) (b)

VD

+

-US

S(t=0)

R

L

R′

C ′

图 3.16 RL 电路切断电源时的保护措施

RL 电路的零状态响应如图 3.17 所示 RL 串联电路,开关 S 闭合前电路中的电流为

零,即 iL(0–)=0,在 t=0 时开关 S 闭合,电路接通直流电源 Us。在开关闭合后的初始

时刻 t=0+,根据换路定律有 iL(0+)=0,电感相当于开路,电源电压 Us加于电感的两端,

即 uL(0+)=Us。此后,电流逐渐增大,电阻两端的电压也随之逐渐增大,则电感两端

的电压逐渐减少。最后电感电压 uL=0,电感相当于短路,电源电压 Us 全部加于电阻元

件两端,电路中的电流到达稳态值 iL(∞)=Us/R。

图 3.17 RL 电路的零状态响应

根据图 3.17 中 S 闭合后的电路,依 KVL,有

L Lddit

+RiL=Us(t≥0) (3-21)

式(3-21)也是一常系数一阶线性非齐次微分方程,它的解同样由其特解 ilp和相应

的齐次方程的通解 ilh组成,即 iL=ilp+ilh

其中,特解仍是电路达到稳态时的解

ilp= SUR

齐次微分方程的通解与 RL串联电路的零输入响应形式相同,即

ilh=ARtLe

令τ=RL ,故得

iL(t)=At

eτ +R

U S ( t≥0)

将 iL(0+)=0 代入上式可得

Page 42: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 44

A=- SUR

则电路的零状态响应 iL(t)为

iL(t)= R

U S -R

U S

t

eτ =R

U S (1-t

eτ ) (t≥0) (3-22)

电感电压 uL(t)和电阻电压 uR(t)分别为

uL(t)=L Lddit

=Us

t

eτ (t≥0) (3-23)

uR(t)=RiL=Us(1-t

eτ ) iL(t)、uL(t)和 uR(t)随时间变化的波形曲线如图 3.18(a)、(b)所示。

(a) (b)

图 3.18 RL 电路零状态响应曲线

由上述分析可知:RC 电路的零状态响应电压 uc(t)和 RL 电路的零状态响应电流

iL(t)都是由零状态逐渐上升到新的稳态值,而且都可以写成相同的形式,即

ƒ(t)= ƒ(∞)(1–t

eτ ) (t≥0) (3-24) 式(3-24)中,ƒ(∞)是响应的稳态值。套用此式即可求得 RC 电路的零状态响应

电压 uc(t)和 RL 电路的零状态响应电流 iL(t)。 从式(3-8)和式(3-22)可知,RC和 RL电路零状态响应都包含两项,一项是方程

的特解,是电路换路后进稳态的解,称为稳态分量。因稳态分量受电路输入激励的制约,

故又称为强制分量。另一项是相应的齐次方程的通解,它按指数规律衰减,衰减的快慢

由时间常数来确定;当 t→∞时,它趋于零,故称其为暂态分量。因暂态分量的变化规

律不受输入激励的制约,因此相对于强制分量,又称其为自由分量。当暂态分量衰减为

零时,电路过渡过程就结束而进入稳态。 例 3.5 图 3.19 所示电路,t=0 时开关 S 闭合。已知 uc(0–)=0,求 t≥0 时的

uc(t)、ic(t)和 i(t)。

2R

3kΩc cuFμ5

+

-

6kΩ+

-15

cii

)0( =ts

图 3.19 例 3.5 图

Page 43: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 3 章 含有 LC 元件电路的暂态过程 45

解:因为 uc(0-)=0,故换路后电路属于零状态响应。因此电容电压可套用式(3-24)求出。又因为电路稳定后,电容相当于开路,所以

uc(∞)=6

3+6 ×15 = 10V

时间常数

τ=RC=3×63+6 ×103×5×16-10

=10×10-3s 根据式(3-24)得

uc(t)=10(1-e-100t)V (t≥0) 则

ic(t)=C cddut=5e-100tmA (t≥0)

i(t)=( )

6c tu

=35 (1-e-100t)mA (t≥0)

例 3.6 图 3.20 所示电路,换路前电路已达稳态,在 t=0时开关 S 打开,求 t≥0时的 iL(t)和 uL(t)。

2R1RΩ2

Li

SI1A

Lu

)0( =ts

Ω4

+ -H3

图 3.20 例 3.6 图

解:因为 iL(0–)=0,故换路后电路的响应为零状态响应。因此电感电流表达式可

套用式(3-24)。又因为电路稳定后,电感相当于短路,所以

iL(∞)= 1A342

2S

21

1 =×+

=+

IRR

R

时间常数

τ= 0.5s42

3=

+=

RL

根据式(3-24)得 iL(t)=1-e-2tA (t≥0)

uL(t)=L Lddit=6e-2tV (t≥0)

3.2.3 RLC 电路分析

凡是能用二阶微分方程描述的电路,称为二阶电路。二阶电路在电路结构上必须包

含有两种独立的储能元件,而且在这种电路中,既储存电场能量又储存磁场能量。本节

将通过对 RLC 串联电路的讨论来阐明二阶电路的分析求解方法。

Page 44: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 46

如图 3.21 所示的 RLC 串联电路,若电容电压及电感电流的初始值分别为 uc(0+)

和 iL(0+),开关 S 在 t=0 时闭合,则储能元件将通过电路进行放电。这是一个零输入响

应电路。下面对电路的响应情况进行分析。依 KVL,得

cu+

-

Ru

Lu L+

-

-+

)0( =ts R i

C

图 3.21 RLC 串联电路的零输入响应

uR+uL-uc=0 按图中标定的电压、电流参考方向有

i=-C cddut

uR=Ri=-RC cddut

uL=Ldtdi =-LC

2c

2

dd

ut

将以上各式代入 KVL 方程,便可以得出以 uc为响应变量的微分方程为:

LC

2c

2

dd

ut

+RC cddut+uc=0 (t≥0) (3-25)

式(3-25)为一常系数二阶线性齐次微分方程,其牲方程为: LCp2+RCp+1=0

其特征根为:

p1,2=-2 1

2L

R R aR L LC

⎛ ⎞± − = −⎜ ⎟⎝ ⎠ RL

R ± 2 20a w− (3-26)

式中,a=p/(2L)称为衰减系数, 0 =1/w LC 称为固有振荡角频率。

由式(3-26)可见,特征根由电路本身的参数 R、L、C 的数值来确定,反映了电路

本身的固有特性。根据电路参数 R、L、C 数值的不同,特征根 p1、p2 可能出现如下四

种情况。 (1)当 2 2

C/(4 )> 1/R L L 时,p1、p2为不相等的负实根,称为过阻尼情况。特征根为,

P1,2=-a± 2 20a w−

微分方程的通解为 ( )c 1 1 2 2

pt ptu t Ae A e= + (3-27)

式中待定常数 A1、A2由初始条件来确定,其方法是当 t=0+时刻,则由式(3-27)可

得 uc(0+)=A1+A2 (3-28)

对式(3-27)求导,可得 t=0+时刻 uc(t)对 t 的导数的初始值为

Page 45: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 3 章 含有 LC 元件电路的暂态过程 47

uc'(0+)=( )cd

t 0d

u tt += =A1P1+A2P2=-

( )C

i +0 (3-29)

联立求解式(3-28)和式(3-29),便可以解出 A1、A2。 根据式(3-27)可见,零输入响应 uc(t)是随时间按指数规律衰减的,为非振荡性

质。Uc(t)的波形如图 3.22 所示。

图 3.22 过阻尼时的 uc(t)波形

(2)当 ( )2 2/(4 ) 1/R L LC= 时,p1、p2为相等的负实根,称为临界阻尼情况。特征根

为 p1=p2=-a

微分方程的通解为, uc(t)=(A1+A2t)e-at (3-30)

式中常数 A1、A2由初始条件 uc(0+)和 uc'(0+)来确定。 根据式(3-30)可知,这种情况的响应也是非振荡的。uc(t)随时间变化的波形图

如图 3.23 所示。

图 3.23 临界阻尼情况零输入响应

(3)当 ( )2 2/(4 )< 1/R L LC 时,p1、p2为具有负实部的共轭复根,称为欠阻尼情况。

特征根为

p1.2=-21

2 2R RjL LC L

⎛ ⎞± − ⎜ ⎟⎝ ⎠ 2

RL=-a±jwd

式中 2

2 2d 0

12Rw W a

LC L⎛ ⎞= − = −⎜ ⎟⎝ ⎠

(3-31)

称为阻尼振荡角频率。微分方程的通解为 uc(t)=Ae-atsin(wdt+p) (3-32)

Page 46: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 48

式中常数 A 和 p 由初始条件确定。 根据式(3-32)可知,响应随时间变化的规律具有衰减的振荡特性,它的振幅 Ae-at

随时间按指数规律衰减,衰减的快慢取决于衰减系数 a 的大小,a 越大则衰减就越快。

衰减振荡的角频率为 wd,wd越大,则振荡周期 T=2π/wd就越小。Uc(t)的波形图如

图 3.24 所示。

图 3.24 欠阻尼情况电路零输入响应 图 3.25 无阻尼等幅振荡情况电容

uc(t)波幸形曲线 电压响应波形图

(4)当 R=0 时,p1、p2为一对共轭虚根,称为无阻尼情况。特征根为 p1,2=±jwo

响应的表达式为 uc(t)=A sin(wot+p) (3-33)

A 和 p 可以直接由初始条件确定。uc(t)的波形如图 7.37 所示。 从式(3-33)和 uc(t)的波形图中可见,电路的零输入响应是不衰减的正弦振荡,

其角频率为 wo。由于电路电阴为零,故称为无阻尼等幅振荡情况。 以上几种情况可以从物理意义上解释如下:电容和电感者是储能元件,只有电阻是

耗能元件。电容放电时它所储存的电场能量,一部分消耗在电阻中,一部分转移到电感

储存于磁场中。在过阻尼情况下,由于 R 较大,能量消耗极为迅速,因此电感莸得的磁

场能量不可能再返回给电容,而是随电路电流的下降而逐渐释放出来,一起消耗在电阻

上。所以,电容电压 uc是单调下降的,形成非振荡的放电过程。而在欠阻尼情况下,由

于 R 较小,电容放电时,被电阻消耗的能量较少,大部分电场能转变为磁场能储存于电

感中。当电容储能为零时,电感开始放电,电容被反向充电。当电感储能为零时,电容

又开始放电。这样周而复始。由于电阻不停地消耗着能量,因此电容电压呈指数衰减的

振荡过程。如果 R=0,即电路中无能量损耗,则在振荡过程中,电容释放给电感的能量

和电感吸收后又释放给电容的能量将始终相同。因此电容电压#的振幅将不会衰减,振

荡将无限制地持续下支形成等幅振荡。这就是无阻尼情况。 例 3.7 图 3.21 电路中,已知 L=10H,C=0.1F。试求: (1)R=40Ω,uc(0+)=16V,i(0+)=0.4A 时的零输入响应 uc(t) (2)(R=10Ω,uc(0+)=10V,i(0+)=1A时的零输入响应 uc(t),并画出其波

形。

Ae-at(包络线)

Page 47: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 3 章 含有 LC 元件电路的暂态过程 49

解:(1)R=40Ω时,按式(3-26)求方程特征根为:

p1,2=-LCL

RL

R 122

2

−⎟⎠⎞

⎜⎝⎛± =-

0.1101

10240

10240 2

×−⎟

⎠⎞

⎜⎝⎛

×±

×=-2± 3

P1=-0.268,P2=-3.732 显然,电路属于过阻尼情况。根据式(3-27),电路方程的解为

uc(t)=A1e-0.268t+A2e-3.732t

根据初始条件确定常数 A1、A2。当 t=0+时刻 uc(0+)=A1+A2=16

uc'(0+)=-0.268A1-3.732A2=-( )0

4i

C+ = −

上两式联立解出:A1=16.083,A2=-0.083,故得出零输入响应电容电压为 uc(t)=16.083e-0.268t

-0.083e-3.732tV (t≥0)

uc(t)的波形图示于图 3.26 中。

st /

c /u V

0

-0.083

16

16.083

cu

o st /

Vuc /

te 5.055.11 −

55.11−

55.11

图 3.26 例 3.7 在过阻尼时的 uc波形 图 3.27 例 3.7 在欠阻尼时的 uc波形

(2)R=10Ω时,方程的特征根为

p1,.2=-12 ± 1

21 2

−⎟⎠⎞

⎜⎝⎛

=-0.5±j0.866

显然,电路属于欠阻尼情况。根据式(3-32)可得零输入响应电容电压为: uc(t)=Ae-0.5tsin(0.866t+p)

根据初始条件确定常数 A 和角度 p 值。 当 t=0+时刻

uc(0+)=Asinp=10

A= 10sin p

(A)

再有

uc'(0+)=-0.5Asinp+0.866A cosp=-( )C

i +0=-10 (B)

将(A)式代入(B)式得 cos0.5 10 0.866 10 10sin

pp

− × + × = −

Page 48: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 50

tanp=-8.66

5 =-1.732

p=-60°

A =10

sin(-60°) =-11.55

故解得电路的零输入响应电容电压为 uc(t)=-11.55e-0.5tsin(0.866t-60°) (t≥0)

uc(t)的波形图如图 3.27 所示。

本章小结

(1)动态电路的过渡过程 换路前后瞬间,电感电流、电容电压不能突变,成为换路定律。即

uc(o+)=uc(0-) iL(0+)=iL(0-)

(2)一阶电路的零输入响应 零输入响应就是无电源一阶线性电路,在初始储能作用下产生的响应。形式为

ƒ(t)= ƒ(0+) t

eτ (t≥0) 式中,ƒ(0+)是响应的初始值,τ是

电路的时间常数,RC 电路的τ=RC,RL 电路的τ=L/R,它是决定响应衰减快慢的物理

量,是重要常数。 (3)一阶电路的零状态响应 零状态响应就是电路的初始状态为零时由输入激励产生的响应。其形式为

ƒ(t)= ƒ(∞)(1- t

eτ ) (t≥0) 式中,ƒ(∞)是响应的稳态值。 (4)一阶电路的全响应 全响应就是初始状态不为零的电路在输入恒定直流激励下产生的响应。两种分解为:

① ƒ(t)= ƒ(0+)t

eτ +ƒ(∞)(1-t

eτ ) (t≥0)

② ƒ(t)= [ƒ(0+) -ƒ(∞)] t

eτ + ƒ(∞) (t≥0) (5)二阶电路的暂态过程 明确由于特征根 p1,p2取值的 4 种不同情况,二阶电路的响应分为过阻尼、临界阻

尼、欠阻尼和无阻尼。

习 题 三

3.1 题 3.1 图所示各电路原已达稳态,在 t=0 时换路。试求图注电压和电流的初始

值。

Page 49: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 3 章 含有 LC 元件电路的暂态过程 51

Ω10Ω100

c cu+

-

Ω5

-

i

)0( =ts+10V

Ω102H

+ -Lu

Ω5

Ω15 )0( =ts

i

+

-

300V

)0( =tsi Ω1 Ω1

+

-

Lu1HΩ1

21

-

+

6V+

-3V

(a) (b) (C)

12A

3Ω4Ω

S(t-0)

UC

iL

L UL

(d)

i1

i2

i3

20Ω20Ω10Ω90V

1H 0.05F

S(t=0)

(e) 题 3.1 图

3.2 题 3.2 图所示电路中,换路前电路已稳定,t=0 时开关 S 闭合,求 t≥0 时的 i(t)及 uc(t)。

3Ω 3Ω

S(t=0)

1F9V UC

i

S(t=0)

iL

UL1H2Ω

i1

5i1

iS

题 3.2 图 题 3.3 图

3.3 换路前题 3.3 图所示电路已稳定,t=0 时开关 S 闭合,求 t≥0 时的响应 il(t)、ul(t)。

3.4 换路前题 3.4 图所示电路已稳定,uc(0-)=0,t=0 时开关 S 由 a 的位置打到 b的位置,试求 t≥0 时的 i(t)及 uc(t)。

3Ω3Ω

UC1F9V

i

S(t=0)

S(t=0) R

i

2H10V

UC

0.5F

图 3.4 题 图 3.5 题

3.5 试求题 3.5 图所示电路中,当 R 分别为下列三种情况时的零状态响应 uc(t)。 (1)R=10Ω;(2)R=4Ω;(3)R=0Ω。

3.6 如题 3.6 图所示电路所示,t=0 时开关 S 闭合,S 动作前电路处于稳态。试求 t≥0 时的 ic(t)及 i(t)。

Page 50: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 52

S(t=0)0.2F

2Ω 12Ω

4Ω8Ω

20V

CiC i

(t=0)

12mH6kΩ

10kΩ3kΩ

36V

Si

图 3.6 题 图 3.7 题

3.7 试求题 3.7 图所示电路换路后的零状态响应 i(t),并绘出波形图。

Page 51: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4章 单相交流电路

本章提要

本章介绍单相正弦交流电路的分析计算方法。主要内容有:

正弦量的三要素及其相量表示; 电路定律和电路元件电压电流关系的相量形式; 阻抗的概念; 电路定理的相量形式及其应用; 简单正弦交流电路的分析计算; 正弦交流电路的有功功率、无功功率和视在功率; 非正弦周期性信号的分解和计算。

4.1 正弦交流电路的基本概念

电路中用来传递电能量和传递电信号的电压和电流,按其随时间变化的规律来看,

主要分为两大类。一类是其大小和方向都不随时间变化的直流量,另一类是其大小和方

向都随时间作周期性变化,且在一个周期内的平均值为零的交流量。在交流量中,应用

广泛的是正弦交流电。正弦交流电是大小和方向都随时间按正弦规律变化的交流电压

u、电流 i 等物理量的总称。 凡是按正弦规律变化的电压、电流等物理量又统称为正弦量。 目前世界上电力工程中所用的电压、电流,几乎全部都采用正弦交流电。原因是:

几个同频率的正弦量之和或之差仍是同频率的正弦量;正弦量对时间的导数或积分也是

同频率的正弦量;电力工程中的大多数问题多可以按正弦交流电路的问题加以分析处

理;另一方面,电工技术中的非正弦周期函数,都可以分解为一个频率成整数倍的正弦

函数的无穷级数,使这一类非正弦周期电路问题也可以按正弦交流电路的方法来分析处

理。另外,正弦交流电还具有许多优越性能。例如:它容易进行电压变换,便于远距离

输电和安全用电;交流电气设备具有结构简单、便于使用和维修等优点。

4.1.1 正弦量的波形、频率和周期

1. 正弦量的波形

正弦电压和电流随时间变化的图形或波形称为正弦波,如图 4.1 所示。由于正弦电

压和电流按正弦规律周期性变化,所以,其方向也随之发生周期性变化。一般在电路图

中所标的是电压、电流的参考方向,即代表正半周时的方向;在负半周时,所标的参考

Page 52: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 54

方向与实际相反,则其值为负。在电路图中用标注的箭头代表电流的参考方向;用“+”“-”代表电压的参考方向(极性)。

iψ0

ωt

i

iψtω

i

0

(a) (b) 图 4.1 正弦电流的波形

一个正弦量是由幅值、频率和初相来确定的,称为正弦量的三要素。它们分别反映

了正弦量的大小、变化的快慢及初始值三方面的特征。

2. 正弦量的频率与周期

正弦量是时间的周期性函数。正弦量变化一次所需要的时间称为周期 T,单位为秒

(s)。 正弦量每秒钟内的变化次数称为频率 f ,即

f = T1 (4-1)

频率的单位是赫兹(Hz),常用的还有 kHz(千赫)、MHz(兆赫)、GHz(吉赫)。

在我国和大多数国家都采用 50Hz 作为电力标准频率,也有些国家(如美国、日本等)

采用 60Hz。这种频率在工业上广泛应用,所以习惯上称其为工频。 角频率 ω是正弦量在每秒钟内变化的电角度,因为,正弦量每变化一个周期 T 的电

角度相当于 2π 电弧度,所以,ω与 T、f 的关系为

ω = 2Tπ

= 2πf (4-2)

在工程实际中,常以频率的高低来表示电路的类型。例如,高频电路、低频电路等。

4.1.2 正弦量的瞬时值、幅值和有效值

1. 瞬时值

工业上广泛应用的正弦电流、电压其数学表达式为 i= Im sin(ωt+ψi)

u = Um sin(ωt+ψu) (4-3) 式中的 i、u表示正弦电流、电压在某一瞬间的量值,称为瞬时值,用小写字母 i、u表示。

2. 幅值

Im、Um表示正弦量在变化过程中所能达到的 大瞬时值,称为 大值, 大值是一

个常数,它代表正弦量变化的范围,所以,也称为正弦量的幅值或峰值。当 sin(ωt+Ψ)

Page 53: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 55

=1 时,i=Im,u =Um。

3. 正弦量的有效值

正弦量的瞬时值随时间变化,为了能确切地表示出正弦量(或交流电)的大小,在

工程上常采用有效值(方均根值)来衡量。 有效值是根据电流的热效应来定义的,因为在电工技术中,周期性电流或直流电流

的作用结果都会表现出热效应,所以,只要它们在相等的时间内通过同一电阻所产生的

热量相等,就可认为两者的安培值是相等的。因此,若一周期电流 i 通过电阻 R 在一个

周期 T 内产生的热量,和另一个直流电流 I 在同样的时间 T 内通过该电阻所产生的热量

相等,则这个周期电流 i 的有效值在数值上就等于那个直流电流 I。根据这一定义有: 2 2

0d

Ti R t I RT=∫

则,周期电流 i 的有效值为 可见,周期量的有效值等于它的瞬时值的平方在一个周期内积分的平均值取平方

根,所以,有效值又称为方均根值。习惯上有效值用大写字母表示,例如:

dtuT

UT

∫=0

21

表示周期电压 u 的有效值。 当周期电流为正弦量时,即 i=Imsinωt,则有

2 2 mm m0

1 sin 0.7072

T II I t IT

ω= = =∫

或 Im= 2 I=1.414I (4-5) 一般在工程上所讲的正弦电压和正弦电流大小都是指其有效值,例如,交流测量仪

表的指示读数、电气设备名牌上标注的额定值(交流电压 380V 交流电流 20A)就是指

有效值。但是,在计算电路中各种电气设备和元器件的耐压值和绝缘的可靠性时,则应

当按高于其 大值来选择。例如,正弦交流电路中的电容器,接在 220V 的交流电源上,

其电压的 大值为 2 U=311V。 因此此电容器的耐压值至少应大于电源电压的 大值,并留有一定的余量。所以,

应选用 400V 或 500V 的电容器。 所以,有效值可以代替 大值作为正弦量的一个要素。正弦量的有效值与角频率和

初相无关。

4.1.3 正弦量的相位和初相

1. 相位

在正弦量的瞬时表达式(4-1)中的(ωt+ψ)是随时间变化的电角度,它反映了正

弦量的变化进程,决定了正弦量每一瞬间的状态,称为正弦量的相位角和相位,其单位

为弧度(rad),有时为了方便,也常常用度表示。当相位随时间连续变化时,正弦量的

瞬时值随之作连续变化。

Page 54: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 56

2. 初相

ψ称为正弦量的初相角,简称初相。它是正弦量在 t=0 时刻的相位,即 (ωt+ψ)∣t=0=ψ (4-6)

初相的单位与相位相同。初相的大小和正负与 t = 0 的记时起点选择有关,记时起点

选择不同,初相则不同,正弦量的初始值也随之不同。图 4.1 的正弦波中,记时起点(即

t=0 的点)与正弦波的零值点(由负变正所经过的零点)之间的电角度就是其初相角。若

零值点位于记时起点的左边时,初相为正,如图 4.1(a)所示;若零值点位于记时起点

的右边时,初相为负,如图 4.1(b)所示;若零值点与记时起点重合是,则其初相为零,

如图 4.2(c)所示。通常,初相都在绝对值小于 π的主值范围内取值,即∣ψ∣≤π。

3. 相位差

两个同频率正弦量的相位之差,称为相位差,用ϕ表示。在正弦交流电路中,经常

需要比较同频率的正弦量的相位差。设有任意两个同频率的正弦量 u,i 为: u = Umsin(ωt+ψ1)

i= Im sin(ωt +ψ2) 则 u 与 i 之间的相位差为:

ϕ=(ωt+ψ1)-(ωt+ψ2)=ψ1-ψ2 (4-7) 可见,两个同频率正弦量的相位差等于它们的初相之差,ϕ与时间无关,是一个确

定值,所以与计时起点的选择无关。相位差是区分两个同频率正弦量的重要标志之一。

ϕ也采用主值范围的角度或弧度来表示,即 |ϕ|≤π (4-8)

相位差的大小反映了两个正弦量的变化进程是否一致,对两个同频率的正弦量而言

有下列几种情况:

当 ψ1>ψ2 时,ϕ>0(如图 4.2(a)),可知,u 比 i 先到达零值点,即 u 的变化进程

领先于 i,简称 u 超前 iϕ角,或称 i 滞后 uϕ角; 当 ψ1<ψ2 时,ϕ<0(如图 4.2(b)),可知,i 比 u 先到达零值点,即 u 的变化进程

滞后于 i,简称 u 滞 iϕ角,或称 i 超前 uϕ角; 当 ψ1 =ψ2时,ϕ= 0(如图 4.2(c)),可知,u 与 i 同时到达零值点,即 u 与的 i 变化

进程一致,简称 u 与 i 同相; 当ϕ= ψ1-ψ2 =±π时(如图(4.2(d)),u 与 i 相位相反,则称 u 与 i 反相.. 应当注意的是,对于不同频率的两个正弦量之间的相位差将不是一个常数,而是随

时间变化的,所以无法确定它们之间的超前滞后关系,则再去讨论它们之间的相位关系

也就失去了任何意义。

2iψψ

1iψ

i

ω

1i2i

2iψ

ψ 1iψ

i

ω

1i2i

(a)ψ=ψi1-ψi2>0,i1 超前 i2 (b)ψ=ψi1-ψi2<0,i1 滞后

Page 55: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 57

ω t

0

i1i2i

1i 2ii

ω

(c)ψ=ψi1-ψi2=0,i1 与 i2 同相 (d)ψ=ψi1-ψi2=180°,i1 与 i2 反相

1i 2ii

ω030=ψ

(e)ψ=ψi1-ψi2=90°,i1 与 i2 正交

图 4.2 两个同频率正弦量之间的相位差

例 4.1 设已知 u1= 310sin(314t–60°)V,u2=–100sin(314t+60°)V,求 u1 与

u2 的相位差及相位关系。 解:u2= –100sin(314t+60°)=100sin(314t+60°–180°)= 100sin(314t–120°)V 则ϕ=ψ1 – ψ2 =–60°–(–120°)= 60°

所以,u1 与 u2 的相位差为 60°,表明 u1 超前于 u2 60°相角或 u2 滞后于 u1 60°相

角。

4.2 正弦交流电路的计算

如上节所述,一个正弦量随时间变化的规律,可以用三角函数表示式或波形图来描

述。这是两种正弦量的基本表示法,其特点是前者能直观地反映出正弦量的三要素,后

者则形象地反映了正弦量随时间变化的规律,但是在正弦交流电路的分析计算中,描述

其工作状况的电路方程是常微分方程,需要进行几个同频率的正弦量的加减、乘除及微

分、积分等运算,若仍采用上述两种表示方法来进行这些运算,将会很繁琐并费时,非

常不方便。因为电路中的正弦量之间,一般情况下都不同相,存在着相位差。例如:已

知有两个正弦电流

21 =i Isin(ωt +ψ1), 22 =i Isin(ωt+ψ2), 设 21 iii += = 2 Isin(ωt+ψ),要求 i 的表达式

因为 ψ1≠ψ2,所以若要求出电流的有效值和初相 ψ,就必须进行繁琐的三角函数运

算,才能得出结果。为了解决这一问题,引出了一种简便而实用的正弦量表示法,即用

复数来表示,称为相量表示法。

Page 56: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 58

4.2.1 正弦量的复数表示和相量表示

1. 正弦量的复数表示

在正弦交流电路中,电源的频率是已知的,而电路中的所有电压、电流都是和电源

同频率的正弦量,所以对正弦交流电路进行分析计算时,只需要确定正弦量的 大值(或

有效值)和初相两个要素就行了。因此,可以考虑用复数来表示正弦量的 大值(或有

效值)与初相这两个要素,称为正弦量的复数表示。下面复习有关复数的运算。即 Imejψ

或 Iejψ,

2. 复数的表示形式

在图 4.3 所示的直角坐标系中,令其横坐标表示复数的实部,称为实轴,以+1 为单

位;纵轴表示虚部,称为虚轴,以+j 为单位(j= 1− ,数学中是用 i 表示的,电路理论

中改用 j 表示,以区别于电流 i),将由实轴和虚轴构成的复数坐标平面称为复平面。 (1)代数形式 复平面的 A 点所对应的复数 A,其实部是复数 A 在实轴上的投影a ,其虚部是复数

A 在虚轴上的投影 b,则复数 A 的代数形式为

rϕa

j+

b A

图 4.3

A = a +jb (4-9) 其中 a =Re [ ]A ,表示“取复数 A 实部”,b = Im [ ]A ,表示“取复数虚部”。

(2)极坐标形式 复数 A 在复平面上可用矢量(有向线段)OA来表示。矢量的长度 r 称为复数的模,

矢量与实轴的正半轴之间的夹角ϕ称为复数的幅角,因此可得到复数的极坐标形式为

A r ϕ= (4-10)

其特点是采用模和幅角这两个要素来表示一个复数。其中, 2 2r a b= +

ϕ =arctanab (4-11)

(3)三角函数形式 由图 4.3 同时可以得到关系式

a= rcosϕ b =rsinϕ (4-12)

所以又可以得出复数的三角函数形式为

Page 57: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 59

A=rcosϕ +rsinϕ (4-13) (4)指数形式 根据欧拉公式: ejϕ = cosϕ+sinϕ

可得到复数的指数形式为: A = rejϕ

(4-14) 纵上所术,一个复数 A 及其所对应的矢量可以用四种不同的形式来表示,即

A=a+jb=rcosϕ+rsin ϕ=rejϕ=r ϕ (4-15)

实际使用时,可根据不同的运算来合理选用。 例 4.2 按要求转换下列复数的表示形式: (1)化为极坐标形式

A=10+j10, B=3–j4, C= –5– j5 (2)化为代数形式

10 30A = °, 6 36.87B = − °, C= 20

解:(1)因为 r = 22 ba + ,ϕ = arct a nab

所以, 2 2 10A 10 10 arctan 14.45 4510

= + = °

B= 22 4)(3 −+ 4arctan3− =5 53.13− °

C= 22 5)(5)( −+− arctan =7.07 45 180° − °=7.07 135− °

式中 C 在第Ⅲ象限,因为要求|ϕ|≤π,所以,应加上(–180°)才是正确的。 (2)根据a = rcosϕ, b= rsinϕ 有 A=10cos30°+j10sin30°=8.66 +j5 B = 6cos(–36.87°)+j10sin(–36.870°)=4.8–j3.6 C =2cos(–180°)+j2sin(–180°)= –20

3. 复数的运算

(1)复数的加减运算 复数的加减运算必须用代数形式来进行。设有两个复数为

A=a1 +jb1, B=a2+jb2 则有 A±B=(a1±a2)+j(b1±b2) (4-16) 复数的加减运算也可以按平行四边行法则在复平面上用作图法来进行。 (2)复数的乘除运算 复数的乘除运算应该采用指数形式或极坐标形式来进行计算比较方便,即

A·B = AA

jr e ϕ · B Bjr e ϕ = rA·rBej(ϕA +ϕB) (4-17)

或 A·B =rA Aϕ · rB Bϕ = rA ·rB A Bϕ ϕ+ (4-18)

复数的乘除运算也可以在复平面上进行,并具有一定的几何意义。 (3)复数运算的几条性质

此外,在正弦交流电路的分析计算中,还会常用到复数的以下几条性质:

Page 58: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 60

A·ejα = rejϕ·ejα = rej(ϕ+α)

(4-19)

即将表示矢量OA的复数 A=rejϕ乘上 ejα,则相当于将矢量OA逆时针旋转α角,而 A

的模 r 不变。 同理,有: A·e-jα = rejϕ·e-jα=rej(ϕ–α) (4-20) 相当于将矢量 OA 顺时针旋转α角,而 A 的模 r 不变。故一般将 ejα

称为旋转因子。

由欧拉公式可知 90

90

cos90 sin 90 190

cos( 90 ) sin( 90 ) 1 90

j

j

e j j

e j j−

= + = =

= − + − = − = −

因此,任一用复数表示的矢量,乘以 j 相当于将该矢量沿逆时针方向旋转了 90°,

如图 4.4 所示。

j+

jA+ A

jA−

图 4.4

如果 A 乘以tje ω,则复数 A 表示的矢量在复平面上将围绕坐标原点以角速度 ω 逆

时针方向不断旋转,故称其为旋转矢量,它在任一时刻 t 的幅角为(ωt+ϕ)。用复数表

示该矢量即 ( )j t j j t j tA e re e reω ϕ ω ω ϕ+• = • = (4-21)

例 4.3 已知复数 A=4–3j,B=–3+j4,求 BA+ , BA − , BA• 和BA。

解:(1) 4 3 ( 3 4 ) 1 1A B j j j+ = − + − + = + 4 3 ( 3 4 ) 7 7A B j j j− = − − − + = −

(2)计算乘除运算时,首先应将复数转换成极坐标形式,再进行计算。 2 2

2 2

( 3)4 3 4 ( 3) arctan 5 36.94

43 4 ( 3) 4 arctan 5 53.1 180 5126.9( 3)

A j

B j

−= − = + − = − °

= − + = − + = − °+ ° = °−

则 5 36.9 5126.9 25 90

5 36.9 1 165.95126.9

A BAB

• = ∠− °• ° = °− °

= = − °°

Page 59: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 61

4. 正弦量的相量表示法

(1)正弦量的相量 设有一正弦电流 )tIi ϕϖ += sin(m ,为了在复平面上表示该正弦量,可在图 4.5(a)

复平面上做一旋转矢量,使它的模等于正弦量的 大值 Im。它的幅角(即该矢量的初始

位置与实轴正方向之间的夹角)等于正弦电流的初相ϕ,并令其以角速度 ω(正弦电流

的角频率)逆时针方向旋转。则它在任意瞬间的幅角为(ωt+ϕ),它的指数形式为)t(jeI ϕω +

m ,三角函数形式为 j( t )

m m mcos( ) sin( )I e I t jI tω ϕ ω ϕ ω ϕ+ = + + + (4-22)

ωω

0

)0(i

)0(i

1( )i tϕ

ωi2

1( )i tωt

+1

0

1t1t

(a) (b)

图 4. 5 正弦波与旋转相量

可见,这一旋转矢量具有正弦量的三个要素,因此可用来表示正弦量,正弦电流 i 就等于该旋转矢量的虚部,即正弦电流在某时刻的瞬时值,可以由同一瞬间该矢量在虚轴

上的投影来表示。 例如正弦电流 i 可表示为

j( t ) j j tmm m m m m[ ] [ ] [ ]ω j ti I I e I I e e I I eϕ ϕ ω ω+= = =i

(4-23) 式中的 m m

jI I e ϕ= 是一个复常数,其模就是正弦电流 i 的 大值,幅角是 i 的初相ϕ,j te ω

是一旋转因子。式(4-23)实质上是一种数学变换。 由于在线性正弦交流电路中频率是已知的,所以,同频率的正弦量在进行运算时,

不必考虑旋转因子j te ω

,只需要确定正弦量的 大值和初相(两个要素)就可以了。容

易看出,上述的复常数 mI 正好表示了正弦量的这两个要素。因此,我们就把这个复数

称为正弦量的“相量”,以区别于一般的复数,并在大写字母上打“•”来表示。 即表示正弦电流 )sin(2)sin( im ϕωϕω +=+= tItIi i 的相量为

im m m i m i i(cos sin )jjI I e I I jϕ ϕ ϕ= = = + (4-24)

i i i(cos sin )ijjI I e I I jϕ ϕ ϕ= = = + (4-25)

m 2I I=

其中, mI 是电流的 大值(幅值)相量, I 是电流的有效值相量。

同理,正弦电压 )sin(2)sin( um utUtUu ϕωϕω +=+= 的相量为

Page 60: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 62

m m u m u u(cos sin )ujjU U e U U jϕ ϕ ϕ= = = +

u u u(cos sin )ujjU Ue U U jϕ ϕ ϕ= = = +

m 2U U=

将正弦量用相量表示以后,原来电路的关于三角函数的微分方程,就变换成了关于

相量(复数)的代数方程,从而给正弦交流电路的计算带来简化,所以,这是分析计算

正弦交流电路的主要计算工具。 (2)相量图 相量作为一个复数,可以在复平面上用对应的矢量来表示,这种表示相量的图称为

相量图。 在相量图上,能够非常直观、形象地表示出各个相量对应的正弦量的大小以及相互

之间的相位关系。借助相量图,常常可以使相量之间的关系和物理概念更加明确。所以

正确地绘制相量图,对于正弦交流电路的分析是十分重要的,这也是常用的分析计算工

具之一。 在作相量图时,可以选择某一相量作为参考相量,而其他有关相量就以它为基准来

确定。参考相量的初相可取为零,也可以取其它值,视不同的情况而定。画相量图时,

应首先画出参考相量,然后根据其它各相量与参考相量之间的相位关系,逐个画出电路

的全部相量。一般串联电路选择电流为参考相量,并联电路选择电压为参考相量。 为使图面清晰,画相量图时,可以不画出复平面的坐标轴,但相位的幅角应以逆时

针方向的角度为正,顺时针方向的角度为负。 例 4.4 已知三个正弦电压分别为

1 2 310sin(314 30 )V, 6sin(314 30 )V, 5cos(314 30 )V,u t u t u t= + ° = − + ° = + ° 试

写出这三个正弦量的相量,并绘出相量图。 解: 1u 的 大值相量和有效值相量分别为:

.

1m 10 30 V,U = ∠ ° .

110 30 V 7.07 30 V

2U = ° = °

2 6sin(314 30 ) 6sin(314 30 180 ) 6sin(314 120 )Vu t t t= − + ° = + °− ° = − °

则 2m 6 -120 V,U•

= ° 2

6 -120 V 4.24 -120 V2

U•

= ° = °

3 5cos(314 30 ) 5sin(314 30 90 ) 5sin(314 120 )Vu t t t= + ° = + °+ ° = + °

则 3m 5120 V,U•

= ° 3

5= 120 3.53120 V2

U•

° = °

对应的相量图如图 4.6 所示。

U3U1

U2

0120

0120−

030

2i

21 iii +=

1i

045

0135

图 4.6 例 4.4 相量图 图 4.7 例 4.5 相量图

Page 61: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 63

例 4.5 已 知 两 正 弦 电 流 分 别 为 : 1 10 2sin(314 45 )Ai t= + ° ,

2 10 2sin(314 135 )Ai t= + ° 。试求: 21 iii += ,并画出相量图。

解:首先写出正弦电流的有效值相量

1 210 45 A, 10 135 AI I• •

= ° = °

再利用相量的运算求两电流和的相量

1 2I I I• • •

= + = 10 45 10135 A° + ° 10(cos 45 sin 45 ) 10(cos135 sin135 )

(5 2 5 2) ( 5 2 5 2) 10 2 10 2 90

10 2 90 A

j j

j j j

= °+ ° + ° + °

= + + − + = = °

= °

相量图如图 4.7 所示。 通过以上例题可以得出以下结论: (1)相量法的实质就是,将同频率的正弦量变换为对应的相量(复数),进行相量

的代数运算,求出电路变量的相量,然后再变换成正弦量的瞬时表达式。因此只有同频

率的正弦量才能用相量来进行分析计算,非正弦量不能用。 (2)相量只是一个用来表示对应正弦量的两个要素的复数。因此,相量不等于对

应的正弦量,书写表达式尤其要注意这一点。 (3)同频率正弦量的相量,在相量图中的相对位置是固定不变的,所以只有同频

率的正弦量的相量,才能画在同一个相量图中,而不同频率正弦量的相量,不同时刻在

相量图中的相对位置是变化的,所以不能画在同一个相量图中。 (4)相量运算的 终结果必须化为极坐标形式,因为只有这样才能表示出正弦量

的有效值(或 大值)和初相,才能变换为正弦量的瞬时表达式。

4.2.2 正弦交流电路中的阻抗

1. 电路元件 R、L、C的电压与电流关系的相量形式

电阻、电感和电容是正弦交流电路中的三个基本电路元件。在对正弦交流电路进行

分析计算时,必须首先掌握这三个电路元件的电压与电流关系的相量形式,这是分析计

算的基础。 在正弦交流电路中,电路元件 R、L、C 的电压与电流关系,都是同频率正弦量之间

的关系,因此所涉及到的相关运算均可以用其相量来进行计算。这样就将电压与电流关

系的时域形式(即时间 t 的函数),全部转换成了相量形式。 (1)电阻元件 设有正弦电流 iR通过电阻 R 如图 4.8(a)所示。

R RRi

Ru Ru+ - +

(a) (b) 图 4.8 正弦交流电路中的电阻元件

Page 62: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 64

根据欧姆定律,有 R R m isin( )i I tω ψ= + (4-26)

R R R m i Rm usin( ) sin( )u i R I t U tω ψ ω ψ= = + = + (4-27)

其电压也是一个同频率的正弦量如图 4.9(a)所示。可以看出,电阻元件的电压与

电流同相位,其 大值及有效值之间的关系符合欧姆定律,即 RIU RmRm = 或 RIU RR =

iu ψψ = (4-28)

则对应的相量形式

RIU RmRm

••

= 或 RIU RR

••

= 即 Rm u Rm iU I Rψ ψ= 或

R u R iU I Rψ ψ= (4-29)

由此得出电阻元件的相量图模型如图 4.8(b)所示,其对应的电压电流的相量图如

图 4.9(b)所示。

u

i

ω

i u

j+

12 ϕϕ =

图 4.9 电阻的电压、电流波形图和相量图

(2)电感元件 设有正弦电流 iL 流过电感 L,则在电感元件两端将会出现正弦电压 uL,如图 4.10

(a)所示。

LiLjωLiL

Lu Lu

Lu

Li

j+

+10

图 4 .10 正弦交流电路的电感元件 图 4.11 电感的电压与电流的相量图(设 iψ =0)

根据电感元件的电压与电流的微分关系有: ( )iL Lm sini I tω ψ= +

Page 63: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 65

( )L iL Lmd / cosu L i dt LI tω ω ψ= = +

iLm sin2

U t πω ψ⎛ ⎞= + +⎜ ⎟⎝ ⎠

(4-30)

其端电压也是一个同频率的正弦量,如图 4.11 所示,电感元件的电压超前电流2π 相

角,它们的 大值或有效值之间的关系也具有欧姆定律的形式,即: m L

Lm L

L

L2U U L fL X

I Iω π= = = =

u i 2πψ ψ= + (4-31)

其中的LX 称为电感的电抗,简称感抗,显然它的单位为欧姆,当电压

LU 一定时,LX

越大,则电流LI 越小,所以

LX 反映了电感元件对流过的正弦电流起阻碍作用的能力。

表明电感元件具有对正弦电流起阻碍作用的物理性质。 对应的相量形式为:

LLm Lm LmU j LI jX Iω= = 或 L L L LU j LI jX Iω= =

u iLm Lm 2U LI πψ ω ψ∠ = + 或

L u L i 2U LI πψ ω ψ∠ = + (4-32)

故电感元件的相量模型如图 4.10(b)所示,相量如图4.11 所示。 例 4.6 已知一线圈的电感 L=20mH,电阻可略去不计。把它接到 100 2 sinu tω= 的

电源上,试分别计算电源频率为 50Hz 与 50kHz 时线圈的感抗 XL及通过的电流 I。若将

此线圈接到直流电源上将会出现什么现象? 解:① 当电源的频率为 50Hzf = 时,

感抗为 XL=2πFL=2×3.14×50×20×103=6.28Ω

通过的电流为 L

100 0 15.9 90 A6.28

UIjX j

°= = = °

② 当电源的频率为 50kHzf = 时,

感抗为 XL=2πFL=2×3.14×50×20×10–3=6.28Ω

通过的电流为: 100 0 15.9 90 mA6280L

UIjX j

°= = = − °

所以, I=15.9mA ③ 当此线圈接到直流电源上时,由于 f=0,则 XL=2πfL=0 相当于短路。此时由于

电路中电源的内阻和线圈电阻都非常小,所以将造成通过线圈的电流很大,使线圈发热。 由此可见,电感的感抗

LX 与电源频率 f 成正比,对高频电流可起到有效地阻止作

用,因此,利用它这一性质,在无线电技术中,制成高频扼流圈,来达到有效地阻止高

频电流通过的目的。

(3)电容元件 设有正弦电压 ( )mcC sin uu u tω ψ= + 加于电容两端如图 4.12(a)所示,

Page 64: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 66

Cjω1

−C

Cu

Ci Ci

Cu+ - + -

Ci

Cuiϕ

(a) (b) (c)

图 4.12 正弦交流电路中的电容元件

则由电容元件的电压与电流关系可得: uc=ucmsin(ωt+ψu)

( )cc ucm

d cosdui C Cu tt ω ω ψ= = +

m uc sin2

I t πω ψ⎛ ⎞= + +⎜ ⎟⎝ ⎠

(4-33)

其流过的电流也是一个同频率的正弦量。 容易看出,电容元件的电流超前电压

2π 相角,或者说电压滞后于电流

2π 相角,它们

之间的 大值或有效值之间的关系也满足欧姆定律形式,即: Cm C

CCm C

1 12

U U XI I C fCω π

= = = =

2iuπΨΨ −= (4-34)

对应的相量形式为:

CCm Cm Cm

1U j I jX ICω

= − = − 或 C C C C

1U j I jX ICω

= − = −

即:uCm Cm i

12

U IC

πψ ψω

= − 或 C u C

12iU I

Cπψ ψ

ω= − (4-35)

故电容元件的相量模型如图 4.12(b)所示,其相量图如图 4.12(c)所示。 例 4.7 把一个 25μ F的电容元件接到工频 100V 的正弦电源上,试求:(1)电容

元件的容抗 XC 及流过的电流 I。(2)如果电压值保持不变,而电源频率改为 5kHz,此

时容抗和电流将为多少? 解:设 100 0U = ∠ °V (1)当 50Hzf = 时,

127.4Ω1025503.142

12

16C =

××××==

−fCX

π

1100 0 780mA 0.78 90 A127.4C

UI j jjX

⎛ ⎞= = ° = = °⎜ ⎟− ⎝ ⎠

I=0.78A (2)当 f=5kHz 时,

1.274Ω1025500003.142

12

16C =

××××==

−fCX

π

Page 65: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 67

C

1100 0 78 90 A1.274

UI jjX

⎛ ⎞= = ° = °⎜ ⎟− ⎝ ⎠

I=78A 由例 4.7 的计算可知,电容器的容抗是与电源的频率成反比的,频率越高,其容抗

越小,呈现低阻。所以电容器能让高频信号顺利通过,当 f →∞时, 02

1C ⎯→⎯=

fCX

π,

电容相当于短路。故在电子技术中,电容器常被作为传输交流信号的耦合元件。而在直

流电路中,f=0, ∞⎯→⎯=fC

Xπ21

C,电容相当于开路,即电容具有阻止低频(直流)信

号通过的作用,故在电子技术中,电容器还同时起到隔断“直流”的作用。因此常称电

容器具有隔直(流)通交(流)的作用。

2. 电路定律的相量形式

基尔霍夫定律是电路的基本定律,不仅适合于直流电路,而且同样也适合于正弦交

流电路。 在正弦交流电路中,电压、电流都是同频率的正弦量,应用基尔霍夫定律,有

∑ = 0i

∑ = 0u

1i 1i

2i A3i 2i 3iA

(a) (b)

图 4.13 正弦交流电路中的电流

设图 4.13(a)所示电路中的三个电流均为同频率的正弦量,即 )sin(2 111 ψω += tIi )sin(2 222 ψω += tIi )sin(2 333 ψω += tIi

对结点 A 应用 KCL 可得, 0321 =+− iii

根据正弦量与其相量间的对应关系,可作出图 4.13(a)的相量模型如图 4.13(b)所示,对结点 A 列出 KCL 方程为:

0321 =+−•••

III

写成一般表达式即为,

0=∑•

I (4-36)

表明在正弦交流电路中,对任一结点,所有流出该结点的支路电流相量的代数和恒

Page 66: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 68

等于零。 同理,对 KVL 应有:

0=∑•

U (4-37)

表明在正弦交流电路中,沿任一回路,所有支路电压相量的代数和恒等于零。 以上两式便是 KCL 和 KVL 的相量形式,其符号规定不变。

3. 正弦交流电路中的阻抗

(1)阻抗的定义 以上讨论了电阻、电感和电容三种元件的电压与电流关系的相量形式,在关联参考

方向 下,分别为:

R RU I R= ⋅ , 即 R

R

U RI

=

L L LU jX I= 即 LL

L

U jX j LI

ω= =

C C CU jX I= − 即 CC

C

U jjXI Cω

= − = −

容易看出上述几式在形式上具有相似性,故可归纳为用一个统一形式来表示,即: U ZI= (4-38)

通常称其为相量形式的欧姆定律。式中Z 称为元件的阻抗,单位是欧姆。 所以上述三个元件的阻抗分别为:

RZ R= , L LZ jX j Lω= = ,C C

1Z jX jCω

= − = − (4-39)

在工程实际的正弦交流电路中,常常是由两个或两个以上不同参数不同性质的元

件,组成串联或并联电路的。例如,电动机、接触器、继电器等设备中,都含有线圈,

而且线圈中的电阻通常是不能忽略掉的。所以,下面讨论由几个不同的元件构成的正弦

交流电路的阻抗,更具有一般性和实际意义。 (2)正弦交流电路的阻抗 上述由单个元件的电压、电流相量关系而得出的阻抗的概念,完全可以推广到由 R、

L、C 元件构成的不含独立电源的电路中去。

图 4.14 正弦交流电路的阻抗

设由 RLC 元件构成的不含独立电源的电路如图 4.14 所示,已知: ( ) ( )usin2 Ψω += tUtu

Page 67: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 69

( ) ( )isin2 Ψω += tItu

对应相量为uU U ψ= ∠

i,

iI I ψ= ∠i

,则

u iU UZ Z

IIψ ψ ϕ= = − =

i

i

其中, UZI

= ,ψ=ψu-ψi (4-40)

阻抗 Z 是一个复数(又称复阻抗),但不是正弦量,所以符号上不加“.”, Z 是阻

抗的模,ϕ为阻抗角,阻抗的图形符号与电阻元件的的图形符号相似。

阻抗 Z 也可以用代数形式来表示,即 cos sinZ Z Z j Z R jXϕ ϕ ϕ= = + = + (4-41)

式中 Z 的实部 [ ]ZRR e= ,称为电路的电阻,Z 的虚部 [ ]ZIX m= ,称为电抗。

引入了阻抗的概念后,正弦交流电路中阻抗的串联,并联和混联的计算,在形式上

就完全与直流电路一样了,其区别只是用Ui、 I

i、Z 代替原公式中的 U、I、R,并且需

要注意相位关系(即角度),从而简化了正弦交流电路的分析计算。 (3)RLC 串联电路的阻抗 设 RLC 串联的正弦交流电路如图 4.15(a)所示,图 4.15(b)是电路对应的相量模

型。

(a) (b)

图 4.15 RLC 串联的正弦交流电路

由图 4.15(b)应用 KVL 可得,

R L C1jU U U U R I j L I I R j L I Z I

C Cω ω

ω ω⎡ ⎤⎛ ⎞= + + = + + = + − =⎜ ⎟⎢ ⎥⎝ ⎠⎣ ⎦

i i i i i i i i i

故电路的阻抗为 Z=ZR+ZL+ZC

11jR j L R j L R jX Z

c Cω ω

ω ω⎛ ⎞= + − = + − = + = ≤ Ψ⎜ ⎟⎝ ⎠

(4-42)

式中,X 为电抗, L C

1X L X XC

ωω

= − = −

阻抗的模为 ( )L C

22 2 2Z X XR X R= + = + −

jωLRICR I L

jCω

Page 68: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 70

阻抗角为 L Carctan arctanX X XR R

ϕ −= =

1

arctanL

cR

ωω

⎛ ⎞−⎜ ⎟⎝ ⎠= (4-43)

(4)阻抗三角形 从式(4-43)可以看出,阻抗的模 Z 、阻抗角ϕ与电阻 R 以及电抗 X 之间的关系,

可以用图 4.16 表示。

图 4.16 阻抗三角形

图 4.16 所示的直角三角形的边角关系直观而又形象地表示,并称为阻抗三角形。要

注意阻抗是标量,不是相量,所以图中不应带有箭头来表示。 (5)阻抗及电路的性质 由式(4-43)可知,阻抗角ϕ反映了电压 u 与电流 i 之间的相位差,决定了电路的

性质。当电源频率一定时,ϕ角由电路参数来决定,与 U、I 的大小无关。 如果式(4-43)中,XL<XC,则 X>0, 0ϕ > ,表示电压超前与电流,电路呈感性,

所以称为感性电路; 如果,XL<XC,则 X=0, 0ϕ < ,电压滞后与电流,电路呈容性,称为容性电路; 如果, L CX X= ,则 X=0, 0ϕ = ,电压与电流同相位,电路呈电阻性,称为电阻

性电路。这是 RLC 串联电路的一种特殊的工作状态,称电路处于串联谐振状态。此时

电路中的电流将达到 大值为 RUI =max ,而电感与电容上的电压满足 UL=UC,且相位相

反,即

0CLCL =+−=••••

UU,UU ,

又称为电压谐振。

4.2.3 简单正弦交流电路的分析计算

简单正弦交流电路一般是指由多个电路元件 R、L、C 串联、并联和混联电路,也

包括阻抗的串联、并联和混联电路。 简单正弦交流电路的分析计算依据为: (1)电路满足 KCL 和 KVL; (2)各元件要满足其电压电流的相量形式关系。 分析计算的一般步骤是: ① 首先作出电路的相量模型; ② 根据电路中各阻抗的串、并联关系直接列出相量形式的电路方程; ③ 求出待求的电压或电流的相量后,再写出对应的瞬时表达式。

Page 69: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 71

RLC 串联电路。设 RLC 串联电路如图 4.15 所示。串联电路一般取电流为参数相量,

所以有以下相量关系式,设 0I I= °i

R 0U R I RI= = °i i

L L L L90 90U jX I X I U= = ° = °i i

C C C C90 90U jX I X I U= − = − ° = − °i i

R L C R XU U U U U U= + + = +i i i i i i

其中, ( ) ( )X L C L C L CU U U j U U j X X I jX I= + = − = − =i i i i i

(4-44)

画出对应的相量图,其次序是:首先画出电流相量 Ii,然后根据 RU

i与 L

i同相, LU

i

超前 Li

90°, cUi滞后 I

i90°,画出这三个电压相量, 后按 KVL 画出电路总电压相量U

i。

若设 UL>UC,则相量图如图 4.17 所示。

LU•

LU•

L CU U• •

+

U

RU••

I

CU•

ϕ

图 4.17

从图 4.17 中可以看到,电压Ui、U

i、 RU

i和 XU

i,这三个相量构成了一个直角三角

形的三条边,所以称为电压三角形。由电压三角形可以得出三个电压的的有效直之间关

系为电路的相量图。 cosRU U ϕ= , sinXU U ϕ=

( )22 2 2L CR X RU U U U U U= + = + − (4-45)

总电压与电流的相位差为: ( )L CX

R R

arctan arctanU UU

U Uϕ

−= = (4-46)

电压三角形与阻抗三角形是相似的,由电压三角形各边除以电流便可以得到阻抗三

角形,但阻抗三角形是标量,所以不画箭头。 图 4.18(a)、(b)和(c)则分别对应 UL>UC、UL<UC,UL=UC时电压三角形

的情况。

Page 70: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 72

LU• LU

LU•

UXU•

XU•

RU

U

RU•

CU•

I

I

ϕRU U

• •

=

(a)0>>

ϕCL UU (b)

U

L C

0U Uϕ

<

<L C

0U Uϕ

=

= 图 4.18 电路性质不同时的电压三角形

例 4.8 在图 4.19(a)的电路中,已知 R=20Ω,L=7mH,C=10 Fμ ,外加电压

100 2sin5000u t= ,试求:(1)电路中电流 i 的瞬时值;(2)各元件上电压的瞬时值;

(3)画出电流及各元件上的电压相量图。

R L

CUR

UL

UC

+ - + - +

-ϕ •

RU•

I

CU•

LU•

0=ϕ•

U

(a)电路图 (b)相量图

图 4.19 例 4.8 图

解:(1)用相量法计算,先写出已知相量,计算电路的阻抗,然后求出待求各量。

已知电压相量为 100 0U = ∠ °i

V 电路的阻抗为 ZL=jωL=j5000×7×10-3=j35Ω

C 6

1 1 205000 10 10

Z j j jCω −= − = − = − Ω

× ×

所以,1 20 35 20 20 15 25 36.87Z R j L j j j jC

ωω

= + − = + − = + = °Ω

设电路的电流相量为 Ii,得

100 0 4 36.87 A25 36.87

UIZ

°= = = − °

°

ii

i

各元件上的电压相量分别为

R 20 4 36.87 80 36.87 VU R I= = × − ° = − °i i

L 35 4 36.87 140 53.13 VU j L I jω= = × − ° = °i i

C1 20 4 36.87 80 126.87 VU j I jCω

= − = − × − ° = − °i i

X L C 60 53.13 VU U U= + = °i i i

写出各量对应的的瞬时表达式,分别为:

Page 71: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 73

( )4 2sin 5000 36.87 Ai t= − °

( )R 80 2sin 5000 36.87 Vu t= − °

( )L 140 2sin 5000 53.13 Vu t= − °

( )C 80 2sin 5000 126.87.13 Vu t= − °

(2)根据计算结果,画出对应的相量图如图 4.19(b)所示。 由计算结果可以看到,UL高于 U,因此,在正弦交流电路中选择电感和电容元件的

耐压值时应考虑到实际所承受的电压 大值。 例 4.9 已知图 4.20 所示的电路中,各交流电流表的读数(电流的有效值)分别为,

表 A1 为 5A,表 A2 为 25A,表 A3 为 20A,求表 A 和 A4 的读数。

A3A

A1 A2

A4

+

-

4I•

I

2I

3I

1

IR

cjω1

LjωSU

A4

图 4.20 例 4.9

解:各电流表的读数就是所串接支路的电流有效值。因为是并联电路,所以可选并

联支路的电压相量为参考向量。然后根据元件的 VCR 就能很方便地确定各支路电流的

初相,则各电流相量亦随之可确定了。

令 SUi

= SU 0°V

1SUI

R=

ii

= 1I 0° = 5 0°A

2Ii= SU

j Lω

i

= 2Ii

090− = 25j− A

3I•= Sj cUω

i= 3I

i90° = 20j A

根据 KCL,有 4Ii= 2I

i+ 3Ii= 25 20 5j j j− + = − A

Ii= 1I

i+ 4Ii= 1I

i+ 2Ii

+ 3Ii= (5 5)j− 7.07 45= − °A

可见总电流滞后总电压 45Su iϕ ψ ψ−= = °

是感性电路,表 A 读数为 7.07A;表 A4 读数为 5A。 例 4.10 图 4.21 所示的正弦交流电路中,已知电压表读数为 110V,电流表读数

1 5AI =i

, 2 7.07AI =i

,R1=12Ω,R2=XL,电源电压 u 与电流 i 同相。试求总电流 Ii,电

阻 R2、感抗 XL和容抗 XC。 解:(1)画出电路的相量模型如图 4.21(b);

(2)由题意,可作出电路中各电压和电流的的相量图,如图 4.21(c)所示。

Page 72: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 74

A2

A1

V

i1i

2i

C

L2 R2

R1

+

-

U

+

-

I R1

1

U+ -

2U

2

I

1

I cjX−

LjX R2

+ -

U

图 4.21 例 4.10

作相量图的步骤如下:以电压 2Ui

为参考相量,设 2Ui

= 2 0U ∠ °,则电容支路电流 1Ii

应超前 2 90U °i

,又因 R2=XL,所以感性支路电流 2Ii应滞后 2 45U °

i,由此可作出 1I

i、 2I

i,

然后由 KCL 得到 Ii= 1I

i+ 2Ii,则再确定 I

i。由相量图可看出 I

i与 2U

i同相,而 1U

i应与 I

i

同相,因此 1Ui

与 2Ui

也同相。 后由 KVL 确定电源电压Ui= 1U

i+ 2U

i也与 I

i同相(电路

处于谐振状态)。

2I•

1

I

U

1

U

2

U•

I

450

(c) 图 4.21 例 4.10

由相量图可得 1Ii= j5A, 2I

i= 7.07 45− ° A ,

可见 Ii、 1I

i与 2I

i组成了一个等边直角三角形,则

I=I1=5A

Ii= 5 0° A

1 1 12 5 0 V 60 0 VU R I• •

= = × ° = °

110 0 VU•

= °

2 1 110 0 60 0 50 0 VU U U• • •

= − = °− ° = °

则容抗为 2C

1

50 105

UXI

= = = Ω

感性阻抗支路阻抗为 ( )22

2

50 0 5 2 45 5 57.07 45

UZ jI

°= = = ° = + Ω

− °

所以电阻和感抗为: R2=XL =5Ω

Page 73: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 75

4.2.4 正弦交流电路的功率

正弦波的一个主要用途是传输能量,由于正弦交流电路中包含有储能元件 L 和 C,因此功率及能量关系要比直流电路复杂,需要引用一些新的概念。正弦稳态时功率和能

量都是随时间变化的,但通常我们感兴趣的并不是它们的瞬时值,而是其平均值,即电

路中消耗功率的平均值,以及储藏能量的平均值。所以就需要引用平均功率及无功功率

的概念,并进而引入视在功率及功率因数等。通过学习不仅要求理解这些基本概念,还

要能运用它来分析问题。

1. 瞬时功率

图 4.22 所示电路 N0 是一个线性无源二端网络,内部不含独立电源,仅含电阻、电

感和电容等无源元件。设其电压、电流采用关联参考方向,分别为: ( )usin2 Ψω += tUu ( )isin2 Ψω += tIi

则该二端网络吸收的瞬时功率为: 2 sin( ) sin( )u ip ui UI t tω ψ ω ψ= = + • +

[cos( ) cos(2 )]u i u iUI tψ ψ ω ψ ψ= − − + + (4-47) ucos cos(2 2 )UI UI tϕ ω ψ ϕ= − + −

cos 1 cos[2( )] sin sin[2( )]u uUI t UI tϕ ω ψ ϕ ω ψ= + + + + (4-48) 由式(4-47)可知,瞬时功率 P 由恒定分量 cosUI ϕ 和角频率为 2ω的正弦分量

u icos(2 )UI tω ψ ψ+ + 两部分组成。

而且按式(4-48)形式可看到 p 的第一项始终大于或等于零(2πϕ ≤ ),称为 p 的

不可逆分量,第二项是 p 的可逆分量,其值正负交替,表明有能量在外加电源与二端网

络 N0 之间来回交换。瞬时功率的波形如图 4.22 所示。

图 4.22

2. 平均功率(有功功率 P)及功率因数

(1)平均功率的定义 瞬时功率的实际意义不大,且不便于测量,工程上通常引用平均功率的概念。平均

功率又称有功功率,其定义是指瞬时功率在一个周期内的平均值,用大写字母 P 表示:

i

u

u,i,p

up

cosUI ϕ

t

i

Page 74: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 76

( )[ ] ϕΨΨωϕ cos2coscos110 0 iu UIdttUI

Tpdt

TP

T T=+++== ∫ ∫ (4-49)

有功功率 P 代表二端网络实际所消耗的功率,等于瞬时功率的恒定分量。不仅与电

压和电流的有效值有关,而且与它们之间的相位差有关。在工程上, cosϕ称为功率因

数,ϕ称为功率因数角,对无源网络来说,ϕ也就是该网络等效阻抗 Z 的阻抗角。一般

情况下,由 R、L、C 元件构成的二端网络有-90°≤φ≤90°,0 cos 1ϕ≤ ≤ 。

3. R、L、C 单个元件的平均功率

对于电阻 R,有 0ϕ = , cos 1ϕ = , 2 2P UI RI GU= = = PR表示电阻所消耗的功率。

4. 无功功率 Q

在正弦交流电路中,储能元件 L、C 不消耗能量,故其平均功率为零,为了衡量储

能元件 L、C 与电源之间能量交换的程度,工程上引用了无功功率的概念,用大写字母

Q 表示,是按瞬时功率不可逆分量的 大值定义的,代表了电路中消耗功率的平均值,

即 def sinQ UI ϕ (4-50)

5. 视在功率 S

在正弦交流电路中,许多工程上电力设备的容量是由它们的额定电流和额定电压的

乘积决定的,因此引进了视在功率的概念,用大写字母 S 表示,其定义为 defS UI (4-51)

为了区别有功功率、无功功率和视在功率,所以采用了不同的单位。有功功率用 W(瓦),无功功率用 Var(即无功伏安),视在功率用 VA(伏安)。

视在功率虽然具有功率的形式和量纲,但它并不是正弦交流电路实际消耗的功率。

通常视在功率用来表示电气设备的额定容量,当 cos 1ϕ = 时有 Pmax=S,所以视在功率表

示电气设备可能发出的 大有功功率。例如对于发电机及变压器设备,它们的额定容量

为 SN=UNLN,而所发出的有功功率则取决于所接负载的功率因数 cosϕ,所以 P 不是一

个确定值,因此,对这类电气设备只能用视在功率来表示其额定容量,而不能用有功功

率来表示。在任何情况下电源设备提供给负载的有功功率,只能小于或等于其铭牌上标

注的额定容量(即视在功率),否则,将可能损坏供电设备。

6. 功率三角形

由上述三种功率的定义式可以看出,有功功率 P、无功功率 Q 和视在功率 S 之间存

在着以下关系: 22 QPS +=

ϕcosSP = ϕsinSQ =

arctan QP

ϕ = (4-52)

Page 75: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 77

所以由 P、Q、S 构成了功率三角形如图 4.23 所示。

RU•

R

X

Q

U

XU•

P

图 4.23 阻抗、电压和功率三角形(设为感性负载, > 0ϕ )

功率三角形可由阻抗三角形或电压三角形来得到,只要其各边分别乘以电流 2I 即

可。

7. 单个元件及其二端网络的功率计算

(1)单个元件的功率的计算 对于电阻元件,其阻抗角 0iu =−= ΨΨϕ ,则对应的

平均功率: PR=URLR=RLR2=GUR2 (4-53) 无功功率: QR=0

其中 PR表示电阻实际消耗的功率,所以电阻 R 是耗能元件。 对于电感元件,其阻抗角 2

πϕ = ,则对应的平均功率 PL= 0 无功功率

LU

LLULUQ L ωωπ 2

L2LLLLLL 2

sin ==== (4-54)

表明电感 L 不消耗有功功率,但和电源之间有能量的来回交换,其交换能量的大小

为 QL,且为正值,所以电感 L 是储能元件。 对于电容元件,其阻抗角 2

πϕ = ,则对应的

平均功率: PC=0

无功功率: 22CCCCC

12

sin CUCICC

IULUQ ωω

π−=−=−=⎟

⎠⎞

⎜⎝⎛−= (4-55)

表明电容 C 不消耗有功功率,但和电源之间有能量来回交换,其交换能量的大小为

QC,且为负值,性质与电感 L 相反,所以电容 C 也是储能元件。 工程上习惯按平均功率的概念,把 Q>0 称为“吸收”无功功率,Q<0 称为“发出”

无功功率,因此称电感元件为“吸收”无功功率,电容元件为“发出”无功功率。 (2)R、L、C 元件组成的二端网络的功率计算

设二端网络为 RLC 串联电路,其端电压为 uU U ψ•

= ,流入的电流为 iI I ψ•

= ,阻抗

为 ( )L CZ Z R jX R j X Xϕ= = + = + −

由功率的定义有

( )2

2cos cos RR

UP UI U I U I RIR

ϕ ϕ= = = = =

可见电路所消耗的有功功率就是电阻所消耗的有功功率。 所以对一般二端网络,若内部含有多个电阻时,网络吸收的有功功率应等于各个电

Page 76: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 78

阻吸收的有功功率之和,即

K K K

2R RP P R I= =∑ ∑ (4-56)

式中,KRI 为流过电阻 RK中的电流的有效值。

同理,对 RLC 串联电路,其无功功率为: ( ) ( )L C

C

2 2L

sin sin1

Q UI U I U U I

LI I Q QC

ϕ ϕ

ωω

= = = −

= − = + (4-57)

可见,电路总的无功功率等于电感的无功功率加上电容的无功功率。 所以对一般二端网络若内部含有多个电感和电容时,二端网络总的无功功率应为所

有电感和电容元件的无功功率之和,即

LK CKQ Q Q+=∑ ∑ (4-58)

例 4.11 试计算例 4.8 电路的有功功率、无功功率、视在功率及功率因数。 解:根据例 4.8 的计算结果,可得到

( ) ( )cos 100 4cos 0 36.87 320 WP UI ϕ= = × °− − ° =⎡ ⎤⎣ ⎦

或 2 220 4 320WP RI= = × = ( )sin 100 4sin 0 36.87 240(Var)Q UI ϕ= = × °− − ° =⎡ ⎤⎣ ⎦

或 2 2 2 21 35 4 20 4 240(Var)Q LI IC

ωω

= − = × − × =

( )400 VAS UI= =

cos cos 36.87 0.8ϕ = ° =

例 4.12 试计算例 4.10 电路的有功功率、无功功率、视在功率及功率因数。 解:根据例 4.10 的计算结果,可得到

cos 110 5cos 0 55WP UI ϕ= = × ° =

或 ( )22

2 21 2 1 2 2 12 5 5 5 2 550WR RP P P R I R I= + = + = × + =

sin 0Q UI ϕ= =

或 2 2

L

2 22 2 1C

1 5 (5 2) 10 5 0Q Q Q L I IC

ωω

×= + = − × = × − × =

其中 L 250VarQ = ,

C 250VarQ = −

110 5 550VAS UI= = × = cos cos 0ϕ =

例 4.13 图 4.24 电路是测量电感线圈参数 R、L 的实验线路,已知电压表 V 的读

数为 50V 电流表 A 的读数为 1A,功率表 W 的读数为 30W,电源的频率为工频 50Hz。试求参数 R、L 的值。

Page 77: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 79

+

-

V

A W*

R

L

SU•

+

-

U

I电

感线圈

图 4.24 例 4.13

解:方法一:根据实验线路中 3 只表的读数,先求出线圈的阻抗,然后确定参数 R、L,即

Z Z R j Lϕ ω= = +

50 501

UZI

= = = Ω

30cos 0.650 1

PUI

ϕ = = =×

arccos0.6 53.13ϕ = = 所以, 50 53.13 (30 40)Z j= = + Ω

得到, 30Ω=R , 127mH31440

ω40

===L

方法二: 利用功率表的读数即表示电阻 R 吸收的有功功率 P,则有

PRI =2 , 30Ω2 ==IPR , 2 2( ) /Z R L U Lω= + =

故得到, 127mH3050314

11 2222

=−=−⎟⎠⎞

⎜⎝⎛= R

IUL

ω

8. 功率因数的提高

(1)提高功率因数的意义。在正弦交流电路中,负载消耗的功率为: P=UIcosϕ =Scosϕ

可见功率因数 cosϕ表明了有功功率 P 在视在功率 S 中所占的比例,它是输配电系

统中一个十分重要的经济技术指标。当 cosϕ≠1 时,电源与负载间将有能量的交换,若

功率因数太低时,会对电源和线路带来两方面的问题。 (2)功率因数低,电源设备的容量将不能充分利用。由于电源设备实际输出的有

功功率取决于负载的功率因数值,所以额定容量(即视在功率)为 SN 的电源设备(发

电机或变压器),实际能输出的有功功率为N cosS ϕ 。可见,对同样的电源设备和输电线

路,负载的功率因数越低,电源设备实际输出的有功功率就越小,而无功功率就越大,

电源设备的容量就越不能充分利用。如果能采取提高负载的功率因数,则同一台电源设

备所输出的有功功率就增大,无功功率 Q 就减小,则电源与负载间能量互相交换的规模

减小,电源就能给更多的负载供电,这样就可以充分发挥电源设备的容量,其效果就像

发电厂得以扩建一样。 (3)功率因数低,将增加输电线路和发电机绕组的功率损耗。发电机发出的电能

Page 78: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 80

一般都需要通过很长距离的输电线送至用户。当输电线路的电压 U 和输出的有功功率 P一定时,线路中的电流与功率因数成反比,即

ϕcosPI = ,显然 cosϕ越低,输电线电流 I

越大,则线路电阻上和发电机绕组上的功率损耗 VP=R0I2( 0R 为线路和发电机绕组的电

阻)也就越大。 由此可见,提高功率因数对国民经济的发展有着十分重要的意义。提高负载的功率

因数能使电源设备容量得到充分利用,输电效率得到提高,同时能使输电线路及发电机

绕组的损耗减少,从而节约大量电能。 (4)提高功率因数的措施。造成功率因数较低的原因是由于实际生产中电气设备

多为感性负载,例如:目前工农业生产中大量使用的异步电动机、照明用的日光灯等。

为了提高感性负载的功率因数,常常采取在感性负载的两端并联适当大小的补偿电容器

(装在用户或变电所)。即利用电容的无功 QC 与电感的无功 QL 性质相反的特性,用电

容器的容性无功功率 QC去补偿感性负载的感性无功功率 QL,使电路总的无功功率 Q 减

小,功率因数角PQarctan=ϕ 减小,功率因数 ϕcos 提高,线路上电流 I 减小。

提高功率因数的原则是不影响负载的正常工作,即必须保持负载本身的电压、电流

和功率不变。采用并联电容的措施后,感性负载的 U、IL、PL均不变,改变的只是整个

的功率因数。因此提高感性负载的功率因数,应该采用并联电容器的方式,而不能采用

串联电容器的方式。 (5)感性负载功率因数的提高。

+

-

U

I

R

L

LI•

CI•

C

CI•

I

LI•

1ϕCI•

(a)电路 (b)相量图

图 4.25 感性负载功率因数的提高

图 4.25 所示电路中,已知感性负载的功率 P 和功率因数 Lcosϕ ,若要求把电路的

功率因数提高到 ϕcos ,则需要计算所应并联的补偿电容器的电容值 C 或无功功率 QC。

由于电容元件不消耗有功功率,所以并联电容前后,电路所消耗的有功功率不变,

即 L Lcos cosP UI UIϕ ϕ= = (4-59)

所以 Lcos

LPIϕ

=

cosPIϕ

=

CI CUω=

Page 79: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 81

并联电容后,电路的无功功率将减少,即 L LtanQ P ϕ=

tanQ P ϕ=

C L

2Q Q Q CUω= − = − 则

C L

2Q CU Q Qω− = = −

LL2 2 (tan tan )Q Q PC

U Uϕ ϕ

ω ω−

= = − (4-60)

工程实际中,电业部门并不要求用户将功率因数提高到 1,因为若这样要求,将会

大大增加补偿电容器设备的投资,而带来的经济效果却并不显著,所以一般要求提高到

0.9 左右较合适。 例 4.14 某感性负载的功率 P=2000kW,功率因数 0.6cos 1 =ϕ ,接在 10.5kv 的电源

上,电源频率 f=50Hz:(1)要求把功率因数提高到 0.92cos 2 =ϕ ,求所需并联电容器的

电容量和并联电容前后电路的总电流。(2)如要将功率因数从 0.92 再提高到1,试求还

需增加多少并联电容器的容量?此时电路中总电流为多大? 解:(1)由题意 rad / sfω = 2π = 314

0.6cos 1 =ϕ 得 1 53.1ϕ = ° 0.92cos 1 =ϕ 2 23.1ϕ = °

由式(4-55)得,

( )212 tantan ϕϕω

−=UPC

( )( ) F52.3tan23.1tan53.1

1010.5314

10200023

3

μ=−××

×= oo

由图 4.25(a)可知,并联电容前的电路电流就是 1Ii为

317A0.61010.5

102000cos 3

3

11 =

×××

==ϕU

PI

并联电容后电路电流

7A020.921010.5

102000cos 3

3

22 =

×××

==ϕU

PI (减小 34.7%)

(2)设 1cos 3 =ϕ , o03 =ϕ ,则需增加的电容为

2 32 (tan tan )PCU

ϕ ϕω

= −

( )( )

3

23

2000 10 tan23.1 tan0 24.6 F314 10.5 10

μ×= °− ° =

× ×

电路中总电流 A19011010.5

102000cos 3

3

3

=××

×==

ϕUPI

可见,当功率因数达到时 0.92 时,再继续提高功率因数,所需要增加的并联电容值

很大,是原来电容值的 47%,而电路中总电流减小却并不明显,只下降了 8%。所以其

经济效益不可取。

Page 80: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 82

4.3 非正弦周期电流电路

4.3.1 非正弦周期信号的分解和计算

前面讨论的是正弦交流电路,电路中的电压和电流都是正弦量。但在工程实际电路

中,还会遇到一些周期性的非正弦电压和电流。例如,在自动控制、电子计算机等技术

领域中大量用到脉冲电流、方波电压、电子示波器中的锯齿波的扫描电压信号、桥式整

流电路输出电压的波形等等,分别如图 4.26 所示。图 4.26 为几种非正弦周期电压、电

流的波形。

0

T

i

u u

2T T

(a)脉冲电流 (b)方波电压

2T T T tt

u umU

0

(c)桥式整流输出电压 (d)锯齿波 图 4.26 非正弦周期电压、电流波形

产生非正弦周期电压、电流的原因主要有以下几个方面: (1)电源电压为非正弦电压。通常实际的交流发电机发出的电压波形严格来讲是

非正弦波。 (2)电讯信号大多是非正弦的。通信工程中传输的各种信号(例如:语言、音乐、

图像等)大多按非正弦规律变化。 (3)几个不同频率的正弦电压(包括直流电压)共同作用于线性电路,将产生非

正弦电压和电流。 (4)电路中存在非线性组件(例如半导体二极管、铁心线圈等),则电路中将产生

非正弦周期的电流和电压。 下面将讨论在非正弦周期电压、电流或信号作用下线性电路的分析计算方法。 分析非正弦周期电路,首先需要将非正弦周期激励电压、电流或外加信号分解为傅

里叶级数,然后分别计算在激励恒定分量及各种频率正弦分量单独作用下,电路中响应

的分量, 后按线性电路的叠加定理,把同一响应的全部所得分量按时域形式叠加,便

可以得到电路中实际的电压和电流。这一方法称为谐波分析法,其实质就是将非正弦周

期电流电路的计算转化为一系列正弦交流电路的计算。

1. 非正弦周期信号的分解

在电工技术中所遇到的非正弦周期性电流、电压通常都能满足狄里赫利条件,因此

Page 81: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 83

都可以分解为傅里叶级数。 设 f(t)为周期函数,其周期为 T,角频率 2 /Tω π= ,则可按傅里叶级数将 f(t)

展开为

( ) ( ) ( )01

a cos sink

f t a k k t bk k tω ω∞

=−

= + +⎡ ⎤⎣ ⎦∑

01

sin( )kmk

A A k t kω ψ∞

=

= + +∑ (4-61)

式中,A0=a0为周期性函数 f(t)的恒定分量或直流分量; k=1, ( )11msin Ψω +tkA 称为一次谐波或基波分量; k>1, ( )ksinkmA k tω +Ψ 统称为高次谐波,由于高次谐波的频率是基波的 k 倍,所

以又称为 k 次谐波,例如二次,三次……谐波。

以上将一个周期函数 f(t)分解为具有一系列谐波的傅里叶级数称为谐波分析。 式(4-56)中各系数的计算公式为:

00

1 ( )T

a f t dtT

= ∫

0

2 ( ) cos( )T

ka f t k t dtT

ω= ∫ k=1,2,3 (4-62)

0

2 ( )sin( )T

kb f t k t dtT

ω= ∫ k=1,2,3

0 0A a= 2 2

km K K , arctan k

k

kaA a bb

ψ= + = (4-63)

傅里叶级数是个无穷级数,由于其收敛性,其幅值随谐波次数升高而减小,所以可

以忽略次数较高的谐波项。具体取几项要看其级数的收敛快慢与工程上的精度要求。

2. 周期函数波形对称性与傅里叶级数的关系

电工技术中遇到的周期函数常具有某种对称性,利用其对称性,则对应的傅里叶级

数的系数中某些项将为零,从而可简化系数的计算。 (1)波形对称于原点。如图 4.27(a)波形,f(t)=–f(–t),称为奇函数:a0=0,

ak=0。 (2)波形对称于纵轴。如图4.27(b)的波形,称为偶函数:f(–t)f(–t)=–f(–t), k 0b = 。

3. 奇次谐波函数

如图 4.27(c)的波形,具有镜像对称特点,称为奇次谐波函数。

( ) ⎟⎠⎞

⎜⎝⎛ +−=

2Ttftf ,a2k=b2k=0

Page 82: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 84

(c)(b)(a)

2T

2T

− T t t tT2T

0

)(tf )(tf

0

0T−

图 4.27 波形对称的周期函数

例 4.15 已知周期信号 u(t)波形如图 4.28 所示,试将 f(t)分解为傅里叶级数, 解:u(t)在一个周期内的表达式为

( )u t = m

m

(0 / 2)( / 2 )

U t TU T t T

≤ ≤− ≤ ≤

由式(4-57)可求得各系数,并注意到其波形具有关于原点对称的性质及镜像对称,

则有 a0=0,ak=0。

2T

T2ππ0

mU

图 4.28 矩形波例 4.15

( ) ( )2π

0

1 sin( )kb f t k t d tω ωπ

= ∫

( )m m0

0

2 2 1sin( ) cos( )U Uk t d t k tk

ππ

ω ω ωπ π

⎡ ⎤= = −⎢ ⎥⎣ ⎦∫

( )ππ

kkU

cos12 m −=

k 为偶数时,bk=0

k 为奇数时, m4k

Ubkπ

= ,k=1,3,5…

可得 ( ) ( ) ( )m4 1 1sin( ) sin 3 sin 53 5

Uu t t t tω ω ωπ

⎡ ⎤= + + +⎢ ⎥⎣ ⎦

Page 83: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 85

4.3.2 非正弦周期电流电路的计算

1. 有效值、平均值和平均功率

(1)有效值 其定义在正弦交流电路中已定义过了,对周期电流 i 的有效值为:

0

1 TI idt

T= ∫

设非正弦周期电流 i 的傅里叶级数表达式为:

01

sin( )km Kk

i I I k tω ψ∞

=

= + +∑

代入上式中可得 i 的有效值为:

2 2 2 2 2 2

0 K 0 1 2 K1k

I I I I I I I∞

=

= + = + + + + +∑ (4-64)

同理,非正弦周期电压的有效值为:

2 2 2 2 2 20 k 0 1 2 k

1k

U U U U U U U∞

=

= + = + + + + +∑

例 4.16 求电流 i=50sin(ωt–45°)+10sin(3ωt–60°)+20sin(5ωt),电压 u=100+100sin(ωt)+30sin(3ωt)的有效值。

解:由公式可得 2 2 21 3 5I I I I= + +

2 2 250 10 20 38.73A

2 2 2= + + =

2 2 22 2

20 1 3

100 30100 124.3V2 2

U U U U= + + = + + =

(2)平均值 在工程实践中还需要用到周期函数的平均值的概念,假设非正弦周期函数的平均值

为 avI ,其定义以电流为例,

av0

1 TI i dt

T= ∫ (4-65)

工程中又称为均绝值。即是周期函数的绝对值在一个周期内的平均值。 例 4.17 试求图 4.26 锯齿波电压 ( )u t 的平均值。

解:由图 4.29 写出 ( )u t 在一个周期内的表达式为,

( ) mUu t tT

= (0 t T< < )

2T T m m mav 20 0

1 12 2

U U T UU u dt tdtT T T T

= = = =∫ ∫

在电工测量中,用不同类型的仪表对同一非正弦周期电流(或电压)进行测量,将

得到不同的结果。用磁电系仪表(直流仪表)测量,其结果是周期电流(或电压)的恒

定分量;用电磁系或电动系仪表测量其结果是周期电流(或电压)的有效值,用全波整

Page 84: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 86

流磁电系仪表测量,其结果是周期电流(或电压)的平均值。所以在测量非正弦周期量

时,要注意选择合适的仪表,了解各种系列仪表读数所表示的含意。 (3)平均功率 P 设有一无源二端网络,其瞬时功率 p (吸收)为

( ) ( )km ku km ki0 01 1

sin sink k

p ui u U k t I I k tω ψ ω ψ∞ ∞

= =

⎡ ⎤ ⎡ ⎤= = = + + +⎢ ⎥ ⎢ ⎥⎣ ⎦ ⎣ ⎦

∑ ∑i

式中u i、 为关联方向。 平均功率的定义仍为:

0

1 TP pdt

T= ∫

将 p 代入上式中,容易推得

0 0 1 1 1 2 2 2 k k kcos cos cosP U I U I U I U Iϕ ϕ ϕ= + + + + +

0 1 2 kP P P P= + + + + + (4-66)

式中, k km / 2U U= , k km / 2I I= ,u ik K Kϕ ψ ψ= − ,k=1,2,3…

可见,平均功率等于恒定分量与各次谐波的平均功率的代数和,而且,只有同频率

的电压、电流才能产生平均功率,不同频率的电压、电流不能产生平均功率。 在非正弦周期电路中,同样只有电阻才消耗平均功率,故亦可按下式计算平均功率

2 2

0

2

1( )kRR

k

P I I R I R∞

=

= + =∑ (4-67)

2. 非正弦周期电流电路的计算

前面已经指出非正弦周期电路的计算通常采用谐波分析法。下面就介绍谐波分析法

的具体计算步骤: ① 将给定的非正弦周期电源(或信号)分解为傅里叶级数。 ② 分别画出电源恒定分量及各次谐波分量单独作用时的等效电路:

当电源的恒定分量作用时,应把电容作开路处理,电感作为短路处理; 当电源的各次谐波分量作用时,应作出对应电路的相量模型。

③ 分别计算出电源各次分量单独作用于电路时产生的响应分量。 当电源的恒定分量作用时,按直流电路的方法进行计算响应的恒定分量; 当电源的各次谐波分量作用时,可应用相量法分别进行计算,但必须注意感抗

和容抗将随频率而改变,即对第 K 次谐波有,

L1KXLK =ω , CL1 1 X

K C Kω= 。

④ 终按叠加定理,把同一响应的各分量按瞬时值相加,得出响应的时间函数形

式表达式。 例 4.18 已知作用于 RLC 串联电路的电压为:

( ) ( ) ( )500 50sin 25sin 3 60u t t tω ω= + + + °

且 8Ω=R , 2Ω=Lω , 8Ω1=

Cω,

Page 85: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 87

试求:(1)电路中的电流 ( )i t ;(2)有效值 U、I;(3)电路吸收的平均功率 P。

解:设 u(t)=u0+u1+u3 由谐波分析法 (1) 100V0 =U 单独作用下,

此时由于电容相当于开路,所以 I0=0,P0=0。 (2) ( )1 50sinu tω= 单独作用下,由相量法得

1

m1m1

50 0 50 0 5 36.8 A8 (2 8) 10 36.8

UIZ j

•• ° °

= = = = °+ − − °

( )1 1 1 1 ml ml1 1cos cos 50 5cos 36.8 100W2 2

P U I U Iϕ ϕ= = = × × − ° ≈

或 100W82

522

1 =×== RIP

(3) ( )3 25sin 3 60u tω= + °

m3m3

3

25 60 25 60 25 60 2.88 37.4 A8 8 3.33 8.67 25.68 (6 )3

UIZ jj

•• ° ° °

= = = = = °+ °+ −

3 3m 3m 31 1cos 25 2.88cos25.6 32W2 2

P U I ϕ= = × × ° ≈

(4) ( ) ( ) ( )5sin 36.8 2.88cos 3 37.4 Ai t t tω ω= + ° + + °

2 2 22 2

0 1 35 2.88 4.08A2 2

I I I I= + + = + =

2 2 22 2

20 1 3

50 25100 107.5V2 2

U U U U= + + = + + =

0 1 3 132WP P P P= + + = 或 2 2 2 2 2

0 1 3( ) 4.08 8 133WP I I I I R= + + = = × =

可见用两种方法计算结果是很接近的。

本章小结

(1)正弦量的三要素。一个正弦量(是时间 t 的正弦函数)是由有效值、频率和初

相位来确定的。它们分别反映了正弦量的大小、变化快慢及初始值三方面的特征。同频

率正弦量之间的相位差等于它们的初相位之差。本章介绍了正弦量的有效值概念、初相

及相位差的确定方法,以及如何根据正弦波写出正弦量瞬时表达式或反之。 (2)正弦量的相量表示法。一个正弦量分别可以用三角函数表达式、相量(复数)、

波形图和相量图表示。应掌握这种表示方法之间的联系及相互转换。 (3)元件 R、L、C 的电压和电流间的关系。包含瞬时值之间、有效值之间和相位

之间的关系:

Riu RR = RIU RR = iu ΨΨ = RIU••

=

dtdiLu L

L = L LU LIω= u i 2π

Ψ = Ψ + LLL

•••

=== IjXjXILjU ω

Page 86: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 88

dtduCi c

c = Cc1 IC

= u i 2π

Ψ = Ψ − •••

−=−= CC1 IjXIC

jU Cω

(4)(复)阻抗正弦交流电路相量法中的一个很重要的概念。要求掌握阻抗的定义、

几种表示形式及电路的等效阻抗的计算。

cos sinUZ Z Z Z R jXI

ϕ ϕ ϕ•

•= = = + = +

(5)简单正弦交流电路的计算。 ① 基尔霍夫定律的相量形式。

0, 0I U• •

= =∑ ∑

② 单个元件的相量模型。

RZ =R , LjjXZ ω== lL , C

jjXZω1

CC −=−=

③ 所有直流电路的分析方法——支路电流法、网孔电流法、节点电压法、叠加定

律、戴维南定律和诺顿定律等均适用于正弦电路的相量模型。 ④ 选用上述之合适方法分析计算简单的正弦交流电路 (6)介绍了正弦交流电路中的瞬时功率 p 、有功功率 P、无功功率 Q、视在功率 S

及功率因素 cosϕ 的概念和计算,以及互相间关系,并讨论了工程实际中功率因素提高

的意义及措施。 ① R、L 和 C 元件

LU

IUPω

2L2

RRR == , 0R =Q

0L =P , L

UIUQ

ω

2L2

LLL ==

0C =P , 2C

2CCCC

1 CUIL

IUQ ωω

−=−=−=

② 二端网络 ∑===

Kcoscos RPSUIP ϕϕ

∑∑ +===KK

sinsin CL QQSUIQ ϕϕ

22 QPUIS +==

PQarctan=ϕ

(7)介绍了非正弦同期的分解和非正弦同期电流电路的计算。 ① 非正弦周期信号分解为傅立叶级数——谐波分析。 ② 非正弦周期信号的有效值及平均值的概念以及电流为例,分别是:

∑∞

=

+=1k

2K

2 III

∫=T

0av1 dtiT

I

③ 非正弦周期电流电路的分析计算——谐波分析法 将给定的非正弦周期电源(或信号)分解为傅里叶级数。

Page 87: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 89

分别画出电源恒定分量及各次谐波分量单独作用时的等效电路。 分别计算出电源各次分量单独作用于电路时产生的响应分量。 终按叠加定理,把同一响应的各分量按瞬时值相加,得出响应的时间函数形

式表达式。

习 题 四

4.1 计算下列各正弦波的相位差: (1) 1 25sin(60 10 )V 8sin(60 70 )Vu t u t= + ° = + °和 (2) 2sin(20 45 )V 6sin(20 160 )Au t V t= + ° = − + °和 (3) 1 210sin(2 20 ) 5sin(4 45 )Ai t i tπ π= − + ° = + °和

4.2 一盏 220V、25W 白炽灯,接在 220V 的电网上,问通过的电流是多少?灯泡的

电阻是多少?如每天用 4 小时,每月按 30 天计算得用多少度电? 4.3 一个 220V、75W 的电烙铁接到 220V 的工频交流电源上,试求电烙铁的电流、

功率及使用 8h 消耗的电能。 4.4 已 知 电 感 两 端 的 电 压 u=1.41sin (200t+30 °)V ,通 过 的 电 流

( )2 2sin 200 60 Ai t= − ° ,求电感 L 和无功功率 QL。

4.5 一电容接到工频 220V 的电源上,测得电流为 0.5A,求电容器的电容量 C。若

将电源频率变为 500Hz,电路的电流变为多大? 4.6 已知:题 4.6 图(a)中的第一只电压表读数为 30V,第二只电压表的读数为 60V,

题 4.6 图(b)中的各电压表分别为:第一只 15V,第二只 80V,第三只 100V。

题 4.6 图

(1)求两个电路的端电压的有效值(电压表的读数表示有效值) (2)如果外施电压为直流电压(相当于 0=ω ),且等于 25V,再求各表读数。 4.7 试求题 4.7 图中各电路中的电流 I 或电压 U。 (1)题 4.7 图(a) 已知 I1=10A,I2=10A, 求 I; (2)题 4.7 图(b) 已知 U1=60V,U2=100V, 求 U;

(3)题 4.7 图(c) 已知 I1=5A,I2=3A, 求 I; (4)题 4.7 图(d) 已知 U1=10V,U2=10V, 求 U;

(5)题 4.7 图(e) 已知 I1=10A,U1=100V,求 I 和 U。

Page 88: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 90

题 4.7 图

4.8 已知负载的电压和电流为:( 1) 100 60 VU•

= ∠− ° , 5 30 AI•

= ∠ ° ,(2)

220 30 V, 2.5 4.33A。U I j• •

= ∠− ° = − 求负载阻抗,并说明其性质(即指出是电阻性、

电感性还是电容性)。 4.9 已知电阻和电感串联的电路中, 20Ω=R 、 0.1H=L , 50Hz=f , 220V=U ,

求电流 I,电阻的端电压 RU 和电感的端电压 LU ,并画出相量图。 4.10 R L C、 、 串联电路中,已知 180V=U , 7.5Ω=R , C 10ΩX = , 6ΩL =X 。

求各支路电流及总电流,并画出相量图。 4.11 题 4.11 图所示电路中, 10A21 == II , 100V1 =U ,u 与 i 同相,试求 I、R、 CX

及 LX 。

题 4.11 图

4.12 已知题 4.12 图所示的 3 个电压源的电压分别为

题 4.12 图

a ( ) 220 2cos( 10 )Vu t tω= + °

a ( ) 220 2cos( 110 )Vu t tω= − °

a ( ) 220 2cos( 130 )Vu t tω= + °

Ii

1Ii

2Ii

R R

Cj X−i 1U

i

2Ui U

i

LjX−Ii 1I

iLjX−

Cj X−i

R Cj X−i

1Ui

Ui 2U

i

Ii

Cj Xi

1Ii

1Ui

Ui5Ω j5Ω

Ii

1Ii

Cj Xi

Ui

LjX

2Ii

R

2Ii

Page 89: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

第 4 章 单相交流电路 91

求(1)3 个电压的和; (2) bcab、uu ;

(3)画出它们的相量图。 4.13 有一 JO-10A 交流接触器,其线圈数据为 380V、30mA、50Hz,线圈电阻1.6kΩ,

试求线圈电感。 4.14 有一 JZ7 型中间继电器,其线圈数据为 380V、50Hz,线圈电阻 2kΩ,电感

43.3H,试求线圈电流及功率因数。

4.15 附题 4.15 图电路中,已知 5Ω=R , 0.05H=L , 1 0 AI = ∠ °i

, 200rad/s=ω ,求

电压 RUi

、 LUi

和 SUi

,并作电路的相量图。

题 4.15 图

4.16 电路由电压源 s 100cos(1000 )V,u t= R 和 0.025H=L 串联组成。电感上的 大

电压值为35.4V ,试求电阻 R 和电流表达式。 4.17 题 4.17 图电路中,已知 2Ω=R , 3Ω=Lω , C 2sω = , C 10 45 VU = ∠ °

i ,求各

元件的电压、电流,并画出电路的相量图。

题 4.17 图

4.18 日光灯与镇流器串联接到交流电压上,可看作为 R、L 串联电路。如已知某灯

管的等效电阻 280Ω1 =R ,镇流器的电阻和电感分别 20Ω2 =R 和 1.65H=L ,电源电压

220V=U ,试求电路中的电流和灯管两端与镇流器上的电压。这两个电压加起来是否等

于 220V ?电源频率为50Hz。 4.19 电 路 如 题 4.19 图 所 示 , 3Ω1 =R , 4Ω1 =X , 8Ω2 =R , 6Ω2 =X ,

200 2sin314 Vu t= ° ,试求 1i 、 2i 和 i 。

R

R

Page 90: 全国高职高专规划教材 - abook.cn › pdf › 电路与电子技术简明教程... · 本书的特点是尽量简明、扼要和实用,将电路基础、模拟电路和数字电路合为一体。

电路与电子技术简明教程 92

题 4.19 图

4.20 电路相量模型如题 4.20 图所示。已知 V010C °∠=•

U , 3Ω=R , 4ΩLC == XX 。

求电路的有功功率 P,无功功率 Q,视在功率 S 和功率因数。

题 4.20 图

4.21 电路如题 4.21 图所示。已知 c 2cos(5 90 )Ai t= + ° , 0.02F=C , 1H=L ,电路

消耗的功率 10W=P 。试求电阻 R ,电压 Lu 及整个电路的功率因数。

题 4.21 图

4.22 某 无 源 二 端 网 络 输 入 端 的 电 压 和 电 流 为 关 联 方 向 , 已 知 :

200 2sin(314 30 )Vu t= + ° , 4.4 2sin(314 23.1 )Ai t= − ° ,试求此两端网络的两个元件

串联的等效电路和元件参数值,并求两端网络的功率因数及输入的有功功率和无功功

率。 4.23 两个线圈串联,如题图 4.23 所示。已知 1Z 中的 5Ω1 =R , 0.00105H1 =L ; 2Z

中的 20Ω2 =R , 80.5mH2 =L ; 10A=I , 50Hz=f 。求:(1)阻抗 1Z 、 2Z 和总阻 Z;(2)电压 1U , 2U 和总电压 U;(3)功率 1P 、 2P 和总功率 P;(4)无功功率 1Q 、 2Q 和

总无功功率 Q;(5)视在功率 1S 、 2S 和总视在功率 S;(6)试用计算数据验证 21 PPP += ,

21 QQQ += ,而 21 SSS +≠ 。

RUi

Ii

CUi –

Cj Xi

Ru

i ic

uL