Caer

download Caer

If you can't read please download the document

Transcript of Caer

  • 1. 2010.07.05

2.

  • /QoS 3. (Web service data center application such as web-search) 4. co-location 5. Contention Aware Execution Runtime(CAER)

6. (cont.)

  • 7. => 8. 2 9. SPEC2006 10. 58% 11. co-locate17%7%

12. 30% 13. the more last level cache misses an application experiences, the more sensitive it is to cross-core interference. 14. Utilization 15. A Solution with CAER

  • Inferring Contention
    • API for PMU such as PAPI[19] orPerfmon2[9] 16. on the shared last level cache(LLC) miss behavior
      • Directly impact the instruction retirement rate(Fig.3)
    • Hypothesis: two or more applications are simultaneously missing heavily in LLC =heavy usage and evicting each other
  • Architecture of CAER
    • As shown in Fig. 4 17. A periodic probing approach[20] (1ms) 18. Under the batch applications CAER, CAER M

19. 20. We call the state where contention is asserted thec-positive response, and the state where the absence contention is detectedthec-negativeresponse. 21. Detection Contention with CAER

  • Burst-Shutter Approach
    • A sharp increase in the LLC miss @ a batch application has a burst of execution
  • Rule-Based Approach
    • By maintaining a running average of the LLC miss windows for both the latency-sensitive and batch applications

22. Responding Contention with CAER

  • Red-light green-light approach
    • With our burst-shutter approach 23. Red-light = c-positive, green-light = c-negative
  • Soft locking approach
    • Applying soft lock on the shared LLC 24. With our rule based approach

25. Lbmwas chosen as our batch application 26. 27. 28.