Электронная...

80
Электронная техника Серия 2 полупроводниковые приборы научно-технический журнал выпуск 1 (248) 2018 ElEctronic EnginEEring SEriES 2 SEmiconductor dEvicES Scientific & technical journal issue 1 (248) 2018 Москва, 2018

Transcript of Электронная...

Page 1: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Электронная техникаСерия 2

полупроводниковые приборынаучно-технический журнал

выпуск 1 (248) 2018

ElEctronic EnginEEringSEriES 2

SEmiconductor dEvicESScientific & technical journal

issue 1 (248) 2018

Москва, 2018

Page 2: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Журнал издаëтся с 1958 года

Учредитель: АО «Научно-производственное предприятие «Пульсар»

Наблюдательный советПредседатель совета:В.В. Груздов, к.э.н., профессор, генеральный директор АО «НПП «Пульсар»Заместитель председателя совета: Ф.И. Шамхалов, д.э.н., профессор,учёный секретарь АО «НПП «Пульсар»

Члены совета:А.С. Сигов, академик РАН, д.ф.-м.н., профессор, президент МТУ (МИРЭА)В.Л. Панков, профессор, первый проректор МТУ (МИРЭА)Г.А. Егорочкин, к.х.н., генеральный директор АО «ФНПЦ «ННИИРТ»С.Н. Игнатьков, генеральный директор АО «КБ «Кунцево»В.Г. Немудров, д.т.н., профессор, главный научный руководительАО «НИИМА «Прогресс»В.А. Телец, д.т.н., профессор, директор ИЭПЭ НИЯУ «МИФИ»А.А. Щука, д.т.н., профессор МТУ (МИРЭА)

Редакционная коллегияГлавный редактор:Ю.В. Колковский, д.т.н., профессор

Заместители главного редактора: В.Ф. Синкевич, д.т.н., профессорА.А. Шаповалов, к.э.н.

Члены редколлегии:А.Н. Алёшин, д.ф.-м.н. А.С. Евстигнеев, к.т.н.И.П. Жиган, д.т.н., профессорВ.И. Исюк, к.т.н.Е.В. Каевицер, к.ф.-м.н.Ю.А. Концевой, д.т.н., профессор И.В. Малышев, к.т.н.Е.И. Минаков, д.т.н., доцентВ.М. Миннебаев, к.т.н.В.А. Мошников, д.ф.-м.н., профессорК.О. Петросянц, д.т.н., профессорЕ.М. Савченко, к.т.н.А.С. Скрылёв, к.т.н. В.А. Телец, д.т.н., профессорВ.П. Чалый, к.ф.-м.н.Е.Н. Санина, и.о. ответственного секретаря редколлегии

Редакторы:В.М. МиннебаевН.И. Шарапежникова

Дизайн и вёрстка:М.С. Лазаренко

Перевод:Д.И. Леканов

Свидетельство о регистрации средств массовой информации ПИ ФС77-63844 от 27.11.2015

Журнал включён в Перечень ведущих рецензируемых научных журналов и изданий ВАК в России и в Российский индекс научного цитирования

Журнал отражается в РЖ и БД ВИНИТИ

Адрес издательства и редакции:105187, Москва, Окружной проезд, 27Тел.: 8-495-366-50-65E-mail: [email protected]

Подписка по каталогам агентства «Роспечать»: «Издания органов научно-технической информации» – индекс 59890

Подписано в печать 14.03.2018 г.Печать офсетная цветнаяУч.-изд. л. 18,7Тираж 1000 экз. Заказ 63 от 14.03.2018 г.

© АО «НПП «Пульсар», Москва, 2018

Содержание

В.А. Беспалов, Н.А. Дюжев, Б.К. МедведевТехнология приборов силовой электроники на основе карбида кремния (обзор) ...........................................4-18

Э.Н. Вологдин Физические основы прогнозирования стойкости кремниевых полупроводниковых приборов к воздействию импульсного нейтронного излучения ...........19-27

Г.В. Чуков, В.В. Елесин, Г.Н. Назарова, Н.А. Усачев, Д.В. Бойченко, А.Ю. Никифоров, А.В. ТелецЗависимость радиационной стойкости изделий твердотельной СВЧ электроники от набора параметров-критериев годности .......................28-34

Э.Т. Аврасин, Д.О. Ваничкин, Э.Н. Вологдин, И.Я. Гантман, В.Ф. Синкевич Анализ методических основ испытаний изделий полупроводниковой электроники на импульсную электрическую прочность в соответствии с регламентирующей документацией ...................................35-48

И.А. ХаритоновУчет радиационно-индуцированных токов утечки при высоких температурах в SPICE модели КНИ МОПТ .....49-56

В.Е. Акинин, О.В. Борисов, Ю.В. Колковский, В.М. Миннебаев, Ал.В. Редька, Ан.В. Редька, А.В. Ушаков, А.В. Царев8-канальный приёмопередающий модуль Х-диапазона с первичной цифровой обработкой сигнала ....57-67

Д.В. ГасилинУлучшение структуры частотно-избирательного приемника широкополосного диапазона .................................68-71

В.В. Василевский, А.Н. Михоленок, А.С. ЖебельВысокопроизводительный алгоритм предварительной цифровой обработки видеоинформации при аэрокосмическом мониторинге динамических объектов ...................................72-77

Page 3: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Contents

V.A. Bespalov, N.A. Dyuzhev, B.K. MedvedevSilicon carbide power electronic devices technology (overview) ...4-18

E.N. VologdinPhysical basis for prediction of silicon semiconductor devices resistance to the pulsed neutron radiation .....................19-27

G. V. Chukov, V. V. Elesin, G. N. Nazarova, N. A. Usachev, D. V. Boychenko, A. Yu. Nikiforov, A. V. TeletsRadiation hardness estimation and assurance of solid-state microwave electronics by the choice of the criteria parameters ......................................28-34

E. T. Avrasin, D. O. Vanichkin, E. N. Vologdin, I. Ya. Gantman, V. F. SinkevichAnalysis of methodological basis for pulsed voltage-withstand testing of semiconductor electronic devices in accordance with regulatory documentation.....................................................35-48

I.A. KharitonovSimulation of radiation-induced drain leakage currents in SOI MOSFETs at high temperatures with SPICE model .........49-56

V.E. Akinin, O.V. Borisov, Yu.V. Kolkovskiy, V.M. Minnebaev, Al.V. Redka, An.V. Redka, A.V. Ushakov, A.V. Tsarev8-th channel X-band transceiver module with digital signal pre-processing.................................................57-67

D.V. GasilinImproving of the frequency-selective broadband receiver structure ......................................................68-71

V.V. Vasilevsky, A.N. Mikhоlyonok, A.S. ZhebelHigh-performance algorithm for preliminary digital processing of video information for aerospace monitoring of dynamic objects ..............................72-77

Journal was published from 1958 year

Founder: JSC «Scientific & Production Enterprise «Pulsar»

Supervisory CouncilPresident of the Supervisory Council:V.V. Gruzdov, Ph.D., Professor,General Director of JSC «S&PE «Pulsar»Deputy Chairman of the Supervisory Council:F.I. Shamkhalov, Sc.D., Professor,Scientific Secretary of JSC «S&PE «Pulsar»

The Members of the Supervisory Board:A.S. Sigov, Academician of RAS, Sc.D., Professor, President of Moscow Technological University (MIREA)V.L. Pankov, Professor, First Vice-Rector of Moscow Technological University (MIREA) G.A. Egorochkin, Ph.D., General Director of JSC «FSPC «NNIIRT» S.N. Ignatkov, General Director of JSC «CB «Kuntsevo» V.G. Nemudrov, Sc.D., Professor, General Director of JSC «NIIMA «Progress» V.A. Telets, Sc.D., Professor, Director of National Research Nuclear University «MIFI» A.A. Schuka, Sc.D., Professor of Moscow Technological University (MIREA)

Editorial boardChief Editor:Yu.V. Kolkovsky, Sc.D., Professor

Deputy Chief Editor:V.F. Sinkevich, Sc.D., ProfessorA.A. Shapovalov, Ph.D.

The Members of Editorial Board:A.N. Aleshin, Sc.D.A.S. Evstigneev, Ph.D.I.P. Zhigan, Sc.D., ProfessorV.I. Isyuk, Ph.D.E.V. Kaevitser, Ph.D.Yu.A. Kontsevoy, Sc.D., ProfessorI.V. Malyshev, Ph.D.E.I. Minakov, Sc.D., Associate ProfessorV.M. Minnebaev, Ph.D.V.A. Moshnikov, Sc.D., ProfessorK.O. Petrosyants, Sc.D., ProfessorE.M. Savchenko, Ph.D.A.S. Skrylev, Ph.D.V.A. Telets, Sc.D., ProfessorV.P. Chaliy, Ph.D.E.N. Sanina, Deputy Editorial Board Executive Secretary

Editors:V.M. MinnebaevN.I. Sharapezhnikova

Design & layout:M.S. Lazarenko

Translate:D.I. Lekanov

The certificate of registration of mass media ПИ ФС77-63844 of 27.11.2015

The Journal is included in the list of the leading peer-reviewed scientific journals and publications HAC in Russia and the Russian Science Citation Index

Journal recognized at VINITI database and Abstract Journal

Publishers & Editorial Staff Address:105187, Moscow, Okruzhnoy proezd, 27Tel.: 8-495-366-50-65E-mail: [email protected]

Subscribe to catalogs «Rospechat»:«Publication of scientific and technical information authority» – index number 59890

Signed in print 14.03.2018Printing offset in colorPublisher’s sheets 18.7 Print run 1000 copiesOrder 63 at 14.03.2018

Page 4: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018, с. 4-18Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018, pp. 4-18

УДК 621.382.3

технология приборов Силовой Электроники на оСнове карбида кремния (обзор)

в. а. беспалов, н. а. дюжев, б. к. медведевНИУ МИЭТ, Россия, г. Зеленоград, площадь Шокина, д. 1

В статье дан обзор технологии приборов на основе карбида кремния. Рассмотрены базовые структуры транзисторов на основе использования проводящих и полуизолирующих подложек карбида кремния и ука-заны их предельные параметры. Рассмотрена технология изготовления подложек и эпитаксиальных плёнок карбида кремния и указаны их параметры. Отмечена необходимость всестороннего контроля дефектов в слоях карбида кремния. Кратко рассмотрены основные операции (обработка поверхности, изготовление омических контактов и затворов структур транзисторов).

Ключевые слова: основные параметры подложек карбида кремния, основные структуры транзисторов на основе карбида кремния, дефекты подложек карбида кремния, технологические операции при изго-товлении транзисторов на карбиде кремния

Сведения об авторах: Беспалов Владимир Александрович, д.т. н., ректор НИУ МИЭТ; Дюжев Николай Алексеевич, к.ф.-м.н., директор ЦКП «Микросистемная техника и электронная компонентная база» НИУ МИЭТ, [email protected]; Медведев Борис Константинович, д.ф.-м.н., начальник лаборатории анали-тических методов исследования поверхности НИУ МИЭТ, [email protected].

……………………………………………………………………

Silicon carbidE PowEr ElEctronic dEvicES tEchnology (ovErviEw)

v. a. bespalov, n. a. dyuzhev, b. K. medvedevNational Research University of Electronic Technology (MIET), Russia, Zelenograd, Shokina sq., 1

In this paper we provide an overview of silicon carbide (SiC) device technology. We investigate basic transistor structures on conducting and semi-insulating SiC substrates, provide their performance capacity values, investigate manufacturing technology of SiC substrates and epitaxial thin-films with corresponding parameters. We emphasize the need for a comprehensive control of defects in SiC films. Also we give a brief review of the basic stages of manufacturing process (surface processing, ohmic contacts and transistor gates creation).

Keywords: SiC substrate main parameters, SiC-based transistor structures, SiC substrate defects, SiC transistor`s manufacturing process stages.

Authors: Vladimir Aleksandrovich Bespalov, Doctor of Engineering Sciences, Rector of NRU MIET; Nikolay Alekseevich Dyuzhev, Candidate of Physico-Mathematical Sciences, Director of CCU «Microsystem engineering and electronic components», NRU MIET, [email protected]; Boris Konstantinovich Medvedev, Doctor of Physico-Mathematical Sciences, Head of the Laboratory of Analytical Methods for Studying Surfaces, NSU MIET, [email protected].

ВведениеКарбид кремния – это один из наибо‑

лее полезных материалов для применениявсиловойэлектронике,гдевпрошломвеке

преимущественно использовался кремний[1,2].

Есливконце90‑хг.альтернативыкрем‑ниевымиарсенид‑галлиевымприборамфак‑тическинесуществовало,тосначала2000‑х

Page 5: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018

годовразвитиетехнологийпозволилосерий‑но создавать карбид‑кремниевые приборы.Обладая почти в 3 раза большейширинойзапрещённойзоны,атакжегораздобольшейтеплопроводностьюпосравнениюскремни‑ем,этотматериалпоправузарекомендовалсебя как основа для силовой электроникииэлектроникиспециальногоназначения.

Вобстоятельныхстатьях[1,2]изложеныпредпосылкидлясозданиявРоссиимощнойинновационной технологической програм‑мыиинфраструктурывобластиультрасов‑ременных энергосберегающих технологийнабазесиловойэлектроники.

Изпотребляемойвовсеммиреэнергиитретьприходитсянаэлектроэнергию,миро‑ваядоляРоссиивкоторой–7%причислен‑ности населения в 2%. Однако по энерго‑вооружённостиРоссиявдваразауступаетКитаю,втрираза–США.Поэтомуибылапоставлена государственная задача: сни‑зитьза10летэнергопотреблениедо0%.К2020г.предполагалосьсэкономить3‑де‑сятка тысяч мегаватт установленной мощ‑ностивсейэнергосистемыстраны,чтоэкви‑валентномощностивсехАЭСРоссии,вместевзятых.Задачаэтав2010‑мгодуказаласьвполнеразрешимой,новнашевремя(2018‑йгод)становитсяясно,чтодлярешенияэтойзадачипрактическинесделаноничего,хотяэту проблему следовало решать толькоспомощьювысокихтехнологийивданномслучае–черезэнергоэффективнуюпреоб‑разовательную технику, основой которойявляется электронная компонентная база(ЭКБ)силовойэлектроникинаосновеширо‑козонныхполупроводников.

Вначале2000‑хгодовзарубежомначи‑наетсяразвитиекарбид‑кремниевыхприбо‑ров,флагманомкоторогостановитсяфирмаCree,производящаякаккарбид‑кремниевыеподложкисэпитаксиальнымиструктурами,такиоснованныенанихприборы.Отечес‑твенные разработки в области приборовна основе карбида кремния более илиме‑нееуспешновелисьс70‑хгодов,нов90‑егодыбольшаячастьразработок«перекоче‑вала»назапад.

ПрипредельнойёмкостиотечественногорынкаЭКБсиловойэлектроникипорядка$млрдсегодняиспользуется~$0,3млрдвос‑новномимпортнойпродукции,т.е.всего6%.

Динамичноеразвитиерынкавторичныхисточников питания, преобразовательнойтехникидлясолнечнойэнергетики,гибрид‑ных автомобилей, электромобилей, беспи‑лотныхлетательныхаппаратов,ветроэнер‑гетики, телекоммуникаций, радиолокации,навигацииидругихотраслейявляетсяваж‑нейшим фактором диверсификации рынкаЭКБсиловойэлектроники.

Родоначальником мощных кремниевыхполевыхтранзисторовявлялсяСССР(НИИ«Пульсар», г.Москва),гденесколькодесят‑ковлетназадбылавыпущенаперваясериямощныхгоризонтальныхполевыхтранзисто‑ровна100Вивыше(2П90,908,912идр.).

Ксожалению,внастоящеевремявРос‑сииинтересккарбидукремнияиприборамна его основе главным образом ограничи‑вается академическими исследованиямисвойствкарбидакремнияиполучениемла‑бораторныхприборов.

Всвязисвышесказаннымсозданиеиор‑ганизацияпроизводстваприборовнаосновекарбидакремнияявляетсяоднойизключе‑выхзадачдляобеспеченияаппаратурыси‑ловойэлектроникииаппаратурыспециаль‑ногоназначения.

Основныесвойствакарбидакремния[3]:температураплавления2730°С,плотность3.21 г/см3, твёрдость 9‑9, по шкале Моо‑са, коэффициент теплового расширения,0∙10‑6К.

Хотясуществуетнесколькодесятковпо‑литиповкарбидакремния,толькодваизнихширокоиспользуютсядляизготовленияси‑ловых полупроводниковых приборов – этоН‑SiC и 6Н‑SiC. Для силовых приборовпредпочтительнееполитипН‑SiCблагода‑рябольшейподвижностиэлектронов[2].

Кристаллическая структура политипов Н‑SiCи6Н‑SiCпредставленанарис.1[3].

Сопоставление электрических свойствполитиповSiCисвойствдругихполупровод‑никовприведеновтабл.1.

Технология приборов силовой электроники на основе карбида кремния (обзор)

Page 6: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

6 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

Таблица 1Сравнение свойств Si, gaas и политипов Sic [1]

Свойства Кремний GaAs 4H-SiC 6H-SiC

Шириназапрещённойзоны(эВ) 1,1 1,2 3,2 3,0

Относительнаядиэлектрическаяпроницаемость

11,9 13,1 9,7 9,7

ПолепробояприND=1017см‑3(МВ/см) 0,6 0,6 3,0 3,2

Теплопроводность(Вт/см∙К) 1, 0, 3‑ 3‑

ПодвижностьэлектроновприND=1016см‑3

(см2/В∙сек)1200 600

осис:800Iосис:800

осис:60Iосис:00

ПодвижностьдырокприNА=1016см‑3

(см2/В∙сек)20 320 11 90

Предельнаяскоростьэлектронов(107см/сек) 1.0 1.2 2 2

Энергиядонорныхуровней(мэВ)P:As:

Si:,8N:P:80

N:8P:80

Энергияакцепторныхуровней(мэВ) B: C:28Al:200B:300

Al:200B:300

Рис. 1

кристаллическая структура политипов 4н-Sic (слева) и 6н-Sic (справа)

Рассмотрим ключевые преимуществаSiCвсравнениисSiиGaAs[1].

Напряжённость электрического поляпробоя Н‑SiC более чем на порядок пре‑вышаетсоответствующиепоказателидляSiи GaAs. Малое сопротивление в открытомсостоянии в сочетании с высокой плотнос‑тью тока и теплопроводностью позволяетиспользоватьоченьмаленькиепоразмерамкристаллыдлясиловыхприборов.

Большая ширина запрещённой энерге‑тическойзоныSiCспособствуетболеевы‑сокому по сравнению сSi иGaAs барьеруШоттки на границе с разными металлами.Врезультатеформируетсямалыйтокутеч‑ки затвора даже при повышенной темпе‑ратуре кристалла. Электронные свойства

приборов на основе SiC очень стабильныво времении слабо зависят от температу‑ры,чтообеспечиваетвысокуюнадёжностьизделий.ОднаконекоторыенедостаткиSiCтранзисторов,которыесвязанысдефекта‑ми подложек карбида кремния, будут рас‑смотреныниже.

Карбид кремния чрезвычайно устой‑чив к жёсткой радиации, поэтому её воз‑действие не приводит к такой деградации,какдлякремнияиарсенидагаллия.Втабл.2приведенасвязьмеждупостояннойрешёт‑ки ряда полупроводников и радиационнойстойкостью при протонном облучении. По‑роговаяэнергия–этоэнергия,необходимаядлянеобратимогосмещенияатомаизрав‑новесногоположенияврешётке.

Беспалов В. А., Дюжев Н. А., Медведев Б. К.

Page 7: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 7

Таблица 2величина пороговой энергии Ed для разных полупроводников в зависимости

от постоянной решётки полупроводника – a0Å [4]

Полупроводник GaAs (Ge) Si Алмаз GaN H-SiC

а0Å ,6 ,31 3,7 3,1 3,08

Ed,эВ 9 12,8 80 1 13

Технология приборов силовой электроники на основе карбида кремния (обзор)

Изтабл.2видно,чтоустойчивостькпро‑тонномуоблучениюдлякарбидакремния(H‑SiC)в17развыше,чемдляарсенидагаллия,ипримернов12развыше,чемдлякремния.Этосвязаносплотнойупаковкойисильны‑миионнымисвязямиатомовврешёткекар‑бидакремния.

Возможность работы при повышенныхтемпературах (~ 00 °С) позволяет созда‑ватьвысоконадёжныеприборыдляжёсткихусловий эксплуатации и специальных при‑менений.

Транзисторы на основе SiC для силовой электроникиНарис.2показаныосновныеструктуры

транзисторовнакарбидекремния[1].Структура транзистора, показанного

на рис. 2 слева, аналогична структуре SiDoubleDiffusedMOS, для которой областиp‑базыиn+‑истокаполучаютпутёмионнойимплантации. В этих приборах положи‑тельноесмещениеназатвореизполикрис‑

Рис. 2Структуры транзисторов на основе карбида кремния: слева Sic dmoS, справа Sic ldmoS

таллического кремния создаёт инверсныйслойнаграницеразделамеждуSiO2иSiCp‑типа. Электроны протекают из n+‑истокачерезинверсныйслойвn‑областьдрейфаизатемвn+‑подложку (сток).Толстаяпри‑месная n‑область необходима для обеспе‑чения высокой электропрочности приборавзакрытомсостоянии(высокогопробивно‑гонапряжения).Максимальноерабочеена‑пряжение транзистора будет определятьсятолщинойобластидрейфаиконцентрациейпримеси в ней.При толщинеn‑области20мкм напряжениеограничиваетсявеличиной1600В.

Одинизпутейегоповышения–исполь‑зование горизонтальной структурыLDMOS(LateralDoubleMOSFET),показаннойнарис.2 справа. В структуре создаётся обеднён‑наяобласть,котораявзакрытомсостояниитранзистора при увеличении потенциалатакжеувеличиваетсяи,достигнувизолиро‑ванной подложки, продолжает распростра‑нятьсявсторонустока.

Page 8: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

8 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

В этом транзисторе максимальное на‑пряжение слабо зависит от толщины эпи‑таксиальногослояиограничиваетсятолькоуровнем начала поверхностного электри‑ческого пробоя между электродами. В об‑разцахLDMOSFET(Cree)достигнутуровеньмаксимальногонапряжения10кВ.

Сопоставляяструктурыприборов,пред‑ставленныхнарис.2,можноотметитьпре‑имущества и недостатки каждой из них.Дляструктуры,показаннойнарис.2слева,нельзяполучитьвысокоеобратноенапряже‑ние.Дляструктуры,показаннойнаэтомжерисунке справа, эпитаксияможет быть бо‑лее тонкая.Однако стоимостьпроводящихподложек на порядок меньше стоимостиизолирующихподложек.

К 2006г. основной производитель SiCпластинбольшихразмеров (100мм)вмас‑совыхколичествах–компанияCree.Причёмболее9%всехпластинидётнаGaNэпи‑таксиюдляпроизводствакристалловсинихизелёныхсветодиодов,илишьнебольшаячасть используется для производства вы‑сокотемпературнойирадиационностойкойэлементнойбазы[1].

УSiCMOSFETRDSonможетбытьнапоря‑докниже,чемукремниевыхMOSFET.ЭтоужереволюциявобластимощныхприборовсMOSуправлением.

Наконференцииивыставкеприкладныхтехнологий силовой электроники (APEC)21‑2февраля 2010г. были продемонстри‑рованыSiCMOSFET (30 А, 1200 В) с раз‑мером кристалла 1,×1, мм2, практическиидентичныепотоковойспособностикремни‑евымчипам,×,мм2.БылисозданыIGBTна1200В,носоднойособенностью:часто‑та переключения для этих приборов былавышев10раз,чемукремниевыхIGBT.

Если учесть, что теплопроводность SiCв3,7‑3,8разавыше,чемдляSi,тоочевидно,что SiC MOSFET становится исключитель‑но сильным конкурентом не только для SiMOSFET,ноидляSiIGBT.

И хотя SiC MOSFET имеют большиепотери при переключении в основномиз‑за высокой плотности ёмкости затвора

накристалл,но темнеменееобщиепоте‑римощностиуSiCMOSFETневеликииз‑заисключительномалогосопротивленияRDSon.СтруктурыMOSFET, какправило, горизон‑тальные.Витогеясно, чтоможноожидатьрезкогорыночногоитехнологическогопро‑рываSiCMOSFET.

Вместестемотметимследующее.ЕстьубиполярныхSiСp-i-n-диодов,транзисторов,тиристоровиIGBT,выполненныхнаэпитак‑сиальныхструктурах,серьёзныенедостатки,выражающиеся в том, что в процессе экс‑плуатацииувеличиваютсятокиутечки,сни‑жаютсяпробивныенапряжения,ухудшаетсяпроводимость.Этосвязаностем,чтовэпи‑таксиальныхплёнкахH‑SiСили6H‑SiCприбольших плотностях электронно‑дырочнойплазмыввысокоомнойобластиможетпро‑исходить так называемый Stacking Faults‑эффект(SF‑эффект).Этообусловленотем,что энергия безызлучательной рекомбина‑цииэлектронно‑дырочныхпарвуказанныхполитипахSiCдостаточнадляпреодоленияатомомбарьера,препятствующегоегосме‑щениювдругоеположение,т.е.происходитперестройка кристаллическойрешёткиSiCизгексагональнойвкубическую(образует‑сяполитип3С‑SiC).Вгексагональнойструк‑туре, например политипа H‑SiC, образу‑ютсякубическиевключения–SF‑дефекты,которые возникают на несовершенствахкристаллическихH‑SiC‑или6H‑SiC‑решёт‑ки из‑за наличия нанотрубок, дислокаций,нанокластерных образований, точечныхдефектов. Чаще всего SF‑дефекты зарож‑даютсянаграницеразделаэпитаксиально‑го слоя с монокристаллом. Под действиемвысокойплотности токаносителей заряда,увеличениярекомбинациииростатемпера‑турылинейныеразмерыSF‑дефектовбыс‑троувеличиваютсявдольосиэпитаксиаль‑ногоростаи,достигаяp-n-перехода,резкоизменяют свойства границы раздела, при‑водяккатастрофическимотказам.

Этиданныепоказывают,наскольковаж‑ноконтролироватьдефектыпластинкарби‑дакремния,наосновекоторыхбудетсозда‑ватьсятехнологияSiCтранзисторов.

Беспалов В. А., Дюжев Н. А., Медведев Б. К.

Page 9: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 9

основные технологические операции при производстве пластин и эпитаксиальных структур карбида кремния

Технология производства пластин SiCВработе[]продемонстрированытехно‑

логическиевозможностивыращиванияобъ‑ёмныхмонокристалловSiCнаавтоматизи‑рованной промышленной установке SiC‑1,разработанной Экспериментальным заво‑домнаучногоприборостроения(ЭЗАН).

УстановкаSiC‑1позволяетвыращиватькристаллыSiCдиаметромдо100мм,высо‑тойдо30мм.

Работаустановкизаключаетсявперено‑се вещества в паровойфазе от источника(порошковыйSiC)иосажденииегонаори‑ентированнуюзатравку.Дляосуществленияэтогопроцессанеобходимывысокиетемпе‑ратурыдо200оС.

Общийвидустановкипоказаннарис.3.При выращивании кристаллов наблю‑

далось образование микротрубок, иниции‑рованных кластерами металлов. На рис. представлены пластины карбида кремнияcямками на ростовой поверхности. Дноямок отражало свет с характернымметал‑лическимблеском.Послеудаленияграфитапутёмотжигакристаллавкислороднойат‑мосфереметаллическийблескисчезал.

Выпуск и продажи полуизолирующих подложек за рубежомВнастоящеевремясамыйбольшойобъ‑

ём выпуска полуизолирующих подложекSiCосуществляетсянафирмеCree[7].Ме‑тод выращивания основан на физическомпереносеиосаждениипаров (PVT).Крометого, известен ещё целый ряд продавцовуказанныхполупроводниковыхматериалов,напримерII‑VI[8,9]иToyota[10].

Фирма Norstel/Ormetic разработала вы‑сокотемпературный метод CVD (HTCVD)для выращивания полуизолирующих SiC‑подложек. Фирма II‑VI выпускает нелегиро‑ванныедвухдюймовыеитрёхдюймовые6Н‑SiC подложки, выращенные методом PVT(физического осаждения из паровойфазы)[8,9,11].Детальныепримерыданыв[12‑1].

Изоляцияподложкиприкомнатнойтем‑пературебольшенепредставляетпроблемсSiC,таккакповторяютсясообщенияодо‑стигнутых уровнях удельного сопротивле‑ния 109Ом∙см при комнатной температуре[1]. Этот аргумент необходимо уточнитьдля высокотемпературных операций, таккак механизмы изоляции подложки оченьчувствительныктемпературевзависимос‑тиотметодаизолирования[16].

Дляполуизолирующихподложексуров‑нямиизоляции≥106Ом∙смприменяютдваосновныхподходадляполученияизоляциинаподложке.ПрипервомподходеSiCпод‑ложкикомпенсируютобычнованадием[1].

Рис. 3общий вид установки Sic-1 (Эзан)

Рис. 4типичные дефекты кристалла карбида кремния

Технология приборов силовой электроники на основе карбида кремния (обзор)

Page 10: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

10 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

Правда, возникают трудности вследствиеограниченнойрастворимостиванадиявSiC[17]. Следующие свойства подложек явля‑ютсярезультатомтакоголегирования:

‑ глубокие ловушки активируются привысоких рабочих напряжениях и ухудшаютработуMESFETнаосновеSiC;

‑ легирование ванадием ухудшает ка‑чествообъёмногокристаллаиз‑заувеличе‑нияместныхнапряжений;

‑ приуказанномлегированиивозраста‑етплотностьмикротрубок;

‑ указанное легирование уменьшаеттеплопроводность кристаллической решёт‑кипримернона2%[18].

Однако по сравнению с проводящимиSiC подложками уменьшенный диапазонвозможностейтакженеобходимоприниматьвовниманиеприростеHPSISiCподложек.

Легированные проводящие SiC подложки и их применениеПодложкиSiCn‑типапримерновдесять

раз дешевле, чем полуизолирующие под‑ложки, и их возможности по соотношениюстоимость‑эффективность представлены,например,в[8,19].Проводимостьдостигает‑сявведениемлегирующейпримеси–азота.

Эпитаксиальное выращиваниe плёнок карбида кремния методом высокотемпературного осаждения из газовой фазыУказаннаяработабылапроведенавЗАО

«Научно‑производственныйкомплекс«Элек‑

тровыпрямитель»,г.Саранск[19].Ключевойтехнологиейвсозданииполупроводниковыхприборов на основе карбида кремния яв‑ляется технология производства высокока‑чественныхэпитаксиальныхструктурмоно‑кристаллическогокарбидакремния.

ЗАО НПК «Электровыпрямитель» про‑водитработупосозданиютехнологииэпи‑таксиального роста монокристаллическогокарбида кремнияметодом высокотемпера‑турногоосажденияизгазовойфазынасов‑ременнойустановкеVP08GFRпроизводс‑твафирмыAixtron(Германия).

Даннаяработабылапроведенаврамкахфедеральной целевой программы «Разви‑тие электронной компонентной базы и ра‑диоэлектроники» на 2008‑201гг., финан‑сируемойМинистерствомпромышленностииторговлиРоссийскойФедерации.

Для оценки качества эпитаксиальныхструктур (ЭС)и подложекSiCбыла созда‑на лаборатория контроля параметров ЭСи разработаны неразрушающие методикиконтроляихкачества.

Значенияосновныхпараметровэпитак‑сиальных структур, выпускающихся в АОНПК ЭВ, в сравнении с параметрами эпи‑таксиальных структур других фирм‑произ‑водителейпредставленывтабл.3.

Измерение толщин эпитаксиальныхслоёв образцов и неоднородности толщинэпитаксиальных слоёв образцов проводи‑лись на установке «ИК‑Фурье спектрометртипаNicolet6700».Измеренияпроводилисьв17областяхкаждогообразца.

Таблица 3параметры эпитаксиальных структур (политип 4h)

ПараметрыCreeСША

АО НПК «Электровы-прямитель»

Россия

EpiWorld Китай

Norstel Швеция

TYSiC Китай

PAM-Xiamen Китай

Global PowerКитай

Концентрацияпримеси

9∙101‑1019см‑3

9∙101‑1019см‑3

9∙101‑1019см‑3 -

1∙101‑1019см‑3

∙101‑2∙1018см‑3

2∙101‑2∙1017см‑3

Толщина0,2‑0мкм

0,2‑0мкм0,2‑0мкм

‑30мкм

0,‑30мкм

‑1мкм 1‑20мкм

Эпитаксиальныедефекты

<2см‑2 <0,см‑2

<1см‑2 <1см‑2 <2см‑2 ≤1см‑2 ≤20см‑2 ‑

Шероховатость 0,3нм ≤1нм <0,3нм ‑ ≤1,0нм ≤2,0нм ‑

Беспалов В. А., Дюжев Н. А., Медведев Б. К.

Page 11: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 11

Рис. 5виды дефектов:

а) дефект роста в виде «моркови» (carrots); б) дефект роста в виде «хвоста

кометы» (comet tails); в) дефект роста в виде ступенчатых образований (step bunching);

г) дефект роста в виде «частицы» (particles)

Толщина эпитаксиального слоя иссле‑дуемыхобразцовсоставлялаоколо13мкм,анеоднородностьтолщиныэпитаксиально‑гослоясоставляла2%.

Эпитаксиальные дефекты образцов на‑блюдались с использованием микроскопатипа Nicon 100D. Измерения проводилисьпод увеличением 0Х‑00Х. Виды эпитак‑сиальных дефектов исследуемого образцаприведенынарис..

Уровень легирования (средняя кон‑центрация примеси в образце) составлял,2∙1016см‑3,анеоднородностьлегирования(неоднородностьконцентрациилегирующейпримеси по поверхности) эпитаксиальногообразца–10%.

Измерения шероховатости поверхнос‑ти проводились на установке «СтилусныйпрофилометртипаTencorP16+».Нарис.6приведенырезультатыизмерений.Какука‑зываютавторыработы[19],шероховатость(Ra)составила0,36мкм(однакоизданных,представленныхнарис.6,видно,чтоавторыошиблись:шероховатостьсоставила32нм,т.е.примернов10разменьше).

Из приведённых данных следует,чтопроизводимыеЭСпосвоимпараметрамсоответствуютлучшиммировыманалогам,в частности, обеспечиваются малая плот‑ность эпитаксиальных дефектов (<0, см‑2)ималыйразброспотолщине(типичныезна‑чения0,%).

Рис. 6распределение шероховатости по площади пластины с эпитаксиальной плёнкой

ВЗАО«Светлана‑Электронприбор»так‑женачатопромышленноепроизводствовы‑сокоомных (10 Ом∙см) подложек карбидакремния политипа 6Н диаметром три дюй‑ма.Быливыращеныкристаллыполуизоли‑рующегоSiCдвухтипов:легированныева‑надиемибездополнительноголегирования.Дляполученияполуизолирующегоматериа‑лабылразработанпроцесссинтезачистогоSiCизпорошковSiиC.

Технология приборов силовой электроники на основе карбида кремния (обзор)

Page 12: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

12 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

Согласно полученным эксперименталь‑нымданнымможносделатьвывод,чтодан‑ныеподложкипосвоемукачествупокане‑сколькоуступаютподложкамфирмыCree.

Эпитаксиальные структуры карбида кремния для высоковольтных диодов и транзисторовНастоящий раздел составлен на осно‑

веанализаобзора[20].Вобзореотмечено,что несмотря на технологические пробле‑мы, которые обусловлены исключительнойтермостабильностью, механической проч‑ностьюихимическойинертностьюSiC,вна‑чале90‑хгодовбылдостигнутпрорыв,обес‑печившийдовремени,указанноговобзоре[20](200г.),устойчивыйпрогресс,чтовос‑новном связано с развитием газовой эпи‑таксииSiC(CVD)[21,22].

Втабл.указанаконцентрациядонороввбазевысоковольтныхдиодов.

гияэтогополитипак200г.былаотработа‑налучше,чемдлядругихSiC‑политипов.

параметры эпитаксиальных слоёв в биполярных Sic транзисторахВ транзисторах фирмы Cree (1,8 кВ,

10А) коллекторный n0‑слой толщиной20мкм легирован азотом с концентрацией2,∙101 см‑3 [23]. Базовый слой толщиной1мкм легирован алюминием с концентра‑цией2,∙1017см‑3.Эмиттерныйn+‑слойтол‑щиной0,7мкмлегированазотомсконцен‑трациейпорядка1019см‑3.

Вуказаннойработеприведеныидругиепараметры эпитаксиальных структур тран‑зистора,которыеотображенывтабл..

Таблица 4параметры структур

для высоковольтных диодов

Напряжение p+-N0-n

+

диодов

Концентрациядоноров в базе,

см-3

Толщина базы, мкм

6кВ 1∙101 0

10кВ 3∙101 10

20кВ 1∙101 200

Таблица 5параметры эпитаксиальных структур

Фиксированные параметры Значение

Подвижностьэлектроноввбазе,μnB

Подвижностьдыроквбазе,μрB

Подвижностьдыроквэмиттере,μрE

Концентрациядыроквбазе,рB

700cм2/В∙с10cм2/В∙с0cм2/В∙с∙1016см‑3

Подгоночные параметры Значение

Амбиполярнаядиффузионнаядлинаносителейзарядавбазе,La,мкмДиффузионнаядлинадырок

вэмиттере,Lp,мкмСкоростьповерхностнойрекомбинации,s,см/с

,7

0,2

000

Разработанные в Cree SiC технологиипозволяютизготавливатьвысококачествен‑ные многослойные меза‑эпитаксиальныеp‑n‑структуры. Концентрация как донор‑ных (азот), так и акцепторных (алюминий)примесей в эпитаксиальных слоях можетварьироваться в широких пределах от 1013до1019см‑3,а толщиныслоёв–впределахот0,1до200мкм.Дляизготовлениябипо‑лярныхприборовиспользуетсявосновномH‑политип карбида кремния, который об‑ладает (как и указывалось выше) рядомпреимуществпосравнениюсдругимиполи‑типами:высокойипочтиизотропнойподвиж‑ностью основных носителей, относительнонебольшимивеличинамиэнергииактивациилегирующихпримесей.Крометого,техноло‑

контроль технологии производства пластин и эпитаксиальных структур карбида кремнияПоскольку пластины карбида кремния

широкоиспользуютсяввидеподложеквтех‑нологииGaNСВЧтранзисторовивсиловойэлектроникенаосновеGaN,можноуспешноиспользовать для контроля качествамате‑риалов и приборов на основе SiC методыиаппаратуру,применяющуюсявтехнологииGaNприборов[2‑28].

Контроль структурных дефектов в пластинах SiCМикротрубки рассматриваются как ос‑

новные дефекты, препятствующие получе‑

Беспалов В. А., Дюжев Н. А., Медведев Б. К.

Page 13: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 13

ниювысококачественныхподложекSiC.Онипривлеклимноговниманияквопросуопре‑делениякачестваподложек,напримерв[8].МикротрубкипредставляютсобойвинтовыедислокациисбольшимвекторомБюргерса,проходящиечерезвеськристалл,покаидётобъёмноевыращивание.Нарис.7данооп‑тическоеизображениемикротрубоквполу‑изолирующейSiCподложке.

Управление политипом и фоновымипримесями представляет собой проблемупервостепенной важности. Шероховатостьповерхности–этодругойкритерийкачест‑ваподложки.Нарис.8представленомикро‑изображениеодиночноймикротрубкинапо‑верхности, где остаточная шероховатость(среднеквадратичноезначение,RMS)=3нм[2].

Впротивовесрис.8нарис.9показаномикроизображение поверхности с шерохо‑ватостьюRMS,равной0,3нм.

Средняя плотность микротрубок умень‑шается постоянно в ходе развития техно‑логииизготовленияподложек,какуказано,например,в [1].Однакопосколькумикро‑трубки являются «убийственными» дефек‑тами для любых активных [29] приборов,уменьшение средней плотности микротру‑бокявляетсячрезвычайноважнойзадачей,особенно при увеличении диаметра под‑ложки [17]. Приповерхностные нарушенияможноудалитьпутёмхимико‑механическойполировки,каксообщаетсяв[30].

Для характеризации свойств подложкина заключительной стадии возможно при‑менениенесколькихметодов[2,27].

Конструкциязарубежнойаппаратурыне‑известна,однаковкниге[2]приведеныфо‑тографии пластинSiC с большим ималымчисломдефектов(рис.10).

Аналогичные снимки приведены в [26,27].

Определение плотности дислокацийв пластинах карбида кремния осуществля‑етсяспомощьюметодаселективноготрав‑ления в расплавещёлочи (КОН) [31]. Тем‑пература расплава составляет 0‑600оС,а время травления – от до 20 минут.Дляидентификациидефектовиспользуетсяоптическаямикроскопия.

После двадцатиминутного травленияследымеханической полировки полностьюисчезаютинаповерхностиостаютсятолько

Рис. 7изображение кластеров «микротрубок»

в полуизолирующем Sic под микроскопом (размер снимка 1×1 мм)

Рис. 8аСм-изображение микротрубок

в полуизолирующем Sic

Рис. 9аСм-изображение хмп-полированной

поверхности полуизолирующего Sic

Технология приборов силовой электроники на основе карбида кремния (обзор)

Page 14: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

1 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

ямки травления, обусловленные различно‑го рода дислокациями, присутствующимив пластинах SiC. При этом размеры ямокувеличиваютсядо10‑1мкм.

Как видно из рис. 11, плотность дисло‑кацийнеоднороднапоповерхности.Встре‑чаются как области с малой плотностьюодиночныхдислокаций(плотностьдислока‑ций~1х10см‑2),такиобластислинейнымицепочкамидислокаций.Данныецепочкимо‑гутбытьобусловленыблочностьюпластиныSiC,врезультатечегонаграницедоменовобразуется избыточное количество дефек‑тов упаковки. В таком случае плотностьдислокацийвозрастаеткакминимумнапо‑рядок(>1х10см‑2).

Рентгеновская дифрактометрияРентгеновская дифрактометрия, де‑

тальноеописаниекоторойприведенов[28],являетсяоднимизосновныхметодов,поз‑

воляющихоценитькачествопластиниэпи‑таксиальныхслоёвкарбидакремния.

Исследованиерентгеновскихкривыхка‑чания на пластинах карбида кремнияфир‑мы «Светлана‑Электронприбор» показало,чтоугловаяширинакривойкачаниянапо‑лувысоте примерно равна 200 угл. секунд.Почти такая же величина была полученаприизмерениитрёхдюймовыхполуизолиру‑ющихпластинфирмыCree.

технологические операции при изготовлении полевых транзисторов на карбиде кремния

Селективное легированиеТак как коэффициентыдиффузииалю‑

минияиазотаоченьмалы,термическаядиф‑фузиянеиспользуетсяизаменяетсяионнойимплантацией. Используются два диффу‑занта p‑типа – алюминий и бор, для кото‑рыхакцепторныеуровни211мэВи300мэВсоответственно,ноалюминийиспользуетсячащевследствиеменьшейэнергиииониза‑ции [0]. Чтобы свести к минимуму амор‑физацию во время имплантации, обычноеёпроводятприповышеннойтемпературе:60оС для азота и до 1100 оС для алюми‑ния.Имплантацияборапроизводитсяобыч‑ноприкомнатнойтемпературе[32].

Формирование омических контактовОмические контакты чрезвычайно важ‑

ны длямощных приборов и из‑за высокойплотности тока, они должны иметь малоесопротивление. Омические контакты к ма‑териалуформируютсяпринапылениинике‑ляиегоотжиге.Контактыотжигаютсяпривысокойтемпературе(80‑100оС)варгонеиливвакууме.Удельноеконтактноесопро‑тивлениеобычноменьшечем∙10‑6Ом∙см2прилегированиислоёвэлектроннойпрово‑димости. Контакты к материалуp‑типа по‑лучитьболеетрудно,ониформируютсяприотжигенапылённогоалюминияилиприна‑несенииалюминияспоследующимнанесе‑ниемтитана.Температураотжигатакаяже,как для никелевых контактов, но удельноеконтактноесопротивлениенаходитсявпре‑

Рис. 10поляризационно-оптическое изображение

трёхдюймовых пластин полуизолирующего Sic

Рис. 11распределение плотности дислокаций

по поверхности подложки

Беспалов В. А., Дюжев Н. А., Медведев Б. К.

Page 15: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 1

делах10‑‑10‑3Ом∙см2.Никелевыеконтактыформируютсядляобластейn‑типаивесьмастабильны в области высоких температур:они не изменяются при отжиге в течение329 часов при температуре 60 оС с пос‑ледующим кратковременным отжигом притемпературе до 1300 оС. Для алюминие‑вых контактов аналогичные исследованиянепроводились.

Пороговоенапряжениеполевыхтранзи‑сторовобычноизмеряетсяпритоке20мАмежду истоком и стоком, обычно оно со‑ставляет2‑Вдлявысоковольтныхприбо‑ровпритолстомокислеи1‑2Впритонкомокисле[33].

Мощные DiMOSFETВэтихприборахдрейфоваяобластьде‑

лится на 3 части.Размерыобластей в H‑SiCDiMOSFETпредставленыв таблицах6и7[3].

дозы азота дляформирования истоков n+‑типа. Сильнолегированная имплантацияалюминия проводится для формированияподконтактной области p+‑типа. Вся имп‑лантация производится при температуре1600оС. Затем производятся осаждениеоксидного слоя толщиной 2мкм и оксиди‑рованиезатворатонкимокисломтолщиной00ангстрем,которыйтермическивыращи‑ваетсяпри1200оСвсухомО2иотжигаетсявNOпритемпературе117оСвтечение2‑хчасов,какуказанов[3].Далеенапыляетсямолибденовыйслойтолщин0,2мкмдлясо‑зданияэлектродазатвора.Контактыистокаистокаи p‑областиформируютсяприосаж‑дении никеля. Затвор далее металлизи‑руется составом никель‑золото толщиной0,2мкм, чтобыуменьшитьсопротивлениезатвора.Оксидныеслоиосаждаютсянаме‑таллические слои. В этих слоях формиру‑ются отверстия для контактов. Финальнаяоперация: осаждение толстых 2‑мкм слоёвTi/Pt/Auприиспользованиивзрывнойфото‑литографии[3].

Вольт‑амперныехарактеристикиDiMOS‑FETпредставленынарис.12.

Пробивное напряжение здесь выше200В.Каквидноизрис. 12, здесьдоста‑точномалыйток,что,по‑видимому,связаносмалымиразмерамикристалла.

Таблица 6

параметры структуры dimoSFEt

Ширинаканала00мкм

Длинаканала1мкм

Толщинаокисла00ангстрем

Участкиn‑диодов20мкм

Толщинаэпислоя20мкм

Таблица 7

параметры легирования областей dimoSFEt

ОбластьУровень

легированияПримесь

n‑дрейфовая ∙101см‑3 азот

p‑область ∙1017см‑3 алюминий

n+‑область 1,∙1020см‑3 азот

Рис. 12вах 4н- dimoSFEt

Изготовление DiMOSFET начинает‑ся с использованием пластин SiC n‑типас концентрацией электронов 2,∙101 см‑3,накоторыенаноситсяэпитаксиальныйслойтолщиной 20 мкм. P‑область формирует‑ся путём имплантации алюминия, котораявыполняется после имплантации высокой

Технология приборов силовой электроники на основе карбида кремния (обзор)

Page 16: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

16 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

Выводы1.Рассмотреныосновныетипыструктур

мощных полевых транзисторов на карбид‑кремниевых подложках: Н‑SiC DiMOSFETна проводящих подложках и Н‑SiCLDMOSFET на изолирующих подложках.Указаны пределы токов и напряженийпробоядляэтихтиповтранзисторов.

2.Какизолирующиеподложки,такипро‑водящие подложки карбида кремния могутбытьприобретеныкаквРоссии,такизарубе‑жом.Указаныпредприятия,изготовляющиеподложкииэпитаксиальныеслоинакарби‑де кремния. Стоимость зарубежных изоли‑рующихподложекпримернов10развыше,чемстоимостьпроводящихподложек.

3.Важнейшимипараметрамиподложекявляются их структурные свойства. Под‑ложкикарбидакремниясодержатогромноеколичество разнообразных дефектов, пе‑речень которых и методы их контроля из‑ложенывнастоящемобзоре.Нужноиметьввиду,чтонетникакихгарантий,чтокакза‑рубежные, так и отечественные подложкибудут пригодны для производства мощныхсиловых SiC транзисторов. Поэтому необ‑ходим всесторонний входной и технологи‑ческий контроль, например такой, которыйприменяется при производстве GaN HEMTнакарбидекремния(вчастности,в[26]ука‑занонаиспользование28‑миметодовтако‑гоконтроля).

. Кратко рассмотрена технология из‑готовления SiC силовых транзисторов, и,как видно, эта технология является болеесложной,чемтехнологиякремниевыхтран‑зисторов.

Некоторые исследования выполненысиспользованиемоборудованияЦКП«Мик‑росистемнаятехникаиэлектроннаякомпо‑нентная база», поддержанного МинОбрна‑укиРоссии.

Литература1. В. Войтович, А. Гордеев, А. Думаневич. Сило-

вая электроника. Сравнение, новые возмож-ности / Силовая электроника. – 2010. – 28. – С. 4‑10.

2. А. Полищук. Полупроводниковые материалы и приборы для жёстких условий эксплуата-ции / Современная электроника. – 2006. – 4. – С. 20‑24.

3. Википедия. Карбид кремния.4. В. М. Барбашов, Д. В. Громов. Радиационные

эффекты в наногетероструктурных СВЧ‑прибо-рах и интегральных схемах / Учебное пособие. М.: НИЯУ МИФИ, 2013. – С. 9.

5. А. А. Жохов, Г. А. Емельченко, А. В. Бородин, В. В. Кведер. Выращивание объёмных моно-кристаллов карбида кремния с использованием автоматизированной промышленной установки NIKA‑SIC и их характеризация // Институт фи-зики твёрдого тела РАН, (ИФТТ РАН), Экспери-ментальный завод научного приборостроения РАН (ФГУП ЭЗАН). (Доклады в сборнике [6]).

6. Карбид кремния: основные свойства и панора-ма его исследований в России (по материалам российско‑белорусских научно‑технических конференций «Элементная база отечественной радиоэлектроники), Нижний‑Новгород 2016 г.

7. R. Singh, J. Cooper, M. Melloch, T. Chow, J. Palmour, IEEE Trans. Electron Devices 49, 665 (2002).

8. E. Emorhokpor, T. Kerr, I. Zwieback, W. Elkington, M. Dudley, T. Anderson, J. Chen, in Proceedings of the International Conference on the GaAs Manu-facturing Technology, Miami, 2004, pp. 139‑142.

9. G. Martin, T. Kerr, W. Stepko, T. Anderson, in Pro-ceedings of the International Conference on the GaAs Manufacturing Technology, Miami, 2004, pp. 291‑294.

10. D. Nakamura, I. Gunjishima, S. Yamaguchi, T. Ito, A. Okamoto, H. Kondo, S. Onda, K. Takatori, Na-ture 430, 1009 (2004).

11. T. Anderson, D. Barrett, J. Chen, W. T. Elkington, E. Emorhokpor, A. Gupta, C. Johnson, R. Hopkins, C. Martin, T. Kerr, E. Semenas, A. Souzis, C. Tan-ner, M. Yonanathan, I. Zwieback Matirial Science Forum, 457‑460, 75 (2004).

12. Compound Semiconductor, Epigress Licenses HTCVD Technology from Oktemic. Comp. Semi-cond. 6, (2002).

13. H. Jacobsen, J. Birch, R. Yakimova, M. Syvajarvi, J. Bergman, A. Ellison, T. Tuomi, E. Janzen, J. Appl. Phus. 91, 6354 (2002).

14. F. Villard, J. Pringent, E. Morvan, C. Dua, C. Brylins-ki, F. Temcamani, P. Pouvil, IEEE Trans. Microwave Theory Tech. 51, 1129 (2003).

15. M. Rosker, in Compound Semiconductor IC Sym-posium Technical Digest, Palm Springs, 2005, pp. 13‑16.

16. A. Zhang, L. Rowland, E. Kaminsky, J. Tucker, R. Beaupre, J. Kretchmer, J. Garrett, A. Vertiatchikh,

Беспалов В. А., Дюжев Н. А., Медведев Б. К.

Page 17: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 17

G. Koley, H. Cha, A. Allen, J. Cook, J. Foppes, B. Edward, J. Electron. Mater.32 437 (2003).

17. M. Spencer, J. Palmour, C. Carter, IEEE Trans. Electron Devices 49, 940 (2002).

18. Cree, Silicon Carbide Substrates and Epitaxy: Prod-uct Specifications (2007), http://www.cree.com.

19. Гейфман Е. М., Чибиркин В. В., Гарцев Н. А., Давыдова Н. М. Исследование эпитаксиальных структур монокристаллического карбида крем-ния, выращенных методом высокотемператур-ного осаждения из паровой фазы (ЗАО «НПК «Электровыпрямитель», г. Саранск) / Материал в сборнике 8а.

20. П. А. Иванов, М. Е. Левинштейн (ФТИ им. А. Ф. Иоффе), Т. Т. Мнацаканов (ВЭИ), J. W. Palmour, A. K / Agarwal (CREE Inc. 27703 Durham NC, USA). Мощные биполярные прибо-ры на основе карбида кремния / Физика и тех-ника полупроводников. – 2005. – Т. 39. – Вып. 8. – С. 897‑913.

21. O. Kordina, C. Hallin, R, C. Glass et ol. / Inst. Phys. Conf., 1994. Ser. N 137, P. 45.

22. D. J. Larkin, P. G. Neudeck, J. A. Powell, L. G. Ma-tus / Inst. Phys. Conf. 1994. Ser., N 137, P.51.

23. S. H. Ryu, A. K. Agarwal, R. Singh, J. W. Palmour. / IEEE Trans., EDL‑22, 124 (2001).

24. Куэй Р. Электроника на основе нитрида галлия. // Пер. с англ. д.т. н. Ю. А. Концево-го и к.т. н. Е. А. Митрофанова, под ред. д.ф.‑м.н. А. Г. Васильева. – М.: Техносфера. – 2011. – 592 с.

25. А. Г. Васильев, Ю. В. Колковский, Ю. А. Конце-вой. Приборы и устройства на широкозонных полупроводниках. – М.: Техносфера. – 2011. – 416 с.

26. В. В. Груздов, Ю. В. Колковский, Ю. А. Кон-цевой. Контроль новых технологий в твердо-тельной СВЧ электронике. – М.: Техносфера. – 2016. – 328 с.

27. В. В. Груздов, Ю. В. Колковский, Ю. А. Кон-цевой. Входной и технологический контроль материалов и структур твердотельной СВЧ электроники (лабораторные работы). – М.: Тех‑носфера. – 2017. – 96 с.

28. К. Л. Енишерлова, А. В. Лютцау, Э. М. Темпер. Однокристальная рентгеновская дифрактомет-рия гетероструктур. – М.: ОАО НПП «Пуль-сар». – 2016. – 144 с., ил.

29. H. Bang, T. Mitani, S. Nakashima, H. Sazawa, K. Hirata, M. Kosaki, H. Okumura, J. Appl. Phys. 100, 114502 (2006).

30. M. Kanamura, T. Kikkawa, J. Joshin, in IEDM Technical Digest, San Francisco, 2004, pp. 799‑802.

31. А. А. Лебедев, С. В. Белов, С. П. Лебедев и др. Полуизолирующие 6H‑SiC подложки для применения в современной электронике. http://jre.cplire.ru / iso / feb14 / 5 / text. html, 2014 г.

32. T. Kimoto, A. Itoh, H. Matsunami, T. Nakata, and M. Watanabe, J. Elec. Matls., 25 (1996).

33. MOSFET Basics By Vrej Barkhordarian, International Rectifier, El Segundo, Ca.

34. Design, Modeling, and Characterization of Power MOSFET in 4H‑SiC for Extreme Environment Applications Md Hasanuzzaman, Syed K. Islam, Leon M. Tolbert.

35 G. Chung, C. C. Tin, J. R. Williams, J. K. McDon-ald, M. Di Ventra, S. T. Pantelides, L. C. Feldman, R. A. Weller, «Effect of Nitric Oxide Annealing on the Interface Trap Densities Near the Band Edges in the 4H Polytype of Silicon Carbide», Applied Phys-ics Letters, 76 (13) pp. 1713‑1715, March 2000.

References

1. V. Voytovich, A. Gordeev. A. Dumanevich, Power Electronics, Comparison, new possibilities / Power Electronics. 2010. No.28, pp.4‑10.

2. A. Polishchuk, Semiconductor materials and de-vices for harsh environments. Modern electronics. 2006. No.4, pp.20‑24.

3. Silicon carbide. Article at Wikipedia web‑site, ac-cessed at https: / / en.wikipedia.org / wiki / Silicon_carbide.

4. V. M. Barbashov, D. V. Gromov. Radiation effects in microwave nanoheterostructure devices and in-tegrated circuits. M.: NRNU MEPhI. 2013. p.9.

5. A. A. Zhokhov, G. A. Emelchenko, A. V. Borodin, V. V. Kveder. Growing of bulk SiC monocrystals using automated system NIKA‑SiC and their pa-rameters. The Institute of Solid State Physics RAS (ISSP RAS), Experimental Factory of Scientific Engineering (FSUE EZAN). Collection of Papers.

6. Silicon carbide: basic properties and the landscape of SiC research in Russia (based on the materials of Russian‑Belorussian scientific and technical conferences «Electronic Components of Domestic Radio‑Electronics). Nizhniy Novgorod. 2016.

7. R. Singh, J. Cooper, M. Melloch, T. Chow, J. Pal-mour, IEEE Trans. Electron Devices 49, 665 (2002).

8. E. Emorhokpor, T. Kerr, I. Zwieback, W. Elkington, M. Dudley, T. Anderson, J. Chen, in Proceedings of the International Conference on the GaAs Manu-facturing Technology, Miami, 2004, pp. 139‑142.

9. G. Martin, T. Kerr, W. Stepko, T. Anderson, in Pro-ceedings of the International Conference on the GaAs Manufacturing Technology, Miami, 2004, pp. 291‑294.

Технология приборов силовой электроники на основе карбида кремния (обзор)

Page 18: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

18 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

10. D. Nakamura, I. Gunjishima, S. Yamaguchi, T. Ito, A. Okamoto, H. Kondo, S. Onda, K. Takatori, Na-ture 430, 1009 (2004).

11. T. Anderson, D. Barrett, J. Chen, W. T. Elkington, E. Emorhokpor, A. Gupta, C. Johnson, R. Hopkins, C. Martin, T. Kerr, E. Semenas, A. Souzis, C. Tan-ner, M. Yonanathan, I. Zwieback Matirial Science Forum, 457‑460, 75 (2004).

12. Compound Semiconductor, Epigress Licenses HTCVD Technology from Oktemic. Comp. Semi-cond. 6, (2002).

13. H. Jacobsen, J. Birch, R. Yakimova, M. Syvajarvi, J. Bergman, A. Ellison, T. Tuomi, E. Janzen, J. Appl. Phus. 91, 6354 (2002).

14. F. Villard, J. Pringent, E. Morvan, C. Dua, C. Bry-linski, F. Temcamani, P. Pouvil, IEEE Trans. Micro-wave Theory Tech. 51, 1129 (2003).

15. M. Rosker, in Compound Semiconductor IC Sym-posium Technical Digest, Palm Springs, 2005, pp. 13‑16.

16. A. Zhang, L. Rowland, E. Kaminsky, J. Tucker, R. Beaupre, J. Kretchmer, J. Garrett, A. Vertiatchikh, G. Koley, H. Cha, A. Allen, J. Cook, J. Foppes, B. Edward, J. Electron. Mater.32 437 (2003).

17. M. Spencer, J. Palmour, C. Carter, IEEE Trans. Electron Devices 49, 940 (2002).

18. Cree, Silicon Carbide Substrates and Epitaxy: Prod-uct Specifications (2007), http://www.cree.com.

19. E. M. Geyfman, V. V. Chibirkin, N. A. Gartsev, N. M. Davydova. Investigation of monocrystaline SiC epitaxial structures, grown by high‑tempera-ture vapour deposition. «NPK Elektrovypryamitel» CJSC. Saransk. Collection of Papers.

20. P. A. Ivanov, M. E. Levinshtein, T. T. Mnatsakanov, J. W. Palmour, A. K. Agarwal, SiC‑based bipolar power devices. Semiconductor physics and engi-neering. 2005. Vol.39, Issue.8. pp.897‑913.

21. O. Kordina, C. Hallin, R, C. Glass et ol. / Inst. Phys. Conf., 1994. Ser. N 137, P. 45.

22. D. J. Larkin, P. G. Neudeck, J. A. Powell, L. G. Ma-tus / Inst. Phys. Conf. 1994. Ser., N 137, P.51.

23. S. H. Ryu, A. K. Agarwal, R. Singh, J. W. Pal‑mour. / IEEE Trans., EDL‑22, 124 (2001).

24. R. Quay. Gallium Nitride Electronics. Translated in Russian by Yu. A. Kontsevoy, E. A. Mitrofanov. Ed. By A. G. Vasilyev. M.: Tekhnosfera. 2011. p.592.

25. A. G. Vasilyev. Yu. V. Kolkovskiy. Yu. A. Kont-sevoy. Wideband‑gap Semiconductor Devices. M.: Tekhnosfera. 2011. p.416.

26. V. V. Gruzdov, Yu. V. Kolkovskiy, Yu. A. Kont-sevoy. Advances Technology Control in Solid‑state Microwave Electronics. M.: Tekhnosfera. 2016. p.328.

27. V. V. Gruzdov. Yu. V. Kolkovskiy. Yu. A. Kontsevoy. Incoming and process control of solid‑state micro-wave electronic materials and structures (labora-tory research). M.: Tekhnosfera. 2017. p.96.

28. K. L. Enisherlova, A. V. Lyuttsau, E. M. Temper. Monocrystalline diffractometry of heterostructures. Moscow. «S&PE «Pulsar» JSC. 2016. pp.144.

29. H. Bang, T. Mitani, S. Nakashima, H. Sazawa, K. Hirata, M. Kosaki, H. Okumura, J. Appl. Phys. 100, 114502 (2006).

30. M. Kanamura, T. Kikkawa, J. Joshin, in IEDM Tech-nical Digest, San Francisco, 2004, pp. 799‑802.

31. A. A. Lebedev, S.V Belov, S.P. Lebedev et al. Semi‑insulating 6H‑SiC substrates for modern electronic applications. http://jre.cplire.ru / iso / feb14 / 5 / text. html, 2014 г.

32. T. Kimoto, A. Itoh, H. Matsunami, T. Nakata, and M. Watanabe, J. Elec. Matls., 25 (1996).

33. MOSFET Basics By Vrej Barkhordarian, International Rectifier, El Segundo, Ca

34. Design, Modeling, and Characterization of Power MOSFET in 4H‑SiC for Extreme Environment Applications Md Hasanuzzaman, Syed K. Islam, Leon M. Tolbert

35. G. Chung, C. C. Tin, J. R. Williams, J. K. McDonald, M. Di Ventra, S. T. Pantelides, L. C. Feldman, R. A. Weller, «Effect of Nitric Oxide Annealing on the Interface Trap Densities Near the Band Edges in the 4H Polytype of Silicon Carbide», Applied Physics Letters, 76 (13) pp. 1713‑1715, March 2000.

Беспалов В. А., Дюжев Н. А., Медведев Б. К.

Page 19: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 19

Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018, с. 19-27Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018, pp. 19-27

УДК39.1.07

ФизиЧеСкие оСновы прогнозирования СтойкоСти кремниевых полупроводниковых приборов к воздейСтвиЮ импулЬСного нейтронного излуЧения

Э. н. вологдинАО «НПП «Пульсар», 105187, г. Москва, Окружной пр., 27

В статье рассмотрены физические процессы в кремнии при воздействии импульсного нейтронного из-лучения. Проанализированы полученные экспериментальные данные по изменению удельной электропро-водности, концентрации и подвижности основных носителей заряда в кремнии n- и p-типа с различным содержанием кислорода в течение интервала времени от 20 мс до суток после воздействия импульса нейтронов. На основе проведённого анализа уточнена модель образования и трансформации во времени пространственных структурных нарушений при указанном воздействии.

Ключевые слова: импульсное нейтронное излучение, область разупорядочения, фактор отжига

Сведения об авторах: Вологдин Эрих Николаевич, [email protected].

……………………………………………………………………

PhySical baSiS For PrEdiction oF Silicon SEmiconductor dEvicES rESiStancE to thE PulSEd nEutron radiation

E.n. vologdinJSC «S&PE «Pulsar», 105187, Moscow, Okruzhnoy proezd, 27

In this paper the physical processes in silicon under the impact of pulsed neutron radiation has been considered. Experimental data on the influence of neutron pulse on the changes in conductivity, charge carrier density and mobility in n- and p-type silicon with different oxygen concentration over the time-periods from 20 ms to 24 hours has been obtained and analyzed. On the basis of the analysis, the model of spatial structural defects formation and their change over time has been refined.

Keywords: pulsed neutron radiation, disordered region, annealing factor.

Data of Authors: Erikh Nikolaevich Vologdin, [email protected]

ВведениеИмпульсноенейтронноеизлучениеявля‑

етсяоднимизосновныхфакторовреальнойрадиационной обстановки, определяющихрадиационную стойкость кремниевых по‑лупроводниковых приборов, всвязи сна‑ибольшимвкладомвозникающихструктур‑ных дефектов визменение электрическихпараметров приборов среди всех воздейс‑твующихрадиационныхфакторов.

Экспериментальнаяпроверкастойкостиизделий полупроводниковой электроники

(ИПЭ) квоздействию импульса нейтроновсталкивается снеобходимостью дистанци‑онных измерений параметров‑критериевстойкостиизделийсразужепослевоздейст‑виязавремяот1мс,чтодляСВЧпарамет‑ров изделий является сложной, аиногдаинеразрешимойтехническойзадачей.

Проблемаещёболееусложняетсявсвя‑зи сограниченной доступностью облуча‑тельныхустановок,моделирующихимпуль‑сноенейтронноевоздействие.

Решениепроблемыпооценкестойкостисовременных ИПЭ куказанному воздейс‑

Page 20: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

20 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

твиюдолжноосновыватьсянарасчётно‑эк‑спериментальныхметодахпрогнозированиястойкости ИПЭ, которые, всвою очередь,могутбазироватьсянаизучениизакономер‑ностейобразованияструктурныхнарушений,характерных для воздействия импульсногонейтронногоизлучениянаполупроводнико‑выематериалы.Рассмотрениюфизическихосновтакихзакономерностейдлякремние‑выхприборовпосвященанастоящаяработа.

Структурные нарушения, возникающие в кремнии при воздействии быстрых нейтроновВ реальной радиационной обстановке

импульсное нейтронное излучение возни‑кает за счёт реакций деления исинтеза,лежащих воснове действия современногоядерного оружия. При проведении соот‑ветствующих испытаний воздействие та‑кого излучения моделируется излучениемнейтронов импульсного ядерного реакто‑ра на быстрых нейтронах. Энергетичес‑кий спектр нейтронов типичного реакторавместахразмещенияиспытуемыхизделийпредставлен в[1]. Диапазон энергий ней‑тронов находится впределах от 10кэВ до10МэВ смаксимальным выходом нейтро‑нов врайоне 1МэВ. Нейтроны указанногоэнергетическогодиапазонавзаимодейству‑ют сатомами кремния восновном за счётупругого рассеяния по механизму твёрдыхсфер,всоответствиискоторыммаксималь‑ная передаваемая энергия атому кремнияEА максравна:

гдеМниМSi–массынейтронаиатомакрем‑ния,Ен–энергиянейтрона.

Передаваемая атому кремния (атомуотдачи)энергия(ЕА)зависитотуглаφ,подкоторымрассеиваетсянейтронпослестол‑кновениясатомомкремния:

Учитывая,чтоприклассическомупругомрассеяниипомеханизмутвёрдыхсфервсенаправления рассеяния налетающих час‑

тицравновероятны, средняяпередаваемаяатому отдачи энергия равна половинеЕА макс. На самом деле нейтроны втвёрдомтелерассеиваютсябольшевпереднююпо‑лусферу,чтоприводиткнекоторомуумень‑шению средней энергии (коэффициент0,6÷0,8). Энергетическое распределениеатомов отдачи вкремнии для приведённо‑го спектра нейтронов импульсного ядерно‑го реактора представленов[1]. При этомрасчётосновывалсянетольконаиспользо‑вании упругого механизма рассеяния ней‑троновнаатомахкремния,ноучитывалосьинеупругое рассеяние нейтронов на ядрахкремния, атакже ядерные реакции (n, xn),(n,γ)идругие.

Полученный спектр атомов отдачи, на‑зываемыхпервичнымивыбитымиатомами(ПВА),лежитвдиапазонеэнергийот100эВдо800кэВсмаксимумомврайоне23кэВ.Скорость большинства атомов стакимиэнергиями превышает скорость валентныхэлектронов, иследовательно, ПВА явля‑ется ионом, который при своём движениивкремнии теряет энергию вупругих стол‑кновениях сдругими атомами ивпроцес‑се возбуждения электронов, т. е. создаётэлектронно‑дырочныепары.Пробегитакихионоввкремниилежатвдиапазонеот10Å до1мкм. Ина длине своего пробега ПВАсоздаёт структурные дефекты, передаваячасть своей энергии атомам решётки, ко‑торые, всвою очередь, раздают её вуп‑ругих столкновениях другим атомам, т. е.возникает каскад смещений. Каскад сме‑щений является основой для образованиявматериале пространственного структур‑ного нарушения, котороеназываютоблас‑тьюразупорядочения(ОР).Такимобразом,концентрация ОР вматериале равна кон‑центрации ПВА. Однако если каскад сме‑щений происходит за очень короткое вре‑мя(~10–12с),товозникновениеустойчивойОРможетпродолжатьсядостаточнодолгоевремя, иза это время электрофизическиепараметры кремния и, соответственно,параметры кремниевых приборов претер‑певают существенные изменения. Общий

Вологдин Э. Н.

Page 21: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 21

подходкдинамикесозданияОРвкремниибыл изложен в[2], где постулированы че‑тыре стадии образования ОР: каскадная,посткаскадная, квазихимическая, стадияаккомодации.

На первой стадии (каскадной) происхо‑дит развитие каскада столкновений ПВАсдругимиатомамикремния.Навторойста‑дии (посткаскадной) смещённые из узловвмеждоузлияатомыкремнияпокидаютоб‑ласть каскада ивзаимодействуют сприме‑сями, частьиз которыхможетбытьвытес‑ненавмеждоузлияистатьподвижными.Натретьейстадии(квазихимической)происхо‑дитвзаимодействиеобразованныхвкаска‑девакансиймеждусобойисдругиминесо‑вершенствами кристаллической решётки,прежде всего слегирующими иостаточ‑ными примесями, вт. ч. вытесненными изузлов на второй стадии. Четвёртая стадияпредполагаетустановлениеокончательногоравновесиямежду областями, содержащи‑ми структурные нарушения, иненарушен‑ной частью решётки или стой её частью,кудапродолжаютвводитьсяизолированныерадиационныедефекты.

Наиболее полно характер перестройкиОР впроцессе её образования рассмотре‑ныв[1], где проведено компьютерное мо‑делирование возникновения структурныхнарушений вкремнии для типичного спек‑тра нейтронного излучения импульсногореактора SPR‑III сучётом возможных ре‑акций между первичными радиационнымидефектами (вакансиями имеждоузлиями)иатомами примесей вкремнии. Несмотряна ряд полезных результатов, полученныхвуказанной работе, проведённые числен‑ныерасчётыневсегдадаютхорошеесовпа‑дение симеющимися экспериментальнымиданными.

Закономерности изменения электрофизических параметров кремния после импульсного нейтронного излученияПервая информация об изменении па‑

раметров полупроводниковых приборов

втечение некоторого времени после воз‑действия импульса нейтронов появиласьвсередине 60‑х годов прошлого столетия[3]. Был обнаружен эффект значительноговосстановления коэффициента передачитока биполярных транзисторов n-p-n‑типа,длительность которого зависела от вели‑чины эмиттерного тока впроцессе вос‑становления (с увеличением эмиттерноготока восстановление проходило быстрее).Втранзисторах p-n-p‑типа такой эффектотсутствовал. Объяснение наблюдаемогоэффекта,которыйполучилназвание«быст‑рогоотжига»,основывалосьнатом,чтоприинжекцииэлектроновизэмиттеравбазуp‑типаобразованныепринейтронномоблуче‑ниивакансиизахватываютэлектроныиста‑новятся отрицательно заряженными, длякоторых энергия активации процесса миг‑рации(~0,18эВ)существенноменьше,чемсоответствующаяэнергиядлянейтральныхвакансий(~0,32эВ).Всвязисэтимотрица‑тельнозаряженныевакансиибыстреепере‑мещаютсяпокристаллуираньшеобразуюткомплексы, т. е. перестают существоватьвкачествецентроврекомбинации,чтопри‑водиткчастичномувосстановлениювреме‑нижизнинеравновесныхносителейзарядавбазетранзистора,т.е.увеличениюегоко‑эффициентапередачитока.

Временную зависимость параметровкремниевыхприборов,зависящихотвреме‑нижизни(τ),впроцессе«быстрогоотжига»характеризуют спомощью коэффициента(или фактора) отжига по времени жизниAFτ, которыйопределяется следующимоб‑разом:

(1)

гдеиндексы0,Фt,Фостотносятсякзначени‑ям τ до облучения, спустя время t ипослеокончанияпроцессовформированияОРсо‑ответственно.

Для AFτ(t) при комнатной температуреиспользовалась полуэмпирическая форму‑ла[]:

Физические основы прогнозирования стойкости кремниевых полупроводниковых приборов к воздействию импульсного нейтронного излучения

Page 22: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

22 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

(2)

где n(t) – концентрация электронов (см–3)вобласти, для которой рассматриваютсярекомбинационныепроцессыпослеимпуль‑сногонейтронногооблучения (время tпод‑ставляетсявсекундах).

Нами ранее была предложена другаяформула,связывающаяфакторотжигасин‑тегральным удельным зарядом электронов(Qvt),прошедшихчерезобласть,времяжиз‑ниносителейзарядавкоторойопределяетвеличинуисследуемыхпараметровприбора(определяющаяобласть):

(3)

()

где (t)–зависимостьотвременисреднейконцентрации электронов вопределяющейобластиприбора(см–3),q–зарядэлектрона,равный1,6∙10–19Кл (время t подставляетсявсекундах).

Примечание: формула (3) справедлива для .

Формула (3) даёт лучшее совпадениесэкспериментальными результатами посравнениюсформулой(2)[].

В общем случае параметры полупро‑водниковыхприборовзависятнетолькоотвременижизниносителейзаряда,ноопре‑деляются также концентрацией основныхносителей (электронов n, дырок p) ипод‑вижностью (μ), всвязи счем по аналогиисформулой(1)можноввестикоэффициен‑ты (факторы) отжига для изменения послеимпульсного нейтронного облучения кон‑центрацииосновныхносителейAFn(t)ипод‑вижностиносителейзарядаAFμ(t):

()

(6)

Донастоящеговремениникакойинфор‑мации взарубежной литературе опроцес‑сах изменения во времени концентрацииосновныхносителейиподвижностивкрем‑нии после импульсного нейтронного излу‑чения не было обнаружено. Однако нашиэкспериментальные результаты свидетель‑ствуют отом, что для некоторых классовполупроводниковых приборов при оценкеих стойкости квоздействию импульсногонейтронного излучения следует учитыватьвременныеизмененияихпараметров,зави‑сящихпреждевсегоотконцентрацииоснов‑ныхносителей,аиногдаиотподвижности.

Нарис.1приведенызависимостиотвре‑мени относительного изменения удельнойэлектропроводностикремния впроцес‑сеипослевоздействияимпульсагамма‑ней‑тронного (γ‑n) излучения сприблизительноодинаковойконцентрациейосновныхноси‑телейдооблучения(6–6,)∙101см–3[6].

Возрастание электропроводности вовремя воздействия импульса связано сге‑нерациейнеравновесныхносителейзарядаза счёт возникающего вреакции деленияуранагамма‑излучения.

Заметнасущественнаяразницавхарак‑тере восстановления электропроводностиуматериалов, отличающихся содержаниемкислорода (КЭФ‑7, иБКЭФ‑7,), атакжедляобразцовp‑иn‑типа(КЭФ‑7,иКДБ‑20).

Рис. 1зависимости от времени относительного изменения удельной электропроводности

кремния в процессе и после воздействия импульса γ-n-излучения

Вологдин Э. Н.

Page 23: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 23

Рис. 2изменения концентрации основных носителей

при комнатной температуре после импульса γ-n-излучения для кремния кдб-20, бкдб-20,

кЭФ-10, бкЭФ-10

Рис. 3изменения подвижности основных носителей при комнатной температуре после импульса

γ-n-излучения для кремния кдб-20, бкдб-20, кЭФ-10, бкЭФ-10

Учитываято,чтовкладвэлектропроводностьдаёт как концентрация, так иподвижностьосновных носителей, целесообразно оце‑нитьхарактеризмененияпослеимпульсногонейтронного излучения каждого параметравотдельности. Для этого проанализируемходизмененияконцентрациииподвижностипосле гамма‑нейтронного импульса.Экспе‑риментальные результаты изменения n (p)иμприкомнатнойтемпературедлякремнияКДБ‑20,БКДБ‑20,КЭФ‑10,БКЭФ‑10приве‑денынарис.2и3соответственно.

Анализ приведённых зависимостей по‑казывает, что существенного измененияподвижностиосновныхносителейвкремниизавремяот20мсдосутокпослеимпульсанейтронногоизлучениянепроисходит,т.е.AFμ≈1.Поэтомудлявыделенияиз кривыхотносительного изменения удельной элек‑тропроводности (рис. 1) соответствующеговклада концентрации основных носителейможно использовать величину подвижнос‑

ти ,полученнуюэкспериментальноилирасчётным путём сиспользованием коэф‑фициента радиационного изменения под‑вижности kμ, определяемого спомощьюследующегосоотношения[7]:

(7)

гдеФн–флюенснейтронов.Таким образом, относительное изме‑

нение концентрации основных носителейпосле импульсного нейтронного излученияможет быть получено из зависимостей спомощьюследующегосоотношения:

(8)

гдеkμопределяетсяпоформуле(7)изизме‑рений, проведённых после окончания про‑цессаформированияОР.

Величины kμ, полученные из зависимос‑тей,приведённыхнарис.3,атакжеиспользо‑ванныеврасчётеданныеотраженывтабл.1.

Таблица 1расчёт коэффициентов kµ

Материал n0,см–3 μ0, Фн, kμ,

1 КЭФ‑10 ,∙101 1389 1123 2,3∙101 7,26∙10–19

2 БКЭФ‑10 ,∙101 1389 109 1,1∙101 1,7∙10–18

3 КДБ‑20 6,∙101 81 300 2,3∙101 ,33∙10–18

БКДБ‑20 6,∙101 81 27 2,3∙101 6,68∙10–18

Физические основы прогнозирования стойкости кремниевых полупроводниковых приборов к воздействию импульсного нейтронного излучения

Page 24: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

2 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

Фактор отжигаAFn может быть рассчи‑танизданных,приведённыхнарис.2.

ЗначенияAFnмакс,которыесоответствуютвремени~20мс,сведенывтабл.2.

Таблица 2значения aFn макс

МатериалКЭФ-

10БКЭФ-

10КДБ-

20БКДБ-

20

AFnмакс 1,06 1,06 2,37 6,

Обсуждение экспериментальных результатов и уточнение модели образования областей разупорядоченияАнализ экспериментальных данных,

приведённыхнарис.1,показывает,чтовос‑становление удельной электропроводностикремнияp‑иn‑типапослеимпульсногоней‑тронного излучения идёт по‑разному. Дляматериалов,содержащихбольшоеколичес‑тво кислорода (КДБ, КЭФ), вкоторых егоконцентрация может приближаться к1018см–3, аконцентрация основных носителейсопоставима, при одинаковых флюенсахнейтронов восстановление ≤ идёт значи‑тельно эффективнее вкремнии p‑типа.Это проявляется ввеличинахAFn (табл.2),гдеAFnмаксвкремнииp‑типаболеечемв2раза превышает AFn макс вкремнии n‑типа.Но самый неожиданный результат связансвеличинойAFnмаксдлякремнияp‑типасот‑носительномалымсодержаниемкислородаБКДБ‑20 (~1016см–3). Такие величины AFnранее не наблюдались, иони сопоставимысAF≤длякремнияp‑типапринизкомуровнеинжекции.Существеннаяразницаввеличи‑нахAFnдлякремнияp‑типасразличнымсо‑держанием кислорода (КДБ‑20 иБКДБ‑20)позволяет уточнить процессы, происходя‑щиевкремнииp‑типаприобразованииОР.

Считается, что развитие каскада сме‑щенийвкремнииприводитнетолькоквоз‑никновению вакансий имеждоузельныхатомов,ноикобразованиюпервичныхде‑фектовввидедивакансий,которыеявляют‑ся,вотличиеотвакансийимеждоузельныхатомов,неподвижными.Именнопервичные

дивакансии исоставляют основу ядра ОР.По оценке, приведённой в[1] на основа‑ниикомпьютерногомоделированияпарныхстолкновений атомов кремния, дивакансиивобласти каскада смещений составляют~ % от количества вакансий. При этомвцентре каскада концентрация вакансийможет достигать величины около 1021см–3,т.е. кристаллическая структура вядре со‑храняется.

Все первичные дефекты (междоуз‑лия, вакансии, дивакансии) электрическиактивны. Уровни дивакансий вкремнииустановлены достаточно точно. Являясьамфотерным центром, они имеют два ак‑цепторныхуровня(EC–0,23эВ,EC–0,1эВ)иодин донорный (EV + 0,21 эВ). Меньшаяопределённость существует по уровнямвакансий. Считается, что более или ме‑нее точно установлены акцепторный уро‑вень вверхней половине запрещённойзоны (EC – 0,09 эВ) идва донорных уров‑ня внижней половине запрещённой зоны(EV +0,0эВ,EV +0,13эВ).Причёмдонор‑ные уровни характеризуются инверсией,т.к. уровень (EV + 0,0 эВ) соответствуетпереходу дефекта из нейтрального состо‑яния воднократно положительно заряжен‑ное, ауровень (EV + 0,13 эВ) из однократ‑но вдвукратно положительно заряженное.Кромеэтого,увакансииимеетсяещёодиндостаточноглубокийакцепторныйуровень,соответствующийпереходудефектаизод‑нократноотрицательнозаряженногососто‑яниявнейтральное.Понекоторымданным,егоэнергетическоеположениесоответству‑ет(EC–0,эВ).

Наибольшая неопределённость возника‑ет вустановлении энергетических уровнеймеждоузлия. До настоящего времени нетпрямых экспериментов по их определению,поэтомусчитается,чтомеждоузлиеоблада‑ет большой подвижностью даже при оченьнизкихтемпературах.Опубликованныевна‑учнойлитературеданныепоэнергетическимуровняммеждоузлийполученынаоснованиикосвенныхэкспериментов.Исходяизобще‑физических представлений об изолирован‑

Вологдин Э. Н.

Page 25: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 2

номмеждоузлииврешёткекремния,можноутверждать, что оно должно отдавать одинили два слабосвязанных валентных элект‑ронаввалентнуюзонукремнияприналичиитамдырок,т.е.вматериалеp‑типа.Отсюдаможно считать физически обоснованнымналичие, по крайней мере, двух донорныхуровней, находящихсявблизи серединыза‑прещённой зоны кремния.Это подтвержда‑ется приводимыми влитературе уровнями:(EV +0,0эВ),соответствующимпереходуде‑фектаизнейтральноговоднократноположи‑тельнозаряженноесостояние,и(EC –0,7эВ),соответствующим переходу из однократновдвукратноположительнозаряженноесосто‑яние. Как ивслучае свакансией, донорныеуровнимеждоузлияобладаютинверсией.

Исходяизвышеизложенного,вкремнииp‑типа даже при достаточно высокой кон‑центрацииосновныхносителейядроОР,от‑давсвободныедырки,становитсяобластьюспроводимостью, близкой ксобственной.ТаккакОРвозникаетна трекеПВАсраз‑личнымипробегамисмещённыхатомов,тоеёнапервоначальнойстадииможнопред‑ставитькаксовокупностьядер,соответству‑ющихконцампробеговсмещённыхатомов,окружённыхпервичнымидефектамисмень‑шейконцентрацией(~1018см–3).

Из‑заградиентаконцентрациидефектовпроисходит диффузионное перемещениеподвижныхпервичныхдефектов–сначаламеждоузлий, затемвакансий.Междоузлия,встречаянасвоёмпутиатомылегирующейилиостаточнойпримеси,находящиесявуз‑лах, смещают их вмеждоузельное поло‑жение, занимаяихместо вузлах решётки.Атомы примеси, вытесненные вмеждоуз‑лия, становятся подвижными и, встречаянасвоёмпутитакиежеатомывузлах,об‑разуют устойчивые при комнатной темпе‑ратуредефектыструктуры.Такимобразом,конечной стадией трансформации каска‑да смещений, связанной смеждоузлиямивp‑кремнии, является образование комп‑лексов междоузельный бор – узловой бор(BSBI) имеждоузельный углерод – узловойуглерод(CSCI).

Вакансии вкремнии p‑типа при своёмперемещении могут взаимодействоватьслегирующейпримесью(бор),образуяком‑плекс(VBS),исостаточнойпримесью(кис‑лород), создавая А‑центр (VOI). КомплексVBSнеустойчивприкомнатнойтемпературеираспадается на составляющие. А‑центротжигаетсяпритемпературе30°Си,имеяэнергетическийуровеньакцепторноготипа(EC –0,17эВ),вкремнииp‑типанейтрален.Кроме этого, вакансии, взаимодействуя насвоёмпутисвытесненнымимеждоузельны‑миатомамибора,могутвозвратитьихвуз‑лы решётки.Этот процесс является однимиз главных механизмов восстановленияконцентрации основных носителей вкрем‑нииp‑типапослеимпульсногонейтронногооблучения ипозволяет объяснить разницувфакторе отжига для материалов КДБ‑20иБКДБ‑20.

Важныммоментомявляется такжевоз‑можностьпрямойаннигиляциимеждоузель‑ных атомов ивакансий из‑за их высокойконцентрации,особенновядреОР.

Однакоэффективностьаннигиляциипа‑дает при потере вакансий, входящих всо‑ставА‑центров.Такимобразом,вматериа‑ле,содержащеммногокислорода(КДБ‑20),остаётся больше междоузлий, способныхвытеснять бор из узлов, что ипроявляется(рис.2)вболеезначительном,чемвБКДБ‑20, уменьшении концентрации основныхносителейсразупослеокончанияимпульсаизлучения. Вдальнейшем происходит вос‑становлениеборавузлахрешёткиираспадобразовавшихся донорных центров (VBS),что, по‑видимому, вбольшей степени ха‑рактернодляматериалаБКДБ‑20,гдеявнонаблюдаются2стадиивосстановлениякон‑центрацииосновныхносителей.

В связи свышеизложенным следуетуточнитьмеханизм«быстрогоотжига»впо‑лупроводниковыхприборахпопараметрам,связанным свременем жизни носителейзаряда, т.е. пояснить зависимость AFτ отуровня инжекции. Увеличение концентра‑ции неравновесных электронов вp‑облас‑тях прибора приводит кэффективному их

Физические основы прогнозирования стойкости кремниевых полупроводниковых приборов к воздействию импульсного нейтронного излучения

Page 26: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

26 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

заполнению ОР, которая является потен‑циальной ямой для неосновных носителейзаряда.Привысокомуровнеинжекцииква‑зиуровеньФерми для электронов находит‑ся вверхней половине запрещённой зонывышеуровнявакансии(EC –0,эВ).Следо‑вательно,вакансиивОРбудутиметьотри‑цательныйзарядисмогутнепосредственнобез закрепления на центрах аннигиляциирекомбинировать стеми междоузлиями,которые имеют однократно или двукратноположительныйзаряд.Этотпроцессприво‑дит куменьшениюрекомбинационных цен‑тров вОР исоответственно кчастичномувосстановлению временижизни носителейзаряда.

Рольинтегральногозарядаэлектронов,используемого вформуле (3), заключает‑ся втом, что из‑за высокой концентрациивакансий, особенно вядре ОР, требуетсякакое‑товремядляприобретенияимиотри‑цательногозаряда,чтоучитываетсяформу‑лой().

Поэтому попытка, предпринятая в[1],получить для кремния p‑типа совпадениерасчётных иэкспериментальных данныхпо «быстрому отжигу» спомощью ничемне обоснованного использования врасчё‑те энергии активации диффузии, равной1,17эВ для междоузлия вдвукратно поло‑жительнозаряженномсостоянии,вызываетбольшиесомнения.

Наличиетакойбольшойэнергииактива‑циипривелобыкнепосредственномуобна‑ружению междоузлия кремния впроводи‑мыхэкспериментах,чегодосихпортакинепроизошло.

В кремнии n‑типа процессы восстанов‑ления параметров после гамма‑нейтронно‑го импульса идут вцелом по аналогичнойсхеме. Дивакансионное ядро формируетсянепосредственнововремяразвитиякаска‑да смещений. Однако из‑за большей ско‑ростирекомбинациидырокнадивакансияхпосравнениюсэлектронамирольинжекциинеравновесных носителей заряда за счётимпульсаизлучениявкремнииn‑типабудетменьше,чемвкремнииp‑типа.

Такжеследуетотметить,чтовпроцес‑сеотжигавкремнииn‑типаодинрекомби‑национныйцентр(вакансия)преобразует‑сявдругой(А‑центрилиЕ‑центр,которыйявляется комплексом вакансия‑фосфор),что также сказывается на величине ко‑эффициента радиационного изменениявременижизни,таккаквотличиеоткрем‑нияp‑типа,гдеосновныерекомбинацион‑ные свойствапослеокончанияпроцессовперестройки областей разупорядоченияопределяются дивакансионным ядром,вкремнииn‑типасвойвклад,кромеядра,даютА‑иЕ‑центрывпримесно‑дефектнойоболочке. Положительное же влияние наускорение процессов отжига вкремнииn‑типа инжекция оказать не может, пото‑мучтореакцияобразованияЕ‑центраидётэффективно при отрицательно заряжен‑нойвакансии.Такойонаиявляетсявма‑териалеn‑типавнезависимостиотуровняинжекции.ОбразованиежеА‑центрапрак‑тически не зависит от заряда вакансии.Кроме этого,А‑ иЕ‑центрынеменее эф‑фективны вкачестве рекомбинационныхцентров,чемвакансии.

Процессы восстановления концентра‑ции основных носителей вкремнии n‑типапосле импульса облучения также связаныспереходом вакансий всостав А‑ иЕ‑цен‑тров. При этом Е‑центр из зоны проводи‑мости удаляет, как правило, 2 электрона,тогда как вакансия– всего лишь один (заисключением случая сильнолегированногоматериала).Такимобразом,привхождениивакансии всостав Е‑центра концентрацияосновных носителей может даже умень‑шиться, что инаблюдается в«зонном»ма‑териалеБКЭФ‑7, (рис. 1) послеимпульсаизлучения. Иная зависимость характернадля «тянутого» материала (КЭФ‑7,), гдезначительная часть вакансий входит всо‑ставнеЕ‑,аА‑центровиз‑забольшойкон‑центрации кислорода. При этом А‑центрввысокоомном кремнии возвращает элек‑троны взону проводимости, т. к. его энер‑гетическийуровеньнаходитсявышеуровняФермивматериале.

Вологдин Э. Н.

Page 27: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 27

ЗаключениеВ статье проанализированы физичес‑

киепроцессы, лежащиевоснове созданияструктурных нарушений вкремнии при им‑пульсномнейтронномоблучении.

В результате проведённых эксперимен‑тальныхисследованийвпервыеобнаруженозначительноевосстановлениеконцентрацииосновныхносителейвкремнииp‑типапослевоздействия импульса гамма‑нейтронногоизлучения. Установлена зависимость фак‑тораотжигаAFnданногопроцессаотсодер‑жаниякислородавоблучаемомматериале.РасчётныемаксимальныезначенияAFnока‑залисьсопоставимысвеличинамифактораотжига по временижизни носителей заря‑даAF≤вкремнииp‑типапринизкомуровнеинжекции. На основании общего подходакпроцессу образования итрансформацииструктуры областей разупорядочения, воз‑никающихприоблучениикремниябыстры‑минейтронами,предложенмеханизм,объ‑ясняющий наблюдаемые закономерности.Анализ совокупности полученных экспери‑ментальных данных позволил внести уточ‑нениявмодельобразованияиперестройкиобластейразупорядочениявкремниипослеимпульсногонейтронногоизлучения.

Литература1. Myers S. M. [et al. ] Model of defect reactions and

the influence of clustering in pulse‑neutron‑irradi-ated Si // Journal of Applied Physics. – Vol. 104. 2008. – P. 044507‑1 – 044507‑13.

2. Вопросы радиационной технологии полу-проводников под ред. Л. С. Смирнова // Изд. «Наука», Сибирское отделение, Новосибирск, 1980. – 294 c.

3. Sander H. H. [et al. ] Devices following pulsed neu-tron irradiation // IEEE Transactions on Nuclear Science. – Vol. NS‑12. – No 6. 1966. – P. 53‑62.

4. McMurray L. R. [et al. ] Rapid annealing factor for bipolar silicon devices irradiated by fast neutron pulse // IEEE Transactions on Nuclear Science. – Vol. NS‑28. – No 6. 1981. – P. 4392‑4396.

5. Вологдин Э. Н. Экспериментальное исследова-ние процессов формирования областей разу-порядочения в кремнии при нейтронном облу-

чении // Твердотельная электроника. Сложные функциональные блоки РЭА: Материалы XI Всероссийской научно‑технической конферен-ции. Дубна, 2012. – С. 175‑177.

6. Вологдин Э. Н. Исследование процессов дегра-дации и восстановления параметров полупро-водниковых материалов и приборов в резуль-тате воздействия импульсного ионизирующего излучения / Э. Н. Вологдин, В. Г. Горячев // Ра-диационная стойкость электронных систем «Стойкость‑2011»: научно‑технический сбор-ник. – Вып. 14. – 2011. – С. 113‑114.

7. Вологдин Э. Н. Интегральные радиационные изменения параметров полупроводниковых ма-териалов / Э. Н. Вологдин, А. П. Лысенко. – М.: МГИЭМ, 1999. – 94 с.

Reference1. Myers S. M. [et al. ] Model of defect reactions and

the influence of clustering in pulse‑neutron‑irradi-ated Si // Journal of Applied Physics. – Vol. 104. 2008. – P. 044507‑1 – 044507‑13.

2. Issues of semiconductor radiation technology, ed. L. S. Smirnov. Publ. «Nauka» [ «Science» ], Sibe-rian branch, Novosibirsk. 1980. p.294.

3. Sander H. H. [et al. ] Devices following pulsed neutron irradiation // IEEE Transactions on Nuclear Science. – Vol. NS‑12. – No 6. 1966. – P. 53‑62.

4. McMurray L. R. [et al. ] Rapid annealing factor for bipolar silicon devices irradiated by fast neutron pulse // IEEE Transactions on Nuclear Science. – Vol. NS‑28. – No 6. 1981. – P. 4392‑4396

5. E. N. Vologdin. Experimental study of the process-es of disordered region formation in silicon under the neutron radiation. Materialy XI Vserossiyskoy nauchno-tekhnicheskoy conferencii Tverdotelnaya elektronika. Slozhniye funktsionalniye bloki REA [Proc. XI All‑Russian scientific and technical con-ference Solid‑state Electronics. Complex Function-al Blocks of Radio‑electronic Equipment]. Dubna. 2012. pp.175‑177.

6. E. N. Vologdin. V. G. Goryachev. Study of degrada-tion and recovery of semiconductor materials and devices parameters caused by exposure to pulsed ionizing radiation. Nauchno-tekhnicheskiy sbornik. Rad. stoykost elektronnykh system «Stoykost-2011» [Proc. Radiation Hardness of Electronic Systems «Stoykost‑2011» ]. Vol.14‑2011. pp.113‑114.

7. E. N. Vologdin, A. P. Lysenko. Integral radiation‑induced changes of semiconductor material`s para‑meters. M.: MGIEM. 1999. p.94.

Физические основы прогнозирования стойкости кремниевых полупроводниковых приборов к воздействию импульсного нейтронного излучения

Page 28: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

28 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

УДК621.382.029.6

завиСимоСтЬ радиаЦионной СтойкоСти изделий твердотелЬной СвЧ Электроники

от набора параметров-критериев годноСти

г. в. Чуков2, в. в. елесин2, г. н. назарова2, н. а. усачев2, д. в. бойченко2, а. Ю. никифоров1, а. в. телец3

1Национальный исследовательский ядерный университет «МИФИ», 115409, г. Москва, Каширское ш., 312АО «ЭНПО СПЭЛС», 115409, г. Москва, Каширское ш., 313АО «НПП «Пульсар», 105187, г. Москва, Окружной пр., 27

Проведён анализ влияния системы параметров-критериев годности и норм на их отклонение на уров-ни радиационной стойкости изделий твердотельной СВЧ электроники. На типовых примерах показа-но, что необоснованный выбор параметров-критериев и норм может приводить как к заниженной (в разы), так и к завышенной оценке стойкости. Наиболее общим решением указанной проблемы является про-ведение определительных испытаний с установлением фактического уровня стойкости и получением зависимостей значений параметров-критериев от значений характеристик специальных факторов.

Ключевые слова: сверхвысокая частота, твердотельная электроника, показатели радиационной стой-кости, параметры-критерии

Сведения об авторах: Чуков Георгий Викторович, к.т. н., доцент, [email protected]; Елесин Вадим Вла-димирович, к.т. н., доцент, [email protected]; Назарова Галина Николаевна, [email protected]; Усачев Нико-лай Александрович, к.т. н.; Бойченко Дмитрий Владимирович, к.т. н., доцент, [email protected]; Никифоров Александр Юрьевич, д.т. н., профессор, [email protected]; Телец Андрей Витальевич, [email protected]

……………………………………………………………………

radiation hardnESS EStimation and aSSurancE oF Solid-StatE microwavE ElEctronicS

by thE choicE oF thE critEria ParamEtErS

g. v. chukov2, v. v. Elesin2, g. n. nazarova2, n. a. usachev2, d. v. boychenko2, a. yu. nikiforov1, a. v. telets3

1National research nuclear university MEPhI (Moscow Engineering Physics Institute), 115409, Moscow, Kashirskoye shosse, 31

2JSC «Specialized Electronic Systems», 115409, Moscow, Kashirskoye shosse, 313JSC «S&PE «Pulsar», 105187, Moscow, Okruzhnoy proezd, 27

The analysis of influence of parameters of system of criteria of validity and norms for their deviation from levels of radiation resistance of products of solid-state microwave electronics. In typical examples it is shown that the unreasonable choice of parameters, criteria and norms may lead to lower (a lots) and an overestimation of the resistance. The most common solution to this problem is to conduct defining testing with determine the actual resistance level and obtain the dependence of parameter values of criteria from the values of the characteristics of special factors. In addition, it is recommended to evaluate the possibility of providing time to failure under conditions of changes in electric mode under the influence of special factors.

Keywords: microwave, solid state electronics, radiation hardness index, parameters criteria

Data of authors: Chukov George Viktorovich, Ph. D., associate professor, [email protected]; Elesin Vadim Vladimi-rovich, Ph. D., associate professor, [email protected]; Nazarova Galina Nikolaevna, [email protected]; Usachev Nikolay Aleksandrovich, Ph. D.; Boychenko Dmitriy Vladimirovich, Ph. D., associate professor, [email protected]; Nikiforov Aleksandr Yuryevich, Ph. D., professor, [email protected]; Telets Andrey Vitalyevich, [email protected]

Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018, с. 28-34Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018, pp. 28-34

Page 29: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 29

ВведениеВсоответствиисдействующиминорма‑

тивнымидокументами [1]изделиесчитает‑сястойкимкрадиационнымвоздействиям,есливовремяипослевоздействияизлуче‑нийзначенияегопараметровостаютсявпре‑делахустановленныхнорм.Такимобразом,внекоторыхслучаяхуровеньстойкостиЭКБможетбытьобеспеченварьированиемнормна параметры‑критерии (т. е. параметры,выход значений которых за норму опреде‑ляетстойкостьизделия)ссоответствующимобеспечениемуровнейстойкостиаппарату‑ры [2].Вработерассмотренавозможностьпримененияуказанногоподходадлясовре‑меннойСВЧЭКБдляобоснованногоповы‑шенияуровнястойкостиСВЧЭКБвсоставеаппаратурыпутёмвыборанормназначенияпараметров‑критериев.

Выбор контролируемых параметров-критериев годностиМногочисленныерезультатыиспытаний

СВЧ ЭКБ отечественного и иностранногопроизводства,проведённыевИЦАО«ЭНПОСПЭЛС» иИЭПЭНИЯУМИФИ, подтверж‑

дают необходимость контроля основныхинформативных СВЧ параметров‑критери‑ев стойкости, характеризующих целевуюфункциюизделия(например,длягенерато‑ров– частотуивыходнуюмощность [3, ],длямалошумящихусилителей–коэффици‑ентусиленияикоэффициентшума[,6]).

Можно привести достаточно примеров,где уровни стойкости определяются откло‑нениемзанормуСВЧпараметровизделий[3‑12].Например,вдискретномпрограмми‑руемомфазовращателе(ФВ)MAPS010163,представляющем собой систему в корпусеи состоящем из двух кристаллов – GaAsмногоразрядногоФВикремниевогоКМОПдрайвера управления (ДУ) обнаружен ка‑тастрофический отказ (КО). Тиристорныйэффект (ТЭ) в ДУ, возникающий при воз‑действиитяжелыхзаряженныхчастиц,при‑водит к «зависанию» одного разряда ДУивозрастаниютокапотребленияпримернов 000 раз. На рис. 1 показана фазочас‑тотнаяхарактеристика (ФЧХ)6состоянийцифрового ФВ до и после воздействия.Без контроля ФЧХ функциональный отказнебылбыобнаружен.

Рис. 1ФЧх многоразрядного Фв maPS010163 до (а) и после (б) воздействия

(а) (б)

Зависимость радиационной стойкости изделий твердотельной СВч электроники от набора параметров-критериев годности

Page 30: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

30 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

Другимпримероммогутслужитьрезуль‑таты испытаний на стойкость к импульсно‑му воздействию ионизирующего излученияотечественной микросхемы 1‑разрядногоступенчатого векторного СВЧ ФВ с парал‑лельным управлением и рабочим диапа‑зоном частот 2,…3, ГГц, изготовленнойпоSiGeБиКМОПтехнологии.Нарис.2по‑

Рис. 2Синхронные осциллограммы сигнала на выходе векторного СвЧ фазовращателя

и реакции коэффициента передачи Δкп и фазы Δφ сигнала при значении уровня воздействия 7,7∙108 ед/с (а), 6,9∙1012 ед/с (б)

казанысинхронныеосциллограммысигналанавыходеФВиреакциимощностиифазысигнала при значении уровня воздействия7,7∙108ед/си6,9∙1012ед/с.Уровеньбессбой‑ной работы (УБР), определяемый выходомзаустановленнуюнормуамплитудыимпульс‑ной реакции коэффициента передачи (ΔКп)(превышениена1дБотпервоначальногозна‑

Канал 1: сигнал на входе ФВ: 50 мВ/дел; 5 мкс/делКанал 2: сигнал на выходе ФВ: 20 мВ/дел; 5 мкс/делКанал 3: отношение выходного сигнала к входному (∆Кп): 2 дБ/дел; 5 мкс/делКанал 4: фазовый сдвиг (∆φ): 20 град/дел; 5 мкс/дел

(а)

Канал 1: сигнал на входе ФВ: 50 мВ/дел; 5 мкс/делКанал 2: сигнал на выходе ФВ: 20 мВ/дел; 5 мкс/делКанал 3: отношение выходного сигнала к входному (∆Кп): 10 дБ/дел; 5 мкс/делКанал 4: фазовый сдвиг (∆φ): 20 град/дел; 5 мкс/дел

(б)

чуков Г. В., Елесин В. В., Назарова Г. Н., Усачев Н. А., Бойченко Д. В., Никифоров А. Ю., Телец А. В.

Page 31: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 31

чения),составляетнеменее3,8∙109ед/с.Ам‑плитудаимпульснойреакциифазысигналанавыходе(Δφ)ФВмонотонноувеличивает‑сясростомзначенияуровнямощности.ПриэтомУБР, определяемыйвыходомза уста‑новленную нормуΔφ (,6 град), составляетнеменее7,7∙108ед/с(рис.2а)иограничива‑етуровеньстойкостимикросхемы.

Следует особенно отметить, что пара‑метр«токпотребления»,которымзачастуюограничивают состав контролируемых па‑раметров при испытаниях, в ряде случаевне задан в ТТ (ТУ) на изделие. Подобныепримеры приведены на рис. 3. РезультатыиспытанийотечественногоСВЧстатическо‑годелителячастоты,изготовленногопоSiGeБиКМОП технологии, показаны на рис. 3а.С ростом уровня воздействия ток потреб‑лениямонотонноснижается(т.е.улучшает‑ся)вплотьдопредельногоуровнядозовоговоздействия1,2∙106ед.ПриэтомвыходнаямощностьСВЧсигналамонотонноснижает‑сяиприуровневоздействия∙10едвыходитзаустановленнуюнорму,определяяуровеньстойкостиизделия.Другимпримероммогутслужитьрезультатыиспытанийотечествен‑ного СВЧ LD МОП транзистора (рис. 3б).СростомуровнявоздействиятокстокаIсмо‑нотонноуменьшаетсявплотьдопредельно‑

гоуровнядозовоговоздействия106ед.Приэтомкоэффициентусиления(Ку)монотонноснижается и выходит за норму, определяяуровеньстойкостиизделия.Впрактикера‑диационныхиспытанийСВЧЭКБвстречает‑сямножествоподобныхпримеров.

В случае изделий иностранного произ‑водствакоммерческогоилипромышленногоназначения норма на отклонение парамет‑ровприрадиационныхиспытаниях,какпра‑вило, задаётся как предельно допустимыезначения параметров, приведённые в тех‑нической документации на изделие. Такойподход к выбору норм зачастую приводитк существенному занижению уровня стой‑костиизделия.

МожнорекомендоватьприсертификацииСВЧЭКБкакиностранного, такиотечест‑венногопроизводствапроводитьисследова‑тельскиеиспытанияиуказыватьвсправоч‑ныхлистахполученныеэкспериментальныезависимости радиационного измененияпараметров.Наличиеэтихданныхуразра‑ботчикааппаратурыпозволитосознанноус‑танавливатьнормунаотклонениепарамет‑ров и тем самым обоснованно обеспечитьуровень радиационной стойкости. Приме‑ромреализациитакогоподходазарубежомможетслужитьИСпереключателясигналов

Рис. 3зависимость тока потребления Iп и выходной мощности Pвых

отечественного СвЧ Sige бикмоп статического делителя частоты от поглощённой дозы (а); зависимость тока стока Iс и коэффициента усиления ку отечественного

радиочастотного ld моп транзистора от поглощённой дозы (б)

(а) (б)

Зависимость радиационной стойкости изделий твердотельной СВч электроники от набора параметров-критериев годности

Page 32: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

32 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

PE920(радиационностойкаясерия)инос‑транногопроизводства(ф.Peregrine),изго‑товленнаяпоКНСтехнологии.ИСPE920предусматривает питание положительными отрицательным напряжением, статичес‑кийтокпотребления(Iп)определяетсятока‑миутечкипозатворупроходныхишунтиру‑ющих транзисторов и составляет не более1мкА[13].Приэтом,всоответствиистех‑ническимописаниемнамикросхему,последозовоговоздействиядоуровня20краддо‑пустимрост Iппоположительномупитаниюдо 100мкА, по отрицательному питанию –до 0, мА, а после воздействия до уровня100краддопустимростIппоположительно‑му питанию до 0,мА, по отрицательномупитанию – до мА. Значимая деградацияСВЧ параметров ИС до заданного уровня100 крадотсутствует.Такимобразом, уро‑веньстойкостикдозовомувоздействиюИСPE920увеличензасчётповышениянор‑мынаотклонениенеявляющегосяпракти‑ческизначимымдляСВЧприложенийпара‑метраIпболеечемнатрипорядка(с1мкАдомА).

Допустимо существенно увеличиватьнормунанекритичныесточкизренияфун‑кционирования параметры. Параметр‑кри‑терий Iп может в разы снижать уровеньстойкости по УБР и ВПР, причём изделиеиаппаратурабудутработоспособны.Напри‑мер,данныенарис.показывают,чтомик‑росхема радиочастотной СБИС усиления,частотного преобразования, фильтрациии оцифровывания сигналов спутниковыхсистем навигации, выполненной по КМОПтехнологии0,13мкм,несоответствуеттре‑бованиямквоздействиюимпульсногоиони‑зирующегоизлучения(ИИ):ВПРпопарамет‑рутокпотребленияIппревышаетдопустимоезначение(превышениена100%отстацио‑нарногозначения),чтоприводиткпарамет‑рическому отказу, при этомдо требуемогоуровнявоздействияТЭиКОнеобнаружено.Функциональный сбой, устраняемый толь‑ко после перепрограммирования микро‑схемы, наблюдается только при уровне,6∙109ед/с. Критериемнормальногофунк‑

ционирования являлось наличие сигналанавыходемикросхемызаданнойчастоты.

Между тем, если положить основнымпараметром‑критериеммощностьичастотувыходногосигнала, гденормывзятыобос‑нованноизТЗнаОКР,тоСБИСбудетсоот‑ветствоватьтребованиям.

ЗаключениеПроанализированасуществующаяпрак‑

тиказаданияпараметров‑критериевинормнанихдляЭКБСВЧдиапазона.Показано,что для адекватной оценки радиационнойстойкоститребуетсяконтрольвсехфункци‑ональнозначимыхСВЧпараметров.Врядеслучаеввозможнообеспечениерадиацион‑ной стойкости путём обоснованного повы‑шениянормнаотклонениепараметров.

Литература1. Критенко М. Обеспечение качества военной

продукции. Новое поколение нормативных до-кументов // Электроника: наука, технология, бизнес. – 2000. – Вып. 4. – С. 50‑53.

2. Радиационная стойкость изделий ЭКБ: научное издание / под. ред. А. И. Чумакова. – М.: НИЯУ МИФИ, 2015. – 512 с.

3. Сотсков Д. И., Елесин В. В., Амбуркин Д. М., Кузнецов А. Г., Чуков Г. В. Показатели радиаци-

Рис. 4зависимость впр радиочастотной СбиС

по параметрам «ток потребления» Iп (норма на отклонение от стационарного значения

100%) и «выходная мощность» (норма на отклонение от стационарного значения минус 1 дб) от величины мощности дозы

чуков Г. В., Елесин В. В., Назарова Г. Н., Усачев Н. А., Бойченко Д. В., Никифоров А. Ю., Телец А. В.

Page 33: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 33

онной стойкости интегральных схем ГУН СВЧ‑диапазона // Радиационная стойкость электрон-ных систем: научн.‑техн. сборник. – 2015. – Вып. 18. – С. 199‑200.

4. Усачев Н. А., Елесин В. В., Сотсков Д. И., Чу-ков Г. В., Назарова Г. Н., Савченко Е. М., Будя-ков А. С. Сравнительный анализ ИС СВЧ гене-раторов, управляемых напряжением, на основе показателей качества и радиационной стойкос-ти // Материалы XV Всероссийской научно‑технической конференции «Твердотельная электроника. Сложные функциональные бло-ки РЭА». – М.: АО «НПП «Пульсар», 2017. – С. 208‑211.

5. The Effect of Ionizing Radiation on the Character-istics of Silicon−Germanium Microwave Integrated Circuits / V. V. Elesin, G. V. Chukov, D. V. Gromov, V. V. Repin at al. // Russian Microelectronics, 2010, vol. 39, no. 2, pp. 122‑133.

6. Назарова Г. Н., Амбуркин К. М., Кузне-цов А. Г., Елесин В. В., Полевич С. А., Крутов А. В. Эффекты мощности дозы в современных отечественных СВЧ ИС на основе арсенида галлия / / Радиационная стойкость электронных систем: научн.‑техн. сборник. – 2015. – Вып. 18. – С. 195‑196.

7. Назарова Г. Н., Елесин В. В., Никифоров А. Ю., Кузнецов А. Г., Усачев Н. А., Амбуркин Д. М. Со-ставные функциональные блоки для создания ра-диационно стойких приёмопередающих КМОП КНИ БИС / / Микроэлектроника. – 2016. – Т. 45, 1. – С. 72‑80.

8. Sotskov D. I., Elesin V. V., Kuznetsov A. G., Naz-arova G. N., Chukov G. V., Boychenko D. V., Te-lets V. A., Usachev N. A. Total Ionizing Dose Ef-fects in Phase‑Locked Loop ICs and Frequency Synthesizers / / RADECS‑2015 Proceedings, 2016, pp. 367‑369.

9. Кузнецов А. Г., Назарова Г. Н., Чуков Г. В., Еле-син В. В., Будяков А. С., Савченко Е. М. Радиа-ционная стойкость СВЧ активных смесителей на основе различных полупроводниковых тех-нологий // Материалы Всероссийской науч-но‑технической конференции «Твердотельная электроника. Сложные функциональные блоки РЭА». – М.: ФГУП «НПП «Пульсар», 2015. – С. 327‑331.

10. Elesin V. V., Sotskov D. I., Chukov G. V., Ambur-kin K. M., Usachev N. A. Radiation effects in RF frequency divider ICs // 25nd International Crime-an Conference Microwave and Telecommunication Technology (CriMiCo 2015), Conference Proceed-ings, 2015, pp. 808‑809.

11. Назарова Г. Н., Амбуркин К. М., Кузне-цов А. Г., Елесин В. В., Полевич С. А., Крутов А. В. Эффекты мощности дозы в современных отечественных СВЧ ИС на основе арсенида галлия / / Радиационная стойкость электронных систем: научн.‑техн. сборник. – 2015. – Вып. 18. – С. 195‑196.

12. Chukov G. V., Elesin V. V., Nazarova G. N., Ni-kiforov A. Y., Boychenko D. V., Telets V. A., Kuznetsov A. G., Amburkin K. M. SEE Testing Re-sults for RF and Microwave ICs // IEEE Radiation Effects Data Workshop, 2014, pp. 233‑235.

13. Product Description PE95420. – Режим доступа: http://www.psemi.com / pdf / datasheets / pe95420ds. pdf (дата обращения: 21.11.17 г.).

References1. Kritenko M. Providing Defense Systems Quality.

A New Generation of Normative Documents. Ele-ktronika: nauka, tekhnologiya, biznes [Electronics: Science, Technology, Business], 2000, 4, pp. 50‑53.

2. Radiatsionnaya stoykost izdeliy EKB [Radiation harness of electronic components (ed. by A. I. Chu-makov) ]. Moscow, NRNU MEPHI Publ., 2015, 512 p.

3. Sotskov D. I., Elesin V. V., Amburkin D. M., Kuznetsov A. G., Chukov G. V. Radiation hardness index of microwave VCO integrated circuits. Ra-diachionnaya stoykost elektronnykh sistem: nauch-no-tekhnicheskiy sbornik [Scientific and technical collection «Radiation hardness of electronic sys-tems» ], 2015, 18, pp. 199‑200.

4. Usachev N. A., Elesin V. V., Sotskov D. I., Chuk-ov G. V., Nazarova G. N., Savchenko E. M., Budya-kov A. S. The comparative analysis of microwave voltage control generators ICs based on quality fac-tors and radiation hardness. Materialy XV Vseros-siyskoy nauchno-tekhnicheskoy konferentsii «Tver-dotelnaya elektronika. Slozhnye funktsionalnye bloki REA» [Proceedings of XV Russian science and technical conference «Solid‑state electron-ics. Complex functional blocks of radio‑electron-ic equipment» ]. Moscow, JSC «S&PE «Pulsar» Publ., 2017, pp. 208‑211.

5. The Effect of Ionizing Radiation on the Character-istics of Silicon−Germanium Microwave Integrated Circuits / V. V. Elesin, G. V. Chukov, D. V. Gromov, V. V. Repin at al. // Russian Microelectronics, 2010, vol. 39, no. 2, pp. 122‑133.

6. Nazarova G. N., Amburkin K. M., Kuznetsov A. G., Elesin V. V., Polevich S. A., Krutov A. V. Dosage related effects in advanced domestic GaAs micro-

Зависимость радиационной стойкости изделий твердотельной СВч электроники от набора параметров-критериев годности

Page 34: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

3 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

wave IC. Radiachionnaya stoykost elektronnykh sistem: nauchno-tekhnicheskiy sbornik [Scientific and technical collection «Radiation hardness of electronic systems» ], 2015, 18, pp. 195‑196.

7. Nazarova G. N., Elesin V. V., Nikiforov A. Yu., Kuznetsov A. G., Usachev N. A., Amburkin D. M. The Circuit and Functional Blocks for Ra-diation‑Hard Transceiver LSICs in SOI CMOS. Mikroelektronika [Russian Microelectronics], 2016, vol. 45, 1, pp. 68‑76.

8. Sotskov D. I., Elesin V. V., Kuznetsov A. G., Nazarova G. N., Chukov G. V., Boychenko D. V., Telets V. A., Usachev N. A. Total Ionizing Dose Effects in Phase‑Locked Loop ICs and Frequency Synthesizers // RADECS‑2015 Proceedings, 2016, pp. 367‑369.

9. Kuznetsov A. G., Nazarova G. N., Chukov G. V., Elesin V. V., Budyakov A. C., Savchenko E. M. Ra-diation hardness of microwave active mixers based on various semiconductor processes. Materialy Vserossiyskoy nauchno-tekhnicheskoy konferentsii «Tverdotelnaya elektronika. Slozhnye funktsional-nye bloki REA» [Proceedings of Russian science and technical conference «Solid‑state electronics.

Complex functional blocks of radio‑electronic equipment» ]. Moscow, JSC «S&PE «Pulsar» Publ., 2015, pp. 327‑331.

10. Elesin V. V., Sotskov D. I., Chukov G. V., Ambur-kin K. M., Usachev N. A. Radiation effects in RF frequency divider ICs // 25nd International Crime-an Conference Microwave and Telecommunication Technology (CriMiCo 2015), Conference Proceed-ings, 2015, pp. 808‑809.

11. Nazarova G. N., Amburkin K. M., Kuznetsov A. G., Elesin V. V., Polevich S. A., Krutov A. V. Dose rate effects in modern domestic microwave GaAs ICs. Radiachionnaya stoykost elektronnykh sistem: nauchno-tekhnicheskiy sbornik [Scientific and technical collection «Radiation hardness of elec-tronic systems» ], 2015, 18, pp. 195‑196.

12. Chukov G. V., Elesin V. V., Nazarova G. N., Niki-forov A. Y., Boychenko D. V., Telets V. A., Kuznet-sov A. G., Amburkin K. M. SEE Testing Results for RF and Microwave ICs // IEEE Radiation Effects Data Workshop, 2014, pp. 233‑235.

13. Product Description PE95420. – URL: http://www.psemi.com / pdf / datasheets / pe95420ds. pdf (accessed 21.11.17).

чуков Г. В., Елесин В. В., Назарова Г. Н., Усачев Н. А., Бойченко Д. В., Никифоров А. Ю., Телец А. В.

Page 35: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 3

УДК612.382.019:37.86

анализ методиЧеСких оСнов иСпытаний изделий полупроводниковой Электроники на импулЬСнуЮ

ЭлектриЧеСкуЮ проЧноСтЬ в СоответСтвии С регламентируЮЩей документаЦией

Э. т. аврасин, д. о. ваничкин, Э. н. вологдин, и. я. гантман, в. Ф. СинкевичАО «НПП «Пульсар», 105187, г. Москва, Окружной пр., 27

В статье рассмотрены методические основы испытаний изделий полупроводниковой электроники на им-пульсную электрическую прочность. Проанализированы требования регламентирующей документации к проведению испытаний. Рассмотрены проблемы, связанные с проведением испытаний и интерпрета-цией результатов. Сделаны выводы о применимости полученных результатов.

Ключевые слова: импульсная электрическая прочность, одиночный импульс напряжения, электромаг-нитный импульс, электромагнитная наводка, ядерный взрыв, методика испытаний

Сведения об авторах: Аврасин Эрнст Тевелевич; Ваничкин Денис Олегович, [email protected]; Вологдин Эрих Николаевич; Гантман Илья Яковлевич; Синкевич Владимир Фёдорович, д.т.н., профессор

……………………………………………………………………

analySiS oF mEthodological baSiS For PulSEd voltagE-withStand tESting oF SEmiconductor ElEctronic

dEvicES in accordancE with rEgulatory documEntation

E. t. avrasin, d. o. vanichkin, E. n. vologdin, i. ya. gantman, v. F. SinkevichJSC «S&PE «Pulsar», 105187, Moscow, Okruzhnoy proezd, 27

This article describes the methodological basis for pulsed voltage-withstand testing of semiconductor electronic devices. Regulatory documentation requirements for testing are analysed. Issues associated with tests and with the interpretation of their results are considered and conclusions about the applicability of these results are made.

Keywords: pulsed voltage-withstand level, single voltage pulse, electromagnetic pulse, magnetic pickup, nuclear explosion, test procedure

Data of authors: Avrasin Ernst Tevelevich; Vanichkin Denis Olegovich, [email protected]; Vologdin Erikh Nikolaevich; Gantman Ilya Yakovlevich; Sinkevich Vladimir Fedorovich, ScD, Professor

ВведениеВ условиях реального применения ра‑

диоэлектронная аппаратура (РЭА) можетподвергаться воздействию электромагнит‑ныхимпульсов(ЭМИ)различнойприроды.

Известно, что непосредственное влия‑ние электрической и магнитной компоненттипичного ЭМИ на изделия полупроводни‑ковой электроники (ИПЭ) в большинствепрактическихслучаевнезначительноимо‑

жетсущественнопроявлятьсятольковэкзо‑тических ситуациях, например, в изделияхсмагниточувствительнымиэлементами.

При воздействии ЭМИ внутри РЭАза счёт антенных свойств проводных и ка‑бельных линий может образовываться не‑которыйзаряд,которыйпротечётчерезна‑грузку внутри аппаратуры. Так образуютсяимпульсные электрические наводки, кото‑рыепривоздействииЭМИядерноговзрыва(ЯВ) возникают однократно и характеризу‑

Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018, с. 35-48Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018, pp. 35-48

Page 36: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

36 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

ютсянаборомпараметров–длительностью,амплитудой, формой и т.д. Эти наводкии представляют основную проблему привоздействииЭМИ.

Испытания на воздействие ЭМИПриисследованияхвлиянияЭМИнаРЭА

наводкимоделируютсяодиночнымиимпуль‑сами напряжения (ОИН), воспроизводимы‑мигенераторамиОИНвстандартизованномвиде. Таким образом, для оценки влиянияЭМИнаконкретноеизделиепроблемасво‑дитсяквоздействиюнанегоОИН.Подобнаяидеологиялежитвосновеметодикииспыта‑нийИПЭнаимпульснуюэлектрическуюпро‑чность(ИЭП),чтозакрепленовнормативнойдокументации[1‑].ПрииспытанияхнаИЭПвпервуюочередьимеетсяввидумоделиро‑ваниеОИН,возникающихпривоздействииЭМИ ЯВ. В настоящее время для типовыхситуаций известно, в каком диапазонемо‑гутлежатьпараметрынаведённыхОИНпритакомвоздействии.

Втожевремяобратныйпереходотре‑зультатовиспытанийпометодике,изложен‑нойвнормативныхдокументах,кпарамет‑рамвоздействующегоЭМИпредставляетсякрайненеоднозначнойзадачей.Инымисло‑вами,напрактикеуразработчикаконечнойаппаратурывозникаетпроблемасинтерпре‑тациейиреальнымприменениемрезульта‑тов,полученныхприиспытанияхнаИЭП.

В соответствии с нормативной доку‑ментациейрезультатомиспытанийнаИЭПявляются определённые «… предельныезначения параметров ОИН, при которыхзначенияпараметров‑критериевИЭПизде‑лийсоответствуютустановленнымнормам».«Параметры ОИН – амплитуда и длитель‑ность ОИН при заданных выходном импе‑дансеиформесигналастандартизованногогенератора»[].

Видимо,восновеидеологиилежитсле‑дующийалгоритмприменениярезультатовиспытаний. Для заданной геометрии РЭАиориентацииизделиявполеЭМИсзадан‑ными параметрами разработчик конечнойаппаратурырасчётнымметодомопределяет

амплитуду(накопившийсязаряд)идлитель‑ность (времяегопротеканиячерезданнуюнагрузку)возможнойнаводки,создаваемойЭМИ на выводах ИПЭ. Затем сравниваетсприведённымивТУзначениямипарамет‑ров, характеризующих ИЭП, и принимаетрешениеовозможностипримененияданно‑гоизделия.Следуетотметить,чтоподобныерасчётысамипосебекрайнезатруднитель‑ныипрактическоеиспользованиеподобнойметодики с необходимой достоверностьювызываетсомнения.Крометого,отсутству‑ют какие‑либо типовые методики и любаяруководящаядокументация,котороймогбывоспользоватьсяразработчикРЭАнаэтаперазработкидлярешенияэтойпроблемы.

Однако, помимо этого, возникает ряддругихпроблем,проистекающихизметоди‑ки испытаний, и разработчик сталкиваетсяс необходимостью интерпретации непос‑редственнорезультатовиспытаний.

Влияние выходного импеданса генератора ОИН на результаты испытанийДлятогочтобырезультатылюбогомоде‑

лированиясоответствовалитому,счемИПЭможет столкнуться в условиях реальногоприменения, важно правильно подобратьпараметры моделирующей установки, что‑быонапозволялаполучатьадекватныере‑зультатыиспытаний.

Одним из таких параметров являетсявыходной импеданс генератора ОИН (Rг).Структурная схема стенда для испытанийИПЭнаИЭПпредставленанарис.1.

Понятно, что если изменить Rг, то этополностью поменяет распределение токовинапряженийвразрядномконтуре,инана‑грузку (испытуемое изделие) в результатебудетподансовершеннодругойимпульс.

Согласно нормативной документациидопускается использовать генератор ОИНс выходным импедансом от 1 до 300 Ом[2‑]. Это колоссальный диапазон, практи‑ческитожесамое,чтопроизвольный.Про‑блема выбораRг отмечалась в литературе[,6],темнеменеенеобходимосформули‑

Аврасин Э. Т., Ваничкин Д. О., Вологдин Э. Н., Гантман И. Я., Синкевич В. Ф.

Page 37: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 37

ровать последствия такого разброса этойвеличины.

Однакопреждедетальнееразберёмпро‑чие требования, предъявляемые руководя‑щимидокументамикRг.Согласно[],гене‑раторимпульсоввдиапазонеамплитудОИНотдо00Вдолженобеспечиватьимпуль‑сныйтокнеменее100А.Понятно,чтополу‑читьтакойтокпринапряженииВможно,только если выходной импеданс генерато‑ранепревышает иприэтомработает в режиме короткого замыкания,тоестьбезподключенияиспытуемогоизде‑лия!Стандарты, действие которых распро‑страняетсянаиспытанияинтегральныхмик‑росхем[2,3],уменьшаюттребованиектокудо 10А, что позволяет использовать гене‑раторыОИНсRгнеболее0,Ом.Тоестьуже здесь видно противоречие – с однойстороны,допустимыйдиапазонRгоченьши‑рокий,сдругойстороны,значениявыходно‑гоимпеданса генератораОИН, способногоудовлетворитьпрочимтребованиям,внегонепопадают.

По всей видимости, идеология испыта‑ний предполагает возможность пересчётарезультатов, полученных с помощью ге‑нератора с выбранным Rг, для генераторас произвольно заданными параметрами

в допустимом диапазоне. В то же времяметодикитакогопересчётарезультатовот‑сутствуютвнормативныхдокументах,ивсесуществующиепопыткирешитьэтупробле‑мупредставленытольковотдельныхрабо‑тахразличныхисследователей,которыеза‑нималисьпроблемамиИЭП.

В общем случае можно выделить не‑сколькоподходовктакомупересчёту:

1)оценкаперераспределениятоковина‑пряженийвразрядномконтуреприизмене‑нииRг;

2)пересчётИЭПпоэнергетическимха‑рактеристикамвоздействующегоимпульса;

3)статистическаяоценкавлиянияRг.Статистический метод может предло‑

жить некоторое административное реше‑ние, не основанное, по большому счёту,нафизике.При такомподходебесполезноразделятьизделияпотехнологии,материа‑лу,типудлясборастатистики,потомучтоза‑частуюуиспытательнойлабораторииввидуотсутствия принципиальной электрическойсхемыисведенийотопологииизделиянетвозможностиоценить,начтоименновнутриизделия приходится воздействие – на за‑твор,наканал,наp-nпереход,накакой‑ни‑будь изолирующий слой и т.д. Видимо,именнопоэтомунетработ,вкоторыхпрямопредлагалосьбыиспользованиестатистикидлярешенияконкретноэтойпроблемы.

Подход к пересчёту результатов испытаний на ИЭП, основанный на оценке распределения токов и напряжений в разрядной цепи генератораОценка перераспределения токов и на‑

пряженийвразряднойцепигенератора,ка‑залосьбы,являетсяпростойзадачейрасчётаодноконтурнойцепи.Новусловияхиспыта‑нийонаоказываетсянерешаемойдляболь‑шинства случаев: активное сопротивлениенагрузкиRнвпроизвольновыбранномвклю‑чениималотого,чтоникогдазаранеенеиз‑вестно, так ещё может быть нелинейным,то есть сложным образом зависеть от те‑кущей амплитуды воздействующего ОИН.

1 – управляющий импульсный генератор;2 – высоковольтный вольтметр;3 – высоковольтный источник питания;4 – блок усиления;5 – токосъёмное сопротивление;6 – оснастка для подключения испытуемого изделия;7 – двухканальный осциллограф;8 – испытуемое изделие в составе оснастки

Рис. 1Структурная схема стенда

для испытания ипЭ на иЭп

Анализ методических основ испытаний изделий полупроводниковой электроники на импульсную электрическую прочность в соответствии с регламентирующей документацией

Page 38: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

38 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

Кроме того, возможна деградация свойствизделияпрямово времявоздействияОИН(например, вследствие разогрева протека‑ющим током), которая приведёт к непред‑сказуемомуперераспределениютоковина‑пряженийвцепи,каквситуации,описаннойвработе[7].Этоособенноважновтомслу‑чае,еслиизделиепослетакоговоздействиянеутратилофункционирование(непроизо‑шёл параметрический, функциональныйили катастрофический отказ) и, согласнометодике испытаний, необходимо увеличи‑вать амплитуду ОИН и повторно воздейс‑твоватьнаизделиевданномвключении.

На рис. 2а изображена осциллограммавоздействующегоОИН,прикоторомпроизо‑шёл катастрофический отказ испытуемогоСВЧусилителямощности.Краснаяэпюра–это импульс напряжения, синяя – импульстока.Вовторомслучае(рис.2б)испытывал‑сяприёмопередающиймодуль,которыйпос‑ле воздействия сохранил функционирова‑ние. Контролируемые параметры‑критерииизменились, но остались в пределах нормТУ, а по выводам питания увеличился ток

потребления,потомучтовходноесопротив‑лениеизделияпослевоздействиянеобрати‑мопоменялось.После такоговоздействия,в соответствии с нормативной документа‑цией, необходимо продолжить испытаниядовыходаизделияизстроя.Однаковэтомслучаесложнооценить,прикакихзначени‑яхимпульсногонапряженияпроизошлобыповреждениеизделияпридругомзначенииRг, как бы изменилось его сопротивлениеикакуюеговеличинузакладыватьприпе‑ресчётерезультатовиспытаний.

Вприведённомпримереречьидётобэф‑фектах накопления внутренних поврежде‑ний при многократной подаче импульсанапряжения.Этотакназываемыйаддитив‑ный эффект [8]. Побочное действие этогоэффекта проявляется из‑за того, что од‑нократное воздействие импульса наводки,понормативнымдокументам,моделируетсямногократным воздействием. И если в та‑койситуациивыбратьгенераторсвысокимRг,тотокчерезнагрузкуможетбытьогра‑ничениаддитивныеэффектынепроявятсяилипроявятсяслабее.

Рис. 2осциллограмма оин, воздействующего между входом и выходом СвЧ

усилителя мощности (а); на выводы питания приёмопередающего модуля (б). прерывистыми линиями обозначены границы оин длительностью 1 мкс

(а) (б)

Аврасин Э. Т., Ваничкин Д. О., Вологдин Э. Н., Гантман И. Я., Синкевич В. Ф.

Page 39: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 39

Такимобразом,реальнаястойкостьприпересчётерезультатов,полученныхспомо‑щью генераторов с различнымиRг, можетбытьзавышенаилизанижена.Вобщемслу‑чае эту проблему можно сформулироватьтак: генераторы с разным Rг могут вклю‑чатьсядляоднойитойженагрузкивразныхрежимах–какисточниктокаикакисточникнапряжениявзависимостиотсоотношенияRгиRн.

Влияние шага изменения амплитуды ОИН на результаты испытанийПрианализеаддитивныхэффектоввоз‑

никает вопрос их влияния на показателиИЭП испытуемого изделия при заданномкоэффициентеприращения(kпр)амплитудыОИН(U):

где n – порядковый номер воздействиявданномвключенииприиспытаниях.

Амплитуда ОИН при испытаниях пос‑тепенно повышается до выхода изделиязанормыТУ.Шагтакогоповышениявкри‑тическойобластиотказаизделияпонорма‑тивным документам [2, 3] не должен пре‑вышать 20% от амплитуды последнеговоздействовавшегоимпульса,приэтомре‑комендуемое значение – менее 10% [].

Таким образом, заложенная в норматив‑нойдокументацииидеологияпредполагаетуменьшение kпр для достижения большейточностиприопределениимаксимальнодо‑пустимогозначенияамплитудыОИН.

С другой стороны, ОИН подпороговойамплитуды могут необратимо повреждатьизделие.Это приводит к тому, что преды‑дущие импульсы будут влиять на после‑дующие воздействия и это увеличит пог‑решность определения показателей ИЭП.На рис. 3 приведена зависимость числаодиночных воздействий N до выхода би‑полярноготранзистораизстрояотампли‑туд воздействующих ОИН, которые лежатв критической области отказа, ориентиро‑вочноопределённойвпроцессепредвари‑тельныхиспытаний.ПодачаОИНдлитель‑ностьюtОИН=1мкспроизводиласьвсамомчувствительном к данному виду воздейс‑твиявключении–наэмиттерныйпереход,смещаемый воздействующим импульсомв прямом направлении. При каждом зна‑чении UОИН было исследовано по 6 изде‑лий. Критерием выхода изделия из строябыл выбран катастрофический отказ. По‑лученная зависимость средних значенийN для каждой величины амплитуды ОИНбылааппроксимированаэкспоненциальнойфункцией.

Рис. 3зависимость N (Uоин) в критической области значений амплитуд оин для прямо смещённого эмиттерного перехода биполярного транзистора

Анализ методических основ испытаний изделий полупроводниковой электроники на импульсную электрическую прочность в соответствии с регламентирующей документацией

Page 40: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

0 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

Из приведённой зависимости для рас‑сматриваемого случая получено условиедляN=1:UОИН≥7В.Пустьизделиенеоб‑ратимоповреждаетсяоднократнымимпуль‑сом с минимальной возможной амплиту‑дойU1=7В.ТогдаОИНсамплитудойU2небудетоказыватьсущественноговлияниянарезультатиспытанийприN>>1длядан‑ного значения амплитуды. Если принятьвкачествекритерияN=10,получимkпр,прикотором влиянием предшествующих воз‑действийможнопренебречь:

Иными словами, для исследованныхбиполярных транзисторов при испытанияхнаИЭПприращениеамплитудысkпр<16%может повлиять на определённое макси‑мально допустимое значение амплитудыОИН.Полученныйрезультат входит в диа‑пазондопустимыхнормативнойдокумента‑цией значений kпр, но прямо противоречитидеологии, заложенной в самой методикеиспытаний.

Ещёоднимфактором,влияющимнавы‑бор kпр, является технологический разброссвойств испытуемыхИПЭ. По результатамприведённого в работе [9] исследованиятехже биполярных транзисторов было по‑лучено распределение вероятности отказаx от UОИН. Под вероятностью отказа бипо‑лярного транзистора при данной амплиту‑деОИНUОИН понимаетсяотношениечислатранзисторовm,вышедшихизстрояприод‑нократномвоздействииОИН,кобщемуко‑личествуизделийM,испытанныхвданномрежиме:

Полученноераспределениеаппроксими‑рованоэкспоненциальнойфункцией:

(1)

где a и b – эмпирические коэффициенты,U0–минимальноезначениеUОИН,прикото‑ромх (UОИН)=1.Экспериментальнаязави‑симостьиграфикаппроксимирующейфун‑кциипредставленынарис.а.

Дифференцированиемвыражения(1)по‑лучена функция плотности распределенияпорогового значенияUОИН х’(UОИН), котораяпоказывает вероятность, с которой задан‑ная величина UОИН будет определять ИЭПслучайновыбранногоизделия(рис.б).

Максимум распределения приходитсяназначениеUmax=8В–этонаиболееве‑роятноемаксимальнодопустимоезначениеамплитуды UОИН для случайно выбранногоизделияисследуемоготипа.Этосоотносит‑сясрезультатами,полученнымивпредыду‑щемэксперименте.

Длядоверительнойвероятностиα≈ 0,9ширинадоверительногоинтервалапосрав‑нениюсвеличинойегонижнегопределаоп‑ределяет минимальное значение kпр, даль‑нейшее уменьшение которого не приведёткувеличениюточностиопределенияпоказа‑телейИЭПиз‑затехнологическогоразбросапараметровисследованныхтранзисторов:

гдеU1иU2–доверительныепределы.Полученныйрезультат выходит за рам‑

кидопустимыхнормативнойдокументациейзначений kпр и, судя по всему, обусловленсильным различием параметров испытуе‑мыхизделийвпартии.Дляизделийсболеестабильной технологией следует ожидатьменьшего влияния фактора технологичес‑кого разброса. Влияние технологическогоразброса при воздействии импульсов на‑пряжения наИПЭ было исследовано в ра‑ботах [10,11].Схожийэкспериментописанвработе[12].Влияниеобоихописанныхэф‑фектовнаопределяемыйуровеньИЭПприразличномkпр подтверждаетсяработой[13].

Подход к пересчёту результатов испытаний на ИЭП, основанный на энергетических характеристиках воздействующего ОИНПоследний рассматриваемый подход

кпересчётурезультатовиспытанийдляге‑нераторовсразличнымRгсвязансэнерге‑тическими характеристиками воздейству‑ющегоимпульса.Идея заключается в том,

Аврасин Э. Т., Ваничкин Д. О., Вологдин Э. Н., Гантман И. Я., Синкевич В. Ф.

Page 41: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 1

Рис. 4зависимость х (Uоин) и график аппроксимирующей функции (а);

зависимость х’(Uоин) – плотность распределения порогового значения Uоин (б)

(а) (б)

чтотепловоеповреждениеполупроводникавсегдапроисходитприразогреведооднойитойжеопределённойкритическойтемпе‑ратуры Ткр (предельная температура крис‑талла,p-nпереходов),тоестьпривыделенииопределённогоколичестваэнергиизавремяимпульсаилиопределённоймощности,прикоторой отвод тепла за счёт теплопровод‑ностибудетнедостаточнымдляпредотвра‑щениялокальногоразогревадоТкр.

Кэтомуподходуотнесеныметодикипе‑ресчёта,основанныенадостиженииТкрпривоздействииэнергииОИН.

Дляпересчётапотакимметодикаммогутпотребоватьсядополнительныепараметры,которые не приводятся в ТУ и недоступныразработчику аппаратуры. Например, на‑пряжениелавинногопробоя,еслиречьидётоp-nпереходе,каквработе [1].Понятно,что подобные рассуждениямогут работатьтолько для теплового механизма деграда‑ции, который встречается часто, но не яв‑ляется единственным. Подобный пересчётне будет работать в случае, если наблю‑даются эффекты dU / dt, сбои, связанные

спереключениемлогическихсхем,потерейинформациии т.п.Какправило,припере‑счёте по энергетическим характеристикамсчитается,чторазогревполупроводниковыхструктуртокомОИНимеетадиабатическийхарактер,авсяэнергия,приводящаяиспы‑туемоеизделиеквыходуизстроя,выделя‑етсязавремявоздействия.Вслучаесраба‑тыванияэффектов,связанныхсактивациейпаразитных биполярных или тиристорныхструктур,повреждениеизделияможетбытьвызвано не энергией импульса, а за счётэнергииисточникапитанияизделия(напри‑мер,катоднымтокомпаразитноготиристо‑ра).Вэтомслучаепаразитнаяструктурамо‑жет оказаться в открытом состоянии дажепослеокончаниядействияОИН.Тогдаваж‑нуюрольначинаетигратьспособностьизде‑лиярассеиватьтеплозасчёттеплопровод‑ности и наличие теплоотвода может бытькритичным.ПрииспользованиигенераторовсвысокимRгмогутнепроявитьсянекоторыетоковыеэффекты.Например,ограниченныйвнутреннимсопротивлениемгенераторатокв базу паразитной структуры может быть

Анализ методических основ испытаний изделий полупроводниковой электроники на импульсную электрическую прочность в соответствии с регламентирующей документацией

Page 42: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

2 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

недостаточным для возникновения эффек‑та. Но все рассуждения о физике отказовнеимеютсмысла, потомучтоинформацияомеханизмеотказаневходитвТУи,болеетого,установлениепричинотказанетребу‑етсянормативнымидокументами.

Такжевозникаетвопрос,ктодолженза‑ниматьсяподобнымпересчётом.Испытательдаётзаключениенаизделие,указываетпа‑раметрысвоегогенератораивыпускаетпро‑токолиспытаний.Получается,чтотакаязада‑чаложитсянаплечиразработчикаконечнойРЭА,которыйбудетиспользоватьиспытан‑ныекомпоненты.Нодлятогочтобыосущест‑влятьпересчётпокакой‑либометодике,не‑обходимо располагать соответствующимивводными данными для расчётов. В то жевремя, даже если разработка и испытанияИПЭ производятся на одном предприятии,получитьтребуемуюинформациюневсегдапредставляетсявозможным.Тоженапряже‑ниелавинногопробоядляразрабатываемыхСБИС может не фигурировать в конструк‑торской документации. Изделие разраба‑тывается, на завод отправляется техкарта,понейпроизводятсяизделия,поставляютсязаказчикуработы,которыйзачастуюнеиме‑етинформацииотопологииразработанногоизделия.Авслучае,еслиизделиеразраба‑тывается на одном предприятии, произво‑дитсянадругом,испытываетсянатретьем,а применяется на четвёртом, обеспечитьадекватныйобменнеобходимымисведения‑минепредставляетсявозможным.

Влияние формы ОИН на результаты испытанийНормативная документация допускает

использоватьОИНразличнойформы–двух‑экспоненциальной или трапецеидальной(рис. ). Стандарт [1] допускает исполь‑зование импульсов любой другой формыпосогласованиюсзаказчиком.Однакоприпроведении испытаний этот выбор никакнерегламентируется.Поэтомуприпопыткеинтерпретировать результаты, полученныес помощью генераторов ОИН различнойформы,возникаюттежетрудностиспере‑счётом, что и в случае генераторов с раз‑личнымRг.

В работе [1] приводится исследованиевоздействия импульсов разной формы наp-nпереход.Видимо,влияниеформыимпуль‑саиздвухпредложенныхвариантоввсёжеменьше,чемвлияниевыходногоимпедансагенератора, и результат испытаний будетотличаться,ноприпрочихравныхусловияхненапорядки,какприсильномразличииRг,аменьше.Следуетотметить,чтотрапецеи‑дальный импульс напряжения является бо‑лееопаснымдлякомпонентРЭА,однакоеговозникновениевусловияхреальногоприме‑нениятеоретическиможетбытьвозможнымлишьвэкзотическихслучаях,например,приналичии приборов с индуктивной связью.Такимобразом,прииспользованиигенера‑торовОИНтрапецеидальнойформыреаль‑наяИЭПизделияможетзанижаться.

Рис. 5оин различной формы – трапецеидальный и двухэкспоненциальный

Аврасин Э. Т., Ваничкин Д. О., Вологдин Э. Н., Гантман И. Я., Синкевич В. Ф.

Page 43: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 3

Требования нормативной документации к длительности ОИН при испытанияхДлительностьОИНtОИНтакжеоказывает

влияниенаИЭПкомпонентРЭА–чемдлин‑нееимпульспризаданнойамплитуде,темонопаснее для испытуемого изделия. Норма‑тивнаядокументациядопускаетпроведениеиспытанийпри10‑7с <tОИН< 10

‑с.Предпо‑лагается, что при воздействии ЭМИ ЯВдлительностьнаводкибудетлежатьвэтомдиапазоне.Таккакнельзязаранеесказать,какойименнобудетдлительностьнаводки,предъявляются требования к определениюпоказателей ИЭП при различных значени‑ях tОИН. Таким образом, в ходе испытанийдолжна быть получена зависимость UОИН

(tОИН), при этом данная зависимостьможетбытькакслабой,такиоченьсильнойвза‑висимостиотRгиспользуемогогенератораОИН[].

Стандарт[3]требуетнеменеетрёхдли‑тельностейОИН,«…прикоторыхпроводятиспытания по определению справочныхданных» для микросхем. Практически пе‑реписанный текст этого документа в видестандарта [2] вообще никаких требова‑ний по этому вопросу не содержит. В []стакойжеформулировкойтребуетсядли‑тельностей,но«контрольИЭПдопускаетсяпроводитьприодномзначениидлительнос‑ти ОИН». И наконец, стандарт [1] требуетпроводитьиспытаниянеменеечемприпятизначенияхдлительностейОИН.

Вообще, «испытания по определениюсправочных данных» – это нечто неопре‑делённое. Существует стандарт [16], кото‑рыйсодержитвсетерминыиопределения,связанные с испытаниями. Тамперечисле‑нывсевозможныевидыиспытаний.Испы‑тания, которые обычно проводятся, когдав ТЗ на ОКР записывается ИЭП, – пред‑варительные. После этого они, как прави‑ло, засчитываются как приёмочные. Цельпредварительныхиспытаний–определениевозможности предъявления на приёмоч‑ных.Цель приёмочных – решение вопросао целесообразности постановки продукции

на производство и (или) использованиипоназначению.

Соднойстороны,всуществующейнорма‑тивнойдокументациипонятиеуровнейстой‑костикОИНневводится,поэтомуиспытанияопределительные.Тоестьвзаключениипро‑токолаиспытанийдействительноприводитсянечтоиное,каксправочныеданные.Сдру‑гой стороны, «испытания по определениюсправочных данных» не проводятся. Види‑мо,здеськогда‑топрипереписываниистан‑дартовпроизошлаошибкаиформулировка«испытаниясцельюполучениясправочныхданныхостойкости»превратиласьв«испы‑танияпоопределениюсправочныхданных».

Зависимость максимально допустимойамплитудыОИНотегодлительностидолж‑набытьполученавходеиспытаний,иначевозникают трудности с применением ре‑зультатовиспытаний.Нарис.6приведенычетыре зависимостиUОИН(tОИН) для различ‑ныхтиповИПЭ.Видно,чтовсеизделияве‑дут себя совершеннопо‑разному.У иссле‑дованногоМОПтранзистораприданномRг(2,Ом)ИЭПодинаковаявовсёмдиапазо‑не, а полупроводниковый диод, наоборот,имееточеньсильнуюзависимость.Вообще,общий вид зависимости для всех изделийдолжен быть одинаковым. Можно сказать,что представленная зависимость 3 име‑ет классический вид [17, 18]. Она состоитиздвухобластей.ПологаячастьвпределесувеличениемдлительностиОИНвыходитназначение,соответствующеепостоянномунапряжению.В этой областиИЭПизделияпрактически неизменна. В крутой областинаблюдается сильная зависимость, тоестьдлительностьимпульсасказываетсяназна‑ченииИЭП.Видимо,такойвидэтазависи‑мостьимеетвлюбомслучае,толькоотмес‑та расположения точки перегиба зависит,каконавыглядитвисследуемомдиапазоне.Этохорошовиднонакривых2и3,гдеточ‑ки перегиба явно располагаются в разныхместах, хотя кривые сняты через порядок,тоестьсоченьбольшимшагом.

Подводя итог, можно сделать вывод,что для оценки воздействия ЭМИ ЯВ вид

Анализ методических основ испытаний изделий полупроводниковой электроники на импульсную электрическую прочность в соответствии с регламентирующей документацией

Page 44: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

этойзависимостинужнознатьвовсёмдиа‑пазоне,однакоприсуществующейметоди‑кеиспытанийэтоневсегдапредставляетсявозможным.

При этом получение недостающихмак‑симальнодопустимыхзначенийамплитудыОИН расчётным методом затруднительноввиду ряда причин. Серьёзная проблемавнепрогнозируемостирасположенияточкиперегиба.

Дажееслисчитатьпроцесснагреваиз‑делия при воздействии наводки адиабати‑ческим (из‑за того, что наводка короткая)и в рассуждениях привязываться к выде‑лившейся энергии или мощности, то зави‑симостьэтихвеличинотдлительностиОИНтожеможетбытьнелинейной.

На рис. 7 приведены зависимости пол‑ной энергии EОИН (а) и средней мощностиPОИН(б)импульса,предшествующегоотказу,

отдлительностиОИНtОИНдляp-nперехода,испытанногововключенияхспрямойиоб‑ратнойполярностью.Видно,чтозависимос‑тималотого,чтонелинейные,такещёотли‑чаютсядруготдругавразныхвключениях.И это создаёт сложности при попытках ре‑шитьпроблемурасчётнымметодомсисполь‑зованиемэнергетическиххарактеристик.

Требования к испытаниям в диапазонедлительностей, в целом, прозрачны и воз‑раженийневызывают.Темнеменееиспы‑тательной лаборатории часто приходитсясталкиваться с проблемой нехватки изде‑лийнаиспытания.

Требования нормативной документации к количеству изделий на испытанияПроблема нехватки изделий для испы‑

таниянаИЭПвозникаетвследствиетребо‑ваний, предъявляемых нормативной доку‑ментацией. В последнее время испытаниянаИЭП записываются в ТЗ на разработкусовершенно разных типов изделий, в томчисле многовыводных СБИС и модульныхсборок. При проведении таких испытанийхорошо,еслиестьвозможность,всоответс‑твиисметодикойиспытанийперебратьприоднойдлительностивсевозможныекомби‑нации выводов – входы, выходы, питание.Длямикросхем, согласнообщимтехничес‑ким условиям [19], число изделий на ис‑пытания привязано к степени интеграции.ДляиспытанийнаИЭПпристепениинтег‑рации ИС8 положено испытывать всего микросхемы – ровно на одну микросхемуменьше, чем предписываемое документом[1]количестводлительностейОИН!Аиспы‑танияявляютсяразрушающими:одновклю‑чение–однамикросхема.

Стандарт[20]вообщенесодержатника‑кихсведенийобиспытаниинавоздействиеОИН – намомент составления этого доку‑мента требования по определению ИЭПнепредъявлялись.Амеждутеммодульныесборкитребуютособойметодикииспытания,учитывая количество выводов и высокуюстоимостьпроизводстваодногоизделия.

Рис. 6зависимость максимально допустимого

значения амплитуды оин от его длительности для различных типов ипЭ

Аврасин Э. Т., Ваничкин Д. О., Вологдин Э. Н., Гантман И. Я., Синкевич В. Ф.

Page 45: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018

Рис. 7зависимости Еоин (tоин) (а) и Роин (tоин) (б) для испытанного p-n перехода

во включениях с прямой (1) и обратной (2) полярностью

(а) (б)

Можно подсчитать необходимое коли‑чествоизделийнаиспытаниявсоответствиис методикой испытаний. В общем случаенормативная документация предписываетрассмотрениевсехвозможныхпарвыводовизделияметодом последовательного пере‑бора.ЧислоподобныхкомбинацийSможноопределитьпоформуле:

гдеn–числовыводов.Каждуюпарувыводовнеобходимоиспы‑

тыватьпридвухполярностяхОИНприпятизначенияхtОИН.Учитывая,чтоуровнистойкос‑тидляИЭПнезаданы,вкаждомизвозмож‑ныхвариантовтребуетсядоводитьизделиедо отказа, то есть число возможных вклю‑ченийопределяетчислотребуемыхизделийнаиспытания!Тогдавсоответствиисмето‑дикойиспытанийдляопределенияИЭПиз‑делий,укоторых6выводов,требуется

Понятно, что при испытаниях число ис‑

следуемыхвключенийсокращаютнаосно‑ванииразличныхсоображений[21],норег‑ламентирующие документы не содержат

никакихкритериеввыборапарвыводовиз‑делийисокращенияобъёмовиспытаний.

Выводы1.Реальноеприменениерезультатовис‑

пытанийнаИЭПсталкиваетсяссерьёзнымипроблемамиметодологическогохарактера.

2. Нормативная документация содер‑жит противоречивые требования, которыенепозволяютполучитьисчерпывающуюин‑формациюповопросувоздействияЭМИЯВнакомпонентыРЭА.

3. Параметров ОИН, регистрация кото‑рыхприиспытанияхпредписываетсярегла‑ментирующей документацией, может бытьнедостаточнодляоценкиимпульснойэлект‑рическойпрочностиизделия.

.Несуществуетстандартизированногоподходакинтерпретациирезультатовиспы‑танийИПЭнаИЭП,закреплённоговнорма‑тивнойдокументации.

.Созданиеметодикпересчётарезуль‑татовиспытанийдляихинтерпретациивоз‑можнотолькодляограниченногоклассаси‑туаций.

6.Дажеиспользованиеописанныхвли‑тературе методик интерпретации резуль‑

Анализ методических основ испытаний изделий полупроводниковой электроники на импульсную электрическую прочность в соответствии с регламентирующей документацией

Page 46: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

6 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

татовиспытанийнаИЭП,а такжеметодикпересчётаэтихрезультатовдляразличныхклассов ситуаций на практике сталкива‑ется с проблемой недоступности исход‑ной информации об испытуемом изделиикакнастадииразработкиконечнойРЭА,такинастадиипроведенияиспытаний.

7.Необходимакорректировканорматив‑ных документов и оптимизация методикииспытаний в части требований к порядкуиспытанийиколичествуиспытываемыхиз‑делий.

8. Необходим комплексный подходкиспытаниямизделийэлектроннойтехникина импульсную электрическую прочность,включающийв себяанализфизикипроис‑ходящихпроцессов.

Литература1. ГОСТ РВ 20.57 415‑98.2. ГОСТ 5962‑004.10‑2012.3. ОСТ 11 073.013‑2008, ч. 10.4. РД В 319.03.30‑98 Изделия электронной техни-

ки, квантовой электроники и электротехничес-кие военного назначения. Испытания на им-пульсную электрическую прочность.

5. Ванин В. И. Зависимость импульсной электри-ческой прочности полупроводниковых прибо-ров от выходного сопротивления генераторов одиночных импульсов напряжения / / Научно‑технический сборник Рад. стойкость электрон-ных систем «Стойкость‑2011». – 2011. – Вып. 14. – С. 95.

6. Аврасин Э. Т., Вологдин Э. Н. Общий подход к оценке импульсной электрической прочности изделий полупроводниковой электроники / / Ма-териалы VII научно‑технической конференции «Твердотельная электроника. Сложные функ-циональные блоки РЭА», 2008. – С. 144.

7. Ваничкин Д. О., Вологдин Э. Н., Гантман И. Я. Исследование эффекта возникновения двойного пика тока при испытаниях изделий электронной техники на импульсную электри-ческую прочность / / Тез. докл. на 19 Всеросс. научно‑техн. конф. Рад. стойкость электронных систем «Стойкость‑2016». – 2016. – С. 39.

8. Герасимчук О. А., Епифанцев К. А., Скоробо-гатов П. К. Аддитивные эффекты поврежде-ния цифровых микросхем при воздействии на их выводы импульсов напряжения / / Научно‑технический сборник Рад. стойкость электрон-

ных систем «Стойкость‑2011». – 2011. – Вып. 14. – С. 91.

9. Ваничкин Д. О., Вологдин Э. Н., Гантман И. Я. Исследование импульсной электрической прочности биполярных транзисторов при пони-женной температуре окружающей среды / / Ма-териалы XIV научно‑технической конференции «Твердотельная электроника. Сложные функци-ональные блоки РЭА», 2015. – С. 316.

10. Пузанов А. С., Оболенский С. В. Влияние раз-броса электрических характеристик биполярно-го транзистора на его пробой при воздействии импульса напряжения / / Вопросы атомной на-уки и техники. – 2010. – Вып. 1. – С. 54.

11. Пузанов А. С., Оболенский С. В., Петров С. Г. Влияние разброса профилей легирования биполярных транзисторов на возникновение пробоя при воздействии импульса напряже-ния / / Научно‑технический сборник Рад. стой-кость электронных систем «Стойкость‑2009». – М.: СПЭЛС, 2009. – С. 107.

12. Скоробогатов П. К., Епифанцев К. А., Дятлов Н. С. Определение оптимального шага изме-нения амплитуды одиночных импульсов на-пряжения при испытаниях элементной базы на импульсную электрическую прочность / / Тез. докл. на 19 Всеросс. научно‑техн. конф. Рад. стойкость электронных систем «Стойкость‑2016». – 2016. – С. 194.

13. Дятлов Н. С., Епифанцев К. А., Скоробогатов П. К. Анализ выбора оптимального коэффициен-та приращения амплитуды напряжения при ис-пытаниях электронных изделий на ИЭП / / Тез. докл. 20‑й Всеросс. научно‑техн. конф. Рад. стойкость электронных систем «Стойкость‑2017». – 2017. – С. 138.

14. Ванин В. И. Относительные зависимости пока-зателей импульсной электрической прочности полупроводниковых приборов и интегральных схем от длительности одиночного импульса напряжения / / Вопросы атомной науки и техни-ки. – 2010. – Вып. 3. – С. 42.

15. Епифанцев К. А., Скоробогатов П. К. Иссле-дование влияния формы одиночного импуль-са напряжения на импульсную электрическую прочность p‑n перехода / / Научно‑технический сборник Рад. стойкость электронных систем «Стойкость‑2009». – М.: СПЭЛС, 2009. – С. 59.

16. ГОСТ 16504‑81 Система государственных ис-пытаний продукции. Испытания и контроль качества продукции. Основные термины и оп-ределения.

17. Герасимчук О. А. Зависимость уровней им-пульсной электрической прочности КМОП ИС

Аврасин Э. Т., Ваничкин Д. О., Вологдин Э. Н., Гантман И. Я., Синкевич В. Ф.

Page 47: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 7

от длительности одиночных импульсов напря-жения / / Научно‑технический сборник Рад. стойкость электронных систем «Стойкость‑2008». – 2008. – С. 137.

18. Ваничкин Д. О., Вологдин Э. Н., Гантман И. Я. Исследование аномальной зависимости амплитуды предельно допустимого одиночного импульса напряжения от его длительности при испытаниях некоторых типов изделий на им-пульсную электрическую прочность / / Мате-риалы XIV научно‑технической конференции «Твердотельная электроника. Сложные функ-циональные блоки РЭА», 2015. – С. 323.

19. ОСТ В 11 0998‑99 Микросхемы интегральные. Общие технические условия.

20. ОСТ В 11 0265‑86.21. Лаврентьев К. В., Зинченко В. Ф., Котов Д. К. Оп-

тимизация методики испытаний полупроводни-ковых приборов на импульсную электрическую прочность / / Научно‑технический сборник Рад. стойкость электронных систем «Стойкость‑2010». – 2010. – С. 177.

References1. State Standard RV 20.57 415‑98.2. State Standard 5962‑004.10‑2012.3. Standard 11 073.013‑2008, pt. 10.4. Guidance V 319.03.30‑98. Electronic and quantum

electronic devices for military applications. Pulsed voltage‑withstand tests [In Russian].

5. Vanin V. I. Dependence of semiconductor devices` pulsed voltage‑withstanding on output resistance of single‑voltage pulse generators. V sb. Rad. stoykost elektronnykh system «Stoykost-2011» [Proc. of Rad. hardness of electronic systems «Stoykost‑2011» ], 2011, vol. 14, p. 95.

6. Avrasin E. T., Vologdin E. N. General approach to the evaluation of pulsed voltage‑withstanding of semiconductor electronic devices. Materialy VII nauchno-tekhnicheskoy konferencii «Tverdotel-naya elektronika. Slozhniye funktsionalniye bloki REA» [Proc. VII scientific and technical confer-ence «Solid‑state Electronics. Complex Functional Blocks of Radio‑electronic Equipment» ], 2008, p. 144.

7. Vanichkin D. O., Vologdin E. N., Gantman I. Ya. Study of the double current peak occurrence dur-ing pulsed voltage‑withstand tests of electronic devices. Tez. dokl. na 19 Vseross. nauchno-tekh-nicheskoy konferencii Rad. stoykost elektronnykh sistem «Stoykost-2016» [Proc. 19 All‑Russian sci-entific and technical conference Rad. hardness of electronic systems «Stoykost‑2016» ], 2016, p. 39.

8. Gerasimchuk O. A., Epifantsev K. A., Skorobogatov

P. K. Additive effects of digital microchip`s damage under voltage pulses applied on their terminals. V sb. Rad. stoykost elektronnykh system «Stoikost-2011» [Proc. of Rad. hardness of electronic systems «Stoykost‑2011» ], 2011, vol. 14, p. 91.

9. Vanichkin D. O., Vologdin E. N., Gantman I. Ya. Pulsed voltage‑withstand testing of bipolar tran-sistors at low ambient temperature. Materialy XIV nauchno-tekhnicheskoy konferencii «Tverdotel-naya elektronika. Slozhniye funktsionalniye bloki REA» [Proc. XIV scientific and technical confer-ence «Solid‑state Electronics. Complex Functional Blocks of Radio‑electronic Equipment» ], 2015, p. 316.

10. Puzanov A. S., Obolenskiy S. V. Effect of scattering of bipolar transistor`s electrical parameters on the breakdown under pulsed voltage. Voprosy atomnoy nauki i tekhniki [Issues of atomic science and engi-neering], 2010, vol. 1, p. 54.

11. Puzanov A. S., Obolenskiy S. V., Petrov S. G. Ef-fect of scattering of bipolar transistor`s doping pro-files on the breakdown under pulsed voltage. V sb. Rad. stoykost elektronnykh system «Stoykost-2009» [Proc. of Rad. hardness of electronic systems «Stoykost‑2009» ]. Moscow, SPELS Publ., 2009, p. 107.

12. Skorobogatov P. L., Epifantsev K. A., Dyatlov N. S. Determination of the optimal step of chang-ing the amplitude of single voltage pulses during pulsed voltage‑withstand tests of electronic com-ponents. Tez. dokl. na 19 Vseross. nauchno-tekh-nicheskoy konferencii Rad. stoykost elektronnykh sistem «Stoykost-2016» [Proc. 19 All‑Russian scientific and technical conference Rad. hardness of electronic systems «Stoykost‑2016» ], 2016, p. 194.

13. Dyatlov N. S., Epifantsev K. A., Skorobogatov P. K. Analysis of selecting the optimal voltage am-plitude increment coefficient during pulsed volt-age‑withstand tests of electronic devices. Tez. dokl. na 20 Vseross. nauchno-tekhnicheskoy konferencii Rad. stoykost elektronnyh sistem «Stoykost-2017» [Proc. 20 All‑Russian scientific and technical conference Rad. hardness of electronic systems «Stoykost‑2017» ], 2017, p. 138.

14. Vanin V. I. Relative dependences of the pulsed volt-age‑withstand levels of semiconductor devices and integrated circuits on the single voltage pulse du-ration. Voprosy atomnoy nauki i tekhniki [Issues of atomic science and engineering], 2010, vol. 3, p. 42.

15. Epifantsev K. A., Skorobogatov P. K. Study of the influence of single voltage pulse shape on p‑n junc-tion pulsed voltage‑withstand level. V sb. Rad.

Анализ методических основ испытаний изделий полупроводниковой электроники на импульсную электрическую прочность в соответствии с регламентирующей документацией

Page 48: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

8 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

stoykost elektronnykh system «Stoykost-2009» [Proc. of Rad. hardness of electronic systems «Stoykost‑2009» ]. Moscow, SPELS Publ., 2009, p. 59.

16. State Standard 16504‑81. State product testing system. Product testing and quality control. Basic terms and definitions [In Russian].

17. Gerasimchuk O. A. Dependence of CMOS IC pulsed voltage‑withstand levels on single voltage pulse duration. V sb. Rad. stoykost elektronnykh system «Stoykost-2008» [Proc. of Rad. hardness of electronic systems «Stoykost‑2008» ], 2008, p. 137.

18. Vanichkin D. O., Vologdin E. N., Gantman I. Ya. Study of abnormal dependence of maximum per-missible single voltage pulse`s amplitude on its

duration during pulsed voltage‑withstand testing of certain types of devices. Materialy XIV nauchno-tekhnicheskoy konferencii «Tverdotelnaya elektron-ika. Slozhniye funktsionalniye bloki REA» [Proc. XIV scientific and technical conference «Solid‑state Electronics. Complex Functional Blocks of Radio‑electronic Equipment» ], 2015, p. 323.

19. Standard. V 11 0998‑99. Integrated microchips. General specifications [In Russian].

20. Standard V 11 0265‑86 [In Russian].21. Lavrentyev K. V., Zinchenko V. F., Kotov D. K. Op-

timization of testing procedure for pulsed voltage‑withstand testing of semiconductor devices. V sb. Rad. stoykost elektronnykh system «Stoykost-2010» [Proc. of Rad. hardness of electronic systems «Stoykost‑2010» ], 2010, p. 177.

Аврасин Э. Т., Ваничкин Д. О., Вологдин Э. Н., Гантман И. Я., Синкевич В. Ф.

Page 49: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 9

УДК621.383.3

уЧЁт радиаЦионно-индуЦированных токов утеЧки при выСоких температурах в SPicE модели кни мопт

и. а. харитоновФГБНУ «НИИ ПМТ», 115054, г. Москва, ул. Малая Пионерская, д. 12

В статье описывается SPICE макромодель КНИ МОПТ, учитывающая суммарный ток утечки стока при повышенной температуре с учётом полученный дозы. Рассмотрены составляющие тока утечки стока: температурозависимый ток стокового перехода и радиационно-индуцированные токи утечки по нижней и / или боковым границам КНИ структуры вследствие инверсии проводимости в этих областях. Приве-дены смоделированные с помощью TCAD и разработанной SPICE макромодели сток-затворные харак-теристики облучённого 0.35 мкм КНИ МОПТ при комнатной и повышенной до 120 °С температуре. По-казано, что ток утечки стока в облучённом n-канальном МОПТ при повышенной температуре заметно больше суммы теплового тока необлучённого транзистора и радиационного тока утечки при комнат-ной температуре даже с учётом частичного отжига накопленного в окисле заряда.

Ключевые слова: SPICE макромодель, КНИ МОПТ, скрытый окисел, разделительный окисел, высоко-температурные утечки, радиационные утечки, TCAD

Сведения об авторе: Харитонов Игорь Анатольевич, [email protected]

……………………………………………………………………

Simulation oF radiation-inducEd drain lEaKagE currEntS in Soi moSFEtS at high tEmPEraturES with SPicE modEl

i. a. KharitonovFSBSI «NII PMT», 115054, Moscow, Malaya Pionerskaya st., 12.

Annotation. The article describes the SPICE macromodel for SOI MOSFET, which simulates the total drain leakage current at elevated temperature and takes into account the ionizing dose received. The leakage current components are considered: the temperature-dependent drain junction current and the radiation-induced leakage currents along the bottom and / or lateral boundaries of the SOI MOSFET structure due to the inversion of conductivity in these regions. The presented are: drain-gate characteristics of irradiated 0.35 μm n-channel MOSFET at room and elevated (120°C) temperature, simulated with TCAD and using the developed SPICE macromodel. It is shown that the leakage current in the irradiated n-channel MOSFET at elevated temperature is noticeably larger than the sum of the drain junction thermal current of the unirradiated transistor and it’s radiation leakage current at room temperature, even with partial thermal annealing of the holes charge accumulated in the thick oxide.

Keywords: SPICE macromodel, SOI MOSFET, buried oxide, separation layer, high-temperature leakage, radiation leakage, TCAD.

Data of Author: Kharitonov Igor Anatolievich, [email protected]

ВведениеИзвестно, что стойкость современных

МОП транзисторов к полной поглощённойдозе излучения определяется в основномвозникновением стоковых утечек по боко‑войграни(дляобъёмнойКМОПтехнологии)

илибоковойинижнейграням(длятехноло‑гии «кремний на изоляторе» (КНИ)) актив‑ныхобластейn‑канальныхтранзисторов(см.рис.1).Этиутечкивозникаютиз‑заинверсиипроводимостиактивнойобластитранзисто‑равследствиенаведённыхоблучениемды‑

Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018, с. 49-56Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018, pp. 49-56

Page 50: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

0 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

рочногозарядаиповерхностныхсостоянийвнижнемибоковомоксидахМОПструкту‑ры[3].Стойкаяаппаратурадлякосмическойтехники, энергетических установок и др.врядеслучаевиспользуетсявусловияход‑новременного воздействия радиации и по‑вышеннойтемпературыдо20‑300оС[1,3].Вэтомслучаеприсовместномвоздействииуказанных факторов суммарный ток сто‑ка утечки Ic утечки складывается из темпера‑турозависимых токов стокового переходаIсутечкиp-nирадиационно‑индуцированногото‑каутечкипонижнейIсутечкидонн(рис.1)и/илибоковымграницам(Iсутечкибок)КНИструктурывследствие инверсии проводимости в этихобластях.

Вопросы моделирования стоковых уте‑чек МОПТ при повышенной температуре[1‑,13]имоделированияутечеквоблучён‑ныхтранзисторахдостаточноподробнорас‑смотреныкакзарубежными[‑8],такиоте‑чественными [8‑10, 1] исследователями,втомчислеисучётомвлияниятемпературы[9]. К сожалению, вопросы их совместногоучётавSPICEмоделяхМОПТрассмотренынедостаточно.

Особенностьихсовместногоучётаимо‑делирования заключается в том, что привысокойтемпературеснижаютсяпороговоенапряжениеиподвижностьносителейзаря‑давструктуреМОПтранзистора.Приэтом

онипонижаютсянетолькодляверхнегоза‑твора,ноидлянижнейибоковойпаразит‑ных транзисторных структур. В результатеэтогоусловияобразованияпаразитныхин‑версныхканаловпоэтимобластямоблегча‑ютсяивероятностьобразованияутечекприповышенных температурах (при условиитехженакопленныхзарядоввэтихокислах)увеличивается.Однаконакопленныевокис‑лахдырочныйзарядизарядповерхностныхсостоянийпослеоблучениясущественноза‑висятоттемпературыивремениоблучения[12,18,19]–приповышеннойтемпературеони отжигаются в существенно большейстепени, чем при комнатной. В результатеполучается сложная нелинейная зависи‑мость токов утечек от температуры, мощ‑ности дозы, времени облучения, которуюнеобходимо учесть при схемотехническоммоделированиистойкихКМОПсхем.

Для совместного учёта факторов тем‑пературы и радиации при анализе утечекнаэтапесхемотехническогомоделированияпредлагается расширить наш подход к по‑лучениюрадиационнозависимоймакромо‑делиКНИМОПТ[1].CовмещённаяElectro‑Thermo‑RadмодельКНИМОПТдляутечеквключает основной МОП транзистор, тем‑пературозависимыйтокстоковогопереходакоторого описывает ток Iс утечки p-n, и допол‑нительные паразитные МОП транзисторыдлябоковойидоннойутечекспараметрами,зависящими от полученной дозы, времениоблученияитемпературы[1,16].

Таким образом, суммарный ток стокаутечки в модели облучённого КНИ МОПтскладываетсяизсоставляющих:

(1)

Наширезультаты[13]показали,чтозави‑симостьтокастоковогоp-nпереходаоттем‑пературыдостаточнокорректноописывает‑сямоделямиBSIMиBSIMSOI.Необходимолишьправильноопределитьпараметрымо‑делиизрезультатоввысокотемпературныхизмерений.

Рис. 1Составляющие тока утечки стока мопт

при повышенной температуре

Харитонов И. А.

Page 51: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 1

Ток утечки, вызванной образованиембоковых (для примера) паразитных инвер‑сионныхканаловвКНИМОПтранзисторе,(в предпороговом режиме работы) в рядеработ[7‑10]описываетсяклассическимвы‑ражениемтокаМОПТ,носвведённымиза‑висимостями параметров этого выраженияотполученнойдозыD. Вданнойработевука‑занноевыражение дополнительновводятсятемпературныезависимостипороговогона‑пряженияVt eff параз паразитного транзистораинаведённыхоблучениемплотностейзаря‑довдырок∆Not параз(D, T, t)иповерхностныхсостояний∆Nit параз(D, T, t) втолстомокислевзависимостиотдозыD, времениоблуче‑ния t итемпературыTвовремяоблучения:

(2)

гдеСox eff–эффективнаяёмкостьизолирую‑щегоокисла,подкоторымвозникаетутечка;weff (D, t)–эффективнаяширинапаразитно‑го канала тока утечки, зависящаяот полу‑ченнойдозыивремениоблучения;Vt eff параз

(D, T, t)–эффективноепороговоенапряже‑ниепаразитногоканалаутечки,зависящееот полученнойдозыD, времениоблученияt и температуры T.Его зависимость от по‑лученнойдозыитемпературыописываетсяизвестнымивыражениями:

(3)

()

()

гдеVt eff0 (0, T)–значениепороговогонапря‑жениядлятолстого(скрытого)окисладооб‑

лучения, зависящее от температуры тран‑зистора; Cox eff параз – эффективная ёмкостьокислапаразитноготранзистора;μ(D, T, t)–зависящаяоттемпературы,дозыивремениоблучения(черезпараметр∆Nit параз(D, T, t))подвижностьносителейвканалепаразитно‑готранзистора,котораяописываетсяизвест‑нымвыражением:

(6)

∆Not параз(D, T, t),∆Nit параз(D, T, t)–наведён‑ные облучением концентрации дырочногозарядаиповерхностныхсостояний(наеди‑ницуплощади)нанижней(илибоковой)гра‑ницерабочейобластитранзисторнойструк‑туры,зависящиеоттемпературы,мощностидозы, времени облучения и др. факторов[1].Вчастности,изменениеконцентрациидырочногозаряда

∆Not параз(D, T, t)завремя

t описывается как разность концентрациизаряда∆Not параз_безотжига(D, T, t),накопленно‑гозавремя t безучётаегоотжига,идолиэтогозаряда,отожжённогозавремяtвсоот‑ветствиистипичнойкривойотжига[17,18]:

(7)

Коэффициент А учитывает, что при t,стремящемся к бесконечности, плотность∆Not параз(D, T, t) дырочногозарядастремит‑сякконкретномузначению,определяемомуизрезультатовизмерений.

Параметр tsзадаётвременноймасштабкривойотжига,связансизмеряемымвреме‑немполовинногоотжигазарядаτ1/2,которыйзависит от температуры и электрическогополявокислечерезэнергиюактивации([17,18]идр.).

Аналогичные выражения используют‑ся и для описания плотности наведённыхповерхностных состояний ∆Nit параз (D, T, t)награницемеждуактивнойобластьютран‑зистораитолстымокислом,нотамотвре‑мени и температуры зависят и генерациясостоянийиихотжиг.Этипроцессыимеют

Учёт радиационно-индуцированных токов утечки при высоких температурах в SpICE модели КНИ МОПТ

Page 52: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

2 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

разные энергии активации и постоянныевремени.

ДляSPICEмоделированиядоннойутеч‑киможносчитать,чтонапряжениеверхнегозатворапрактическиневлияетнатокниж‑нейутечки,поэтомуввыражении(2)можносчитатьVз=0,имыполучаемврезультатезависимость токаМОП транзистора от на‑ведённых облучением плотностей ∆Not параз

(D, T, t),∆Nit параз(D, T, t),которыевыполняютфункциюнекоторогоэффективногозатвор‑ногонапряжениядляпаразитного транзис‑тора.

В области сильной инверсии для ниж‑него паразитного канала утечки получимстандартную квадратичную зависимостьтока Iс утечкинижн(D, T, t)отсуммыплотностейнаведённыхзарядов∆Not параз(D, T, t),∆Nit параз

(D, T, t) [9].Аналогичные выражения справедливы

идлятокаутечкиIс утечкибок(D, T, t) побоковойграниактивнойобластитранзистора.

Таким образом, зависимость тока утеч‑киотнаведённыхоблучениеплотностейза‑рядов в макромоделиможно описать стан‑дартным выражением сток‑затворной ВАХдополнительногопаразитногоМОПтранзис‑тора(илидвухпаразитныхтранзисторов),гдевкачествеэффективногозатворавыступаютнаведённые облучением заряды в толстомокисле (7).С учётомблизкого клинейномузаконуростадырочногозарядаотполучен‑нойдозыполучаемзависимостьтокаутечки

отдозыввидесток‑затворнойВАХ[7‑9](см.рис.2).Насыщениетокастокаутечкисрос‑томдозыидажеегоспадговорятокомпен‑сации дырочного заряда в толстом окислезарядомповерхностныхсостояний[11,19].

В результате паразитная утечка можетучитываться в макромодели транзисторапутём добавления дополнительных МОПтранзисторов с параметрами, зависящимиот полученной дозы, времени облученияи температуры в соответствии с вышепри‑ведённымивыражениями(3)–(7),сразлич‑нымикоэффициентамипараметровдлябо‑ковойидоннойсоставляющих.

В данной работе моделировался КНИМОПТ с параметрами: длина канала 0.3мкм;толщинаактивнойобласти190нм;тол‑щинаскрытогослояокисла10нм;концен‑трацияносителейвактивнойобластитран‑зистора7∙1017см‑3.

ВкачествеосновыиспользоваласьнашамакромодельнаосновеBSIMSOI[1]спара‑метрами,определённымипорезультатамиз‑меренийхарактеристиктестовыхКНИМОПтранзисторов с проектной нормой 0.3мкмв диапазоне температур до 300 оС [13].Поскольку основной упор делался на ана‑лиз токов утечки, то при данных расчётахнеучитываласьдеградацияпараметровос‑новного(верхнего)МОПТ.Параметрамимо‑делиBSIMSOIосновноготранзистора,опи‑сывающими температурозависимые токиутечки стокового перехода, являлись: Iddif(токнасыщенияпереходовисток‑подложка,сток‑‑подложка); Idrec (ток рекомбинациив обеднённом слое перехода); Xdifd (пока‑затель степени выражения температурныхзависимостей тока насыщения переходовисток‑подложка,сток‑‑подложка);Xrecd(по‑казатель степени выражения температур‑ных зависимостей тока рекомбинации пе‑реходов исток‑подложка, сток‑‑подложка),такжеопределённыепорезультатамвысо‑котемпературныхизмерений[13].

Вмакромодель[1]–вдополнительныйпаразитный транзистор для нижнего скры‑того окисла дополнительно введены зави‑симости его порогового напряженияот по‑

Рис. 2зависимость паразитного тока

стока утечки от полученной дозы для мопт с L = 0.18 мкм [8]

Харитонов И. А.

Page 53: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 3

лученной дозы через напряжение плоскихзонVfbb (всоответствиисвыражением(3))и подвижностиносителейMu0 (выражение(6)) от наведённых облучением плотностейдырочногозаряда∆Not параз(D, T, t)втолстомокисле и поверхностных состояний∆Nit параз

(D, T, t) награницеразделакремний‑толстыйокиселсучётомихтемпературозависимогои времязависимого отжига [1]. Для учётарадиационно зависимых и температуроза‑висимых изменений параметров моделиосновноготранзистораможноиспользоватьаналогичныевыражения(3)–(7),нососвои‑мипараметрами.

В качестве «экспериментальных» дан‑ныхпохарактеристикамКНИМОПТсучё‑том высокой температуры и полученнойдозы облучения использовались результа‑тыTСADмоделирования структуры данно‑го транзистора. Калибровка TCAD в частиВАХиэффектовполученнойдозывыполня‑лась на основании результатов измеренийоблучённых [20] и нагретых [13] тестовыхКМОПКНИструктурирезультатовмодели‑рования[7],[20].

Оценкинаведённыхоблучениемзарядоввскрытомокислесучётомихтемпературо‑зависимогоотжигапроводилисьподанным

[7, 19] и данным по зависимостям токовутечкиот температурыи времени [19].Ха‑рактеристики приведены для температуры+120оС,т.к.приэтомужезаметноменяют‑ся характеристики МОПТ, происходит не‑большойотжигдырочногозарядаиещёнетсущественного ускоренного роста (или от‑жига)поверхностныхсостояний.

ПриведённыенижезначенияΔNot паразна‑копленноговтолстомокислезарядаприпо‑вышеннойдо120оСтемпературесоответс‑твуютполученнойдозе00крадимощностидозыоколо0рад/сек[17,19].

Нарис.3приведенырассчитанныеспо‑мощьюTCADиSPICEмакромоделейсток‑затворные характеристики указанного 0.3мкмКНИМОПТ.

Сток‑затворные характеристики приве‑дены для температур 27 и 120 °С и плот‑ностей зарядов в окисле ΔNot параз, равных1,2∙1012cм‑2и1,∙1012cм‑2.Значки–расчётспомощьюTCAD;линии–расчётпоSPICEмакромодели МОП транзистора (с добав‑лениемдополнительногопаразитногоМОПтранзистора)сучётомутечкиповыражению(2)итемпературозависимогоотжига.

Награфикахпредставленыхарактерис‑тики(снизувверх):

Рис. 3рассчитанные с помощью tcad (значки) и SPicE макромодели утечки (линии) на сток-затворных

характеристиках кни мопт (L = 0.35 мкм, ТBOX = 150 нм) для температур 27 и 120 °С и значений плотностей дырочного заряда в окисле ΔNot параз: 0; 1,2·1012; 1,5·1012 cм-2

Учёт радиационно-индуцированных токов утечки при высоких температурах в SpICE модели КНИ МОПТ

Page 54: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

1. необлучённого КНИ МОПТ при ком‑натнойтемпературе(27°С);

2. МОПТ после облучения (ΔNot параз =1,∙1012cм‑2)прикомнатнойтемпературе;

3. необлучённого МОПТ при повышен‑нойтемпературе(120°С);

. МОПТ после облучения (ΔNot параз =1,2∙1012cм‑2)притемпературе120°С(сучё‑том частичного отжига наведённого облу‑чением дырочного заряда при облучениисмощностьюдозы0рад/сек).

Изрис.3видно,чтополныйтокутечкистокавоблучённомn‑канальномМОПТприповышенной температуре заметно боль‑ше суммы теплового тока необлучённоготранзистора и радиационного тока утечкиприкомнатнойтемпературедажесучётомчастичного отжига накопленного в окислезаряда. Видно также, что уточнённая мак‑ромоделькорректноописываетсуммарныйток‑стокутечкиоблучённогоМОПТприпо‑вышеннойдо120оСтемпературе.Погреш‑ность–неболее20%.

ЗаключениеТаким образом, в известные версии

SPICEмакромодели стойкихМОПТ добав‑ленызависимостинаведённыхоблучениемзарядоввтолстомокислеинаграницераз‑делакремний‑толстыйокиселоттемперату‑рыивремениоблучения,чтодаловозмож‑ность корректного описания токов утечкистокаМОПТсучётомповышеннойтемпера‑турыидозы.

Литература1. Improved Design of Radiation Hardened,

Wide‑Temperature Analog and Mixed‑Sig-nal Electronics Project., NASA Project, https: / / catalog.data.gov / dataset / improved‑design‑of‑radiation‑hardened‑wide‑temperature‑analog‑and‑mixed‑signal‑electronics.

2. Neil H. E. Weste, David Money Harris, CMOS VLSI Design. A Circuits and Systems Perspective. Addison‑Wesley, 2011.

3. Philippe Adell. Dose and Dose‑Rate Effects in Micro‑Electronics Pushing the Limits to Extreme Conditions / / Presentation at NSREC 2014, 14-18 July, 2014.

4. F. S. Shoucair; J. M. Early. High‑temperature dif-fusion leakage‑current‑dependent MOSFET small‑signal conductance. / / IEEE Transactions on Elec-tron Devices. Volume: 31, Issue: 12, Dec. 1984. pp.1866‑1872.

5. F. S. Shoucair. Electrical characteristics of LSI silicon MOSFET’s at very high temperatures. Ph. D. dissertation, Columbia University, New York, NY, 1983.

6. F. S. Shoucair, W. Hwang, and P. Jain. Electri-cal characteristics of LSI silicon MOSFET’s at very high temperatures, Part I, Theory, Part II Experiment. / / Micvoelectron. Reliab., vol. 24, no. 3, 1984. pp. 465‑510.

7. Esqueda, H. Barnaby, Two‑Dimensional Method-ology for Modeling Radiation‑Induced Off‑State Leakage in CMOS Technologies. / / IEEE Trans. on Nuclear Science, vol. 52, no. 6, 2005, pp. 2259‑2264.

8. S. Esqueda, H. J. Barnaby, M. P. King. Compact Modeling of Total Ionizing Dose and Aging Effects in MOS Technologies / / IEEE Trans. on Nucl. Sci, Vol. 62, No. 4, 2015, pp. 1501-1515.

9. G. I. Zebrev, V. V. Orlov, A. S. Bakerenkov, V. A. Fe-litsyn. Compact Modeling of MOSFET I – V Char-acteristics and Simulation of Dose‑Dependent Drain Currents / / IEEE Trans. on Nuclear Science, Vol. 64, N. 8, 2017, pp. 2212‑2218.

10. V. V. Orlov, G. I. Zebrev, M. S. Gorbunov. CMOS Chip Level Global Leakage Physics‑Based Model-ing / / Presented at RADECS 2017.

11. F. Faccio, G. Cervelli. Radiation‑induced edge ef-fects in deep submicron CMOS transistors / / IEEE Trans. on Nuclear Science, Vol: 52, Issue: 6, Dec. 2005, pp. 2413‑2420

12. J. R. Schwark, F. W. Sexton, D. M. Fleetwood et. al, Temperature effects on the radiation response of MOS devices / / IEEE Trans. On Nuclear Science, vol.35, N6, 1988, pp. 1432‑1437.

13. Лебедев С. В., Петросянц К. О., Самбурс-кий Л. М., Стахин В. Г., Харитонов И. А., Исма-ил‑Заде М. Р. Исследование характеристик КНИ МОП транзисторов высокотемпературных ИС (до 300 °С) при уменьшении размеров до 0,18 мкм / / Труды Международного форума «Мик-роэлектроника-2016». 2-я научная конференция «Интегральные схемы и микроэлектронные мо-дули». – М.: Техносфера, 2016. 237‑238.

14. K. O. Petrosyants, L. M. Sambursky, I. A. Khari-tonov, B. G. Lvov. Radiation‑Induced Fault Simu-lation of SOI / SOS CMOS LSI’s Using Universal Rad‑SPICE MOSFET Model / / Journal of Elec-tronic Testing: Theory and Applications (JETTA), 2017, Vol. 33, No. 1. pp. 37‑51.

Харитонов И. А.

Page 55: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018

15. Kharitonov I. A., Electro‑Thermo‑Rad SPICE models for SOI / SOS MOSFETs / / Proc. of the IEEE East-West Design & Test Symposium (EWDTS’2017), Novi Sad, Serbia, September 27 – October 2, 2017, pp. 409‑416.

16. И. А. Харитонов. Подсистема схемотехничес-кого проектирования КМОП БИС с учётом сов-местного влияния радиационных и тепловых эффектов. / / Тезисы XVI Всероссийской научно-технической конференции «Электроника, мик-ро- и наноэлектроника», г. Суздаль, июль 2017, с. 64‑65.

17. Ph. M. Campbell and C. W. Bogdan. «Analyti-cal Models for Total Dose Ionization Effects in MOS Devices». Sandia report. Sandia National Laboratories Albuquerque, New Mexico, 2008. pp. 1178‑1185.

18. V. Lakshmanna and A. S. Vengurlekar. «Logarith-mic detrapping response for holes injected into SiO2 and the influence of thermal activation and electric fields». Journal of Applied Physics. Vol. 63, 1988. pp. 4548‑4555.

19. H. Baoping, Y. Zhibin, W. Zujun, H. Shaoyan. Ir-radiation and Anneal Response of Shallow Trench Isolation Oxides in 0.18μm CMOS Technolo-gy / / Scientific Journal of Microelectronics, Vol. 4, 2014, Issue 2, pp. 5‑10.

20. К. О. Петросянц, Е. В. Орехов, Л. М. Сам-бурский, И. А. Харитонов, П. Н. Осипенко, М. С. Горбунов. Трёхмерное моделирование радиационных токов утечки в субмикронных КНИ МОП транзисторах c различной тополо-гией / / Сб. научных трудов Российской научно-технической конференции «Электроника, мик-ро- и наноэлектроника-2010». – М.: МИФИ, 2010, с. 84‑89.

21. Петросянц К. О., Попов Д. А., Харитонов И. А. TCAD моделирование радиационно‑инду-цированных токов утечки стока в КНИ МОПТ при повышенных температурах. / / Сборник тезисов XV научно-технической конференции «Твердотельная электроника. Сложные функ-циональные блоки РЭА», 2017, с. 224‑226.

References1. Improved Design of Radiation Hardened,

Wide‑Temperature Analog and Mixed‑Signal Electronics Project., NASA Project, https: / / catalog.data.gov / dataset / improved‑design‑of‑radiation‑hardened‑wide‑temperature‑analog‑and‑mixed‑signal‑electronics.

2. Neil H. E. Weste, David Money Harris, CMOS VLSI Design. A Circuits and Systems Perspective. Addison‑Wesley, 2011.

3. Philippe Adell. Dose and Dose‑Rate Effects in Micro‑Electronics Pushing the Limits to Extreme Conditions / / Presentation at NSREC 2014, 14-18 July, 2014.

4. F. S. Shoucair; J. M. Early. High‑temperature dif-fusion leakage‑current‑dependent MOSFET small‑signal conductance. / / IEEE Transactions on Elec-tron Devices. Volume: 31, Issue: 12, Dec. 1984. pp.1866‑1872.

5. F. S. Shoucair. Electrical characteristics of LSI silicon MOSFET’s at very high temperatures. Ph. D. dissertation, Columbia University, New York, NY, 1983.

6. F. S. Shoucair, W. Hwang, and P. Jain. Electri-cal characteristics of LSI silicon MOSFET’s at very high temperatures, Part I, Theory, Part II Experiment. / / Micvoelectron. Reliab., vol. 24, no. 3, 1984. pp. 465‑510.

7. Esqueda, H. Barnaby, Two‑Dimensional Method-ology for Modeling Radiation‑Induced Off‑State Leakage in CMOS Technologies. / / IEEE Trans. on Nuclear Science, vol. 52, no. 6, 2005, pp. 2259‑2264.

8. S. Esqueda, H. J. Barnaby, M. P. King. Compact Modeling of Total Ionizing Dose and Aging Effects in MOS Technologies / / IEEE Trans. on Nucl. Sci, Vol. 62, No. 4, 2015, pp. 1501-1515.

9. G. I. Zebrev, V. V. Orlov, A. S. Bakerenkov, V. A. Fe-litsyn. Compact Modeling of MOSFET I – V Char-acteristics and Simulation of Dose‑Dependent Drain Currents / / IEEE Trans. on Nuclear Science, Vol. 64, N. 8, 2017, pp. 2212‑2218.

10. V. V. Orlov, G. I. Zebrev, M. S. Gorbunov. CMOS Chip Level Global Leakage Physics‑Based Model-ing / / Presented at RADECS 2017.

11. F. Faccio, G. Cervelli. Radiation‑induced edge ef-fects in deep submicron CMOS transistors / / IEEE Trans. on Nuclear Science, Vol: 52, Issue: 6, Dec. 2005, pp. 2413‑2420

12. J. R. Schwark, F. W. Sexton, D. M. Fleetwood et. al, Temperature effects on the radiation response of MOS devices / / IEEE Trans. On Nuclear Science, vol.35, N6, 1988, pp. 1432‑1437.

13. S. V. Lebedev, K. O. Petrosyants, L. M. Samburs-kiy, V. G. Stakhin, I. A. Kharitonov, M. R. Ismail‑Zade. Characteristics of high‑temperature (up to 300°C) IC`s SOI MOSFET scaled down to 0,18 µm. / / Trudy Mezdunarodnogo foruma Microelek-tronika-2016. 2-ya nauchnaya konferenciya «In-tegralniye skhemy I mikroelektronniye moduli» [Proc. of International forum «Microelectronics 2016», 2‑nd scientif. conf. «Integrated circuits and microelectronic modules» ] M.: Tekhnosfera, 2016, pp. 237‑238.

Учёт радиационно-индуцированных токов утечки при высоких температурах в SpICE модели КНИ МОПТ

Page 56: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

6 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

14. K. O. Petrosyants, L. M. Sambursky, I. A. Khari-tonov, B. G. Lvov. Radiation‑Induced Fault Simu-lation of SOI / SOS CMOS LSI’s Using Universal Rad‑SPICE MOSFET Model / / Journal of Elec-tronic Testing: Theory and Applications (JETTA), 2017, Vol. 33, No. 1. pp. 37‑51.

15. Kharitonov I. A., Electro‑Thermo‑Rad SPICE models for SOI / SOS MOSFETs / / Proc. of the IEEE East-West Design & Test Symposium (EWDTS’2017), Novi Sad, Serbia, September 27 – October 2, 2017, pp. 409‑416.

16. I. A. Kharitoniv. Subsystem of CMOS LSI circuit design, accounting the mutual influence of radia-tion and thermal effects. / / Tezisy XVI Vserossiyskoy nauchno-tekhnicheskoy konferentsii «Elektronika, micro- i nanoelektronika [Proc. XVI All‑Russian scientific and technical conference «Electronics, micro‑ and nanoelectronics]. Suzdal, Jul. 2017, pp.64‑65.

17. Ph. M. Campbell and C. W. Bogdan. «Analyti-cal Models for Total Dose Ionization Effects in MOS Devices». Sandia report. Sandia National Laboratories Albuquerque, New Mexico, 2008. pp. 1178‑1185.

18. V. Lakshmanna and A. S. Vengurlekar. «Logarith-mic detrapping response for holes injected into

SiO2 and the influence of thermal activation and electric fields». Journal of Applied Physics. Vol. 63, 1988. pp. 4548‑4555.

19. H. Baoping, Y. Zhibin, W. Zujun, H. Shaoyan. Ir-radiation and Anneal Response of Shallow Trench Isolation Oxides in 0.18μm CMOS Technolo-gy / / Scientific Journal of Microelectronics, Vol. 4, 2014, Issue 2, pp. 5‑10.

20. K. O. Petrosyants, E. V. Orekhov, L. M. Samburskiy, I. A. Kharitonov, P. N. Osipenko, M. S. Gorbunov. 3D modeling of radiation leakage currents in sub‑micron SOI MOSFETs with various layout. / / Sb. nauchnykh trudov Rossiyskoy nauchno-tekhniches-koy conferentsii «Elektronika, mikro i nanoelektron-ika-2010» [Proc. of Russian scientific and technical conference «Electronics, micro‑ and nanoelectron-ics‑2010» ]. M.: MEPhI, 2010, pp.84‑89.

21. K. O. Petrosyants, D. A. Popov, I. A. Kharitonov. TCAD simulation of radiation‑induced drain leakage currents of SOI MOSFET at elevated temperatures. / / Sbornik tezisov XV nauchno-tekh-nicheskoi konferentsii «Tverdotelnaya elektronika. Slozhniye funktsionalniye bloke REA» [Proc. XV scientific and technical conference «Solid‑state electronics. Complex functional blocks of radio‑electronic equipment» ]. 2017, pp.224‑226.

Харитонов И. А.

Page 57: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 7

УДК621.396.96

8-каналЬный приЁмопередаЮЩий модулЬ х-диапазона С первиЧной ЦиФровой обработкой Сигнала

в. е. акинин, о. в. борисов, Ю. в. колковский, в. м. миннебаев, ал. в. редька, ан. в. редька, а. в. ушаков, а. в. Царев

АО «НПП «Пульсар», 105187, г. Москва, Окружной пр., 27

В статье представлены результаты проектирования и изготовления 8-канального приёмопередающе-го модуля (ППМ), предназначенного для работы в составе перспективных радиолокационных станций (РЛС) с активной фазированной антенной решёткой (АФАР). Рабочий диапазон частот ППМ ∆F = 9.2-10.4 ГГц. Выходная мощность передающего канала Рвых = 10 Вт. Коэффициент шума приёмного канала Кш не более 3,5 дБ. В модуле реализована первичная цифровая обработка принимаемого сигнала.

Ключевые слова: АФАР, приёмопередающий модуль, малошумящий усилитель (МШУ), гибридная интег-ральная схема (ГИС), диаграмма направленности (ДН), Х-диапазон, приёмный канал, передающий канал

Сведения об авторах: Акинин Вячеслав Евгеньевич, [email protected]; Борисов Олег Вале-рьевич, [email protected]; Колковский Юрий Владимирович, д.т. н., профессор [email protected]; Миннебаев Вадим Минхатович, к.т. н. [email protected]; Редька Алексей Владимирович, [email protected]; Редька Андрей Владимирович, [email protected]; Ушаков Александр Василье-вич, [email protected]; Царев Александр Владимирович, [email protected].

……………………………………………………………………

8-th channEl X-band tranScEivEr modulE with digital Signal PrE-ProcESSing

v. E. akinin, o. v. borisov, yu. v. Kolkovskiy, v. m. minnebaev, al. v. redka, an. v. redka, a. v. ushakov, a. v. tsarev

JSC «S&PE «Pulsar», 105187, Moscow, Okruzhnoy proezd, 27

This paper presents the results of design and production of 8-th channel transceiver module (TRM) for advanced ac-tive electronically scanned antenna (AESA) radar applications. TRM operating frequency range ∆F = 9.2-10.4 GHz. Transmitting channel output power Pout (Рвых) = 10 W. Receiving channel noise figure NF (Кш) ≤ 3,5 dB. Module features digital signal pre-processing.

Keywords: AESA, transceiver module, low-noise amplifier (LNA), hybrid integrated circuit (HIC), beam pattern, X-band, receiving channel, transmitting channel.

Data of Authors: Vyacheslav Evgenyevich Akinin, [email protected]; Oleg Valeryevich Borisov, [email protected]; Yury Vladimirovich Kolkovskiy, Doctor of Engineering, Prof., [email protected]; Gennadiy Vyacheslavovich Legaj, [email protected]; Vadim Minkhatovich Minnebaev, Candidate of Engineering, [email protected]; Aleksey Vladimirovich Redka, [email protected]; Andrey Vladimirovich Redka, [email protected]; Aleksandr Vasilyevich Ushakov, [email protected]; Aleksandr Vladimirovich Tsarev, [email protected].

ВведениеОсновным и самым массовым элемен‑

томлюбойрадиолокационнойстанциисак‑тивной фазированной антенной решёткой

(РЛСсАФАР)являетсяприёмопередающиймодуль(ППМ)[1‑3].Взависимостиотструк‑турыиназначениясистемыприёмопереда‑ющиймодульможетвключатьвсвойсоставследующиеэлементы:

Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018, с. 57-67Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018, pp. 57-67

Page 58: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

8 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

1. предварительныйивыходнойусилителипередающегоканала;

2. входной малошумящий усилитель при‑ёмногоканала,атакжевыходныеусили‑телиприёмноготракта;

3. устройствозащитыприёмноготракта;. аттенюаторифазовращательпередаю‑

щегоканала;. аттенюатор ифазовращатель приёмно‑

гоканала;6. устройство переключения приём/пере‑

дача;7. устройство управления модулем приё‑

мопередающим;8. развязывающийциркулятор.

Основнымфункциональным назначени‑ем ППМ является усиление зондирующегосигнала,атакжеприёмиусилениеотражён‑ногосигнала.

Вследствие того, что в состав ячейкиАФАР обычно входит большое количес‑тво ППМ (от 32 и более), жесткие требо‑вания предъявляются к фазочастотными амплитудно‑частотным характеристикамприёмногоипередающеготрактовмодуля.Для обеспечения возможности управления(корректировки)амплитудойифазойзонди‑рующегоипринимаемогосигналоввприём‑ныхипередающих тактахППМустанавли‑

ваютсяфазовращателииаттенюаторы.Онипозволяют сформировать луч подрешёткии получить необходимую для работы диа‑граммунаправленности[].Нарис.1пред‑ставлен результат фазировки с помощьювстроенныхфазовращателей20‑типриёмо‑передающихмодулейвдиапазонерабочихчастот.

Постановка задачиЦельюнастоящейработыявляетсяраз‑

работка 8‑канального приёмопередающегомодуля с первичной цифровой обработкойсигнала, техническиехарактеристикикото‑рогоотображенывтабл.1.

Приёмопередающий модуль долженбыть изготовлен в пылевлагозащищённомкорпусе, габаритные размеры которогонедолжныпревышать10х10х70мм,авесдолженбытьнеболее1кг.

Структурная схема и состав приёмопередающего модуляСтруктурная схема одного приёмопе‑

редающего канала модуля представленанарис.2.

В качестве усилителей в передающемтракте модуля ППМ используются гибрид‑ные интегральные схемы (ГИС) на основе

Рис. 1 результат фазировки 20-ти передающих каналов приёмопередающего модуля

с использованием канальных фазовращателей

Акинин В.Е. , Борисов О.В., Колковский Ю.В., Миннебаев В.М., Редька Ал.В., Редька Ан.В., Ушаков А.В., Царев А.В.

Page 59: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 9

Таблица 1основные технические характеристики ппм

Наименование параметра,единица измерения

Буквенное обозначение

параметра

Норма параметра

не менее

номиналне

более

Рабочийдиапазончастот,ГГц:‑нижнеезначениечастоты‑верхнеезначениечастоты

Δfpfнfв

‑10,

‑‑

9,2‑

КПД,%(наканал) ᵑ 2 ‑ ‑

ЭлектрическиепараметрыприёмноготрактаППМ

Коэффициентшумаприёмноготракта,дБ Кш ‑ ‑ 3,

Коэффициентусиленияприёмноготракта,дБ Кур 2,0 ‑ ‑

Неравномерность коэффициента усиления приёмноготрактавдиапазонерабочихчастот,дБ

ΔКур ‑ ‑ 2,0

ЧастотадискретизацииАЦПвприёмныхканалах,МГц Fd 20 ‑ ‑

Среднеквадратичнаяошибкаизмененияфазыприёмно‑готракта,град.

Δmφ ‑ ‑ 10

Среднеквадратичная ошибка установки затухания атте‑нюатораприёмноготракта,дБ

Δmа ‑ ‑ 1,0

Входнаямощностьприёмноготракта(наодинканал),Вт Рвх.прм. ‑ ‑ 10

ЭлектрическиепараметрыпередающеготрактаППМ

Выходнаяимпульснаямощностьпередающеготракта(наодинканал),Вт

Pвх.макс 10,0 ‑ ‑

Коэффициентусиленияпередающеготракта,дБ Кур 30,0 ‑ ‑

Неравномерностькоэффициентаусиленияпередающеготрактавдиапазонерабочихчастот,дБ

ΔКур ‑ ‑ 2,0

Среднеквадратичнаяошибкаизмененияфазыпередаю‑щеготракта,град.

Δmφ ‑ ‑ 10

Изменениевыходноймощностипередающегоканалаприизменениифазы,дБ

δРвых.прд ‑ ‑ 1,

Длительностьимпульса,мкс Tимп. 0, ‑ 200

Скважность Q

нитрид‑галлиевых транзисторов произ‑водства АО «НПП «Пульсар». Для обеспе‑чения заданных в техническом заданиитребований к рабочей полосе частот, ко‑эффициентуусиления,выходноймощностиикоэффициентуполезногодействияразра‑ботанадвухкаскаднаяГИСпредварительно‑го и трехкаскадная ГИС выходного усили‑телеймощности (ПУМиВУМ). В качествепредварительного каскада использовалисьтранзисторы БКВП.7763.06 с ширинойзатвораW =1.2мм,в качествевыходныхприборов–БКВП.77.63.08сширинойза‑твораW=мм.

Предварительный расчёт ГИС усили‑телей мощности был произведён в САПРMICROWAVEOFFICEфирмыAWR.Дляэто‑горасчётанаосновеизмеренныхвольт‑ам‑перных характеристик в библиотеке САПРбыла адаптирована нелинейная модельтранзистора. После этого была проведе‑на оптимизация параметров модели, отве‑чающихзаработунаСВЧ,для того чтобыS‑параметрыэтоймоделисоответствовалиS‑параметрамреальноготранзисторавтре‑буемомдиапазонечастот[].

Электромагнитное моделирование со‑гласующих цепей выполнено в программе

8-канальный приёмопередающий модуль Х-диапазона с первичной цифровой обработкой сигнала

Page 60: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

60 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

2.DмоделированияSonnet Suites. Даннаяпрограмма обладает некоторыми преиму‑ществамипередAWRвчастиЭМмодели‑рования,такимикакболееполноесоответс‑твие расчётов и эксперимента, скоростьрасчётасхемы,болеепростойиинтуитивнопонятный интерфейс, возможность запус‑катьнесколькозадачпоследовательнодругза другом в автоматическом режиме и пр.Моделирование каждой платы усилителяпроизводилосьотдельнодруготдругавце‑лях экономии времени. В целях экономиивремени проектирования прибора разумнопроизводить расчёт навесных конденсато‑ров как двухполюсников и в дальнейшем«подключать»ихвсоответствующиепорты.Обусловлено это тем, что моделированиесхемы,включающейвсебяслоисдиэлек‑триками,изкоторыхсостоятконденсаторы,требуетзначительнобольшегообъёмаопе‑ративнойпамятикомпьютера,и,какследс‑твие, время расчёта также увеличиваетсявнесколькораз.

Эквивалентная схема трехкаскадноговыходного усилителя мощности представ‑ленанарис.3.

Расчётные зависимости коэффициентаусиления, коэффициента полезного дейс‑твияивыходноймощностиВУМотчастотыпредставленынарис..

Внешнийвидразработанныхиизготов‑ленныхГИСпредварительногоивыходногоусилителеймощностипоказаннарис..

Как видно из рис. , предваритель‑ный усилитель мощности построен на ос‑нове двух каскадов на GaN транзисторахБКВП.7763.007, а выходной усилительна основе двух каскадов на GaN тран‑зисторах БКВП.7763.06 и на одномкаскаде с использованием транзистораБКВП.7763.08.Обаусилителяимеютсо‑гласующиецеписэлементамивводапита‑ниянаосновеполикоровыхплат.

При использовании малошумящих уси‑лителей (МШУ) в ППМ АФАР необходимообеспечить защиту активного СВЧ прибо‑

Рис. 2Структурная схема канала ппм

Рис. 3Эквивалентная схема трехкаскадного вум

Акинин В.Е. , Борисов О.В., Колковский Ю.В., Миннебаев В.М., Редька Ал.В., Редька Ан.В., Ушаков А.В., Царев А.В.

Page 61: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 61

Рис. 4расчётные зависимости коэффициента усиления, коэффициента полезного действия

и выходной мощности вум от частоты

Рис. 5внешний вид предварительного (слева) и выходного (справа) усилителей мощности

ра от просачивающейсямощности излуча‑телей АФАР. Сложность защиты состоитвтом,чтовеличинапросачивающейсямощ‑ностидостигаетуровнявыходноймощностиодногопередающегоканала.

ОсновнымитребованиямикСВЧприём‑номутрактуППМАФАРявляются:

1.обеспечениевысокойчувствительнос‑типривоздействиисинхронныхиасинхрон‑ныхпомехзасчётпоискаоптимумамеждузначением коэффициента шума входногоСВЧтранзистораивеличиноймаксимально‑гонеразрушающегоуровняСВЧмощности

навходетранзистора,которыйопределяетвеличинупрямыхпотерьустройствазащитыприёмника;

2.уменьшениегабаритовустройстваза‑щитынаиболееважнодляАФАР,размерыППМ в которой жестко связаны с длинойволнызондирующихсигналов.

На основании проведённого анализадляминимизациикоэффициенташумаСВЧприёмника в присутствии мощных помехвкачествемалошумящегоСВЧтранзистораМШУнеобходимоиспользоватьGaNмало‑шумящие транзисторы без использования

8-канальный приёмопередающий модуль Х-диапазона с первичной цифровой обработкой сигнала

Page 62: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

62 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

устройствазащиты,чтопозволилоснизитьпотеринавходемалошумящеготракта,со‑кративкоэффициентшумана0,8дБ.

АО «НПП «Пульсар» имеет довольнобольшойопытвразработкеипроизводстветранзисторовнаосновеGaN.Дляобеспече‑ниятребуемогоуровнякоэффициентапере‑

дачиитребуемогозначениякоэффициенташума[6]вкачествебазовогокристаллабыливыбранысерийнопроизводимыенанашемпредприятиитранзисторыБКВП.7763.007сширинойзатвораW=0,2мм.

На рис. 6 и 7 представлены измеренияS11имаксимальнодостижимыйкоэффици‑

Рис. 6результат измерений S11 кристалла бквп.757643.007

Рис. 7максимально достижимое значение коэффициента усиления кристаллов бквп.757643.007

Акинин В.Е. , Борисов О.В., Колковский Ю.В., Миннебаев В.М., Редька Ал.В., Редька Ан.В., Ушаков А.В., Царев А.В.

Page 63: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 63

ентусилениятранзистораБКВП.7763.007.Результатыпроведённыхисследованийпод‑твердиливозможность работы кристаллов,а соответственно и возможность построе‑нияприёмноготрактаППМначастотахот8до12ГГц.Помимоэтого,видно,чтосущес‑твует возможность построения приёмныхкаскадовначастотахдо20ГГц.

Такимобразом,былразработандвухкас‑кадныймалошумящийусилительнаосновекристаллов БКВП.7763.007 (структурнаясхема представлена на рис. 8), полностьюудовлетворяющийтребованиям,предъявля‑емымкразрабатываемомумодулю(основ‑ныетехническиехарактеристикиГИСпред‑ставленынарис.9).

Рис. 8Электрическая схема двухкаскадного гиС мШу

Рис. 9расчётные коэффициент усиления и коэффициент устойчивости gan выходного

усилителя (ра_5359_2stage) и вум (ра_5359)

8-канальный приёмопередающий модуль Х-диапазона с первичной цифровой обработкой сигнала

Page 64: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

6 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

Дляреализациипервичнойцифровойоб‑работкисигналавППМреализованодвапре‑образованияСВЧсигналасцельюснижениязначения частоты, достаточной для работыАЦП.Структурнаясхемаэтогопреобразова‑ниячастоты,реализованнаявприёмопере‑дающеммодуле,представленанарис.10.

В результате преобразования и обра‑боткинавыходеаналогово‑цифровогопре‑образователяполучаетсяцифровойсигналсоследующимихарактеристиками:

1. одинтактовыйимпульс,1словодан‑ныхпо10битпараллельногокода;

2. частотаобновленияданныхнавыхо‑децифровыхприёмниковнеменее20МГц;

3. информационный поток составляетнеменее200Мбит/с.

Для обеспечения суммирования приём‑ных каналов были спроектированы и изго‑товлены сумматорынаСВЧмногослойном

материале с использованием технологиипереходных отверстий. Данная технологияпозволила разместить на одной плате по‑мимосумматоровприёмныхканаловтакжеСВЧделительдляпередающихканалов.

Для обеспечения требуемых значенийфронта и спада СВЧ выходного сигналанаплатеСВЧделителя/сумматорасигналаустановлены быстродействующие ключи.Они позволили получить уверенное подав‑лениесигналапередатчикавмоментрабо‑тыприёмниканауровеньнеменее2дБ.

Результаты изготовления и измерения приёмопередающего модуляРазработан 8‑канальный приёмопере‑

дающий модуль Х‑диапазона с первичнойцифровой обработкой сигнала. Внешнийвид½частимодуляпредставленнарис.11.

Рис. 10Структурная схема реализации первичной цифровой обработки сигнала

Акинин В.Е. , Борисов О.В., Колковский Ю.В., Миннебаев В.М., Редька Ал.В., Редька Ан.В., Ушаков А.В., Царев А.В.

Page 65: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 6

Рис. 11внешний вид СвЧ отсека

приёмопередающего модуля

Рис. 12внешний вид 8-канального приёмопередающего модуля

Таблица 2основные технические характеристики изготовленных модулей ппм

Контролируемые параметры

Еди-ницы изме-рения

Значение параметров

по НТД

Номер

Завод. 001

1 2 3 4 5 6 7 8

Рабочийдиапазончастот ГГц 9,2‑10, Соответствует

Коэффициентусиленияприёмноготракта

дБ неменее2 30,1 30, 30,2 30,3 30, 30,6 30, 30,

Коэффициентусиленияпередающеготракта

дБ неменее30 31,9 31, 31,3 32,1 31,7 31,3 31,2 31,

Коэффициентшумаприёмноготракта

Вт неболее3, 3,2 3,3 3,2 3,3 3,3 3,3 3,2 3,3

Выходнаяимпульснаямощностьпередающеготракта(наодинканал)

Вт неменее10 11,3 11,2 11,2 11,3 11, 11,3 11, 11,2

ВлевойчастимодуляустановленыСВЧделитель/сумматорсключаминавходепе‑редающихканалов,входпервогогетероди‑на и СВЧ смеситель, а также плата вводапитания.

Вправойчастимодуляустановленыпри‑ёмопередающихканала.Усилителивприём‑номипередающемтрактахразвязаныфер‑ритовыми микрополосковыми вентилями,

авыходпередающегоканалаивходприём‑ногоканала–циркуляторомФПЦН2‑100‑9.ициркуляторомФПЦН2‑101‑9..

ВходивыходСВЧсигналовнаантеннуосуществляется через разъёмы типа SMPотечественногопроизводстваSMP‑ВБМГП‑Х‑1‑327‑1.С.

Конструктивно модуль собираетсяиз двух идентичных СВЧ отсеков, между

8-канальный приёмопередающий модуль Х-диапазона с первичной цифровой обработкой сигнала

Page 66: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

66 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

которыми расположена плата управленияицифровойобработки.Внешнийвидмоду‑ляпредставленнарис.12.

ВАО«НПП«Пульсар»былаизготовленаопытнаяпартия(6шт.)приёмопередающихмодулей, а также проведены измеренияииспытания.Основныетехническиехарак‑теристики (для типового представителя),полученныевходепроведенияизмерений,представленывтабл.2.

Изделия удовлетворяют требовани‑ям по стойкости к воздействию механи‑ческих, климатических, биологическихфакторов и специальных сред со значе‑ниями характеристик, соответствующимигруппеунифицированногоисполненияУпоГОСТРВ20.39.1.1‑97.

ВыводыЗасчётсочетанияприрасчётеСВЧтрак‑

та различных пакетов программ, примене‑нияраспределённыхисосредоточенныхмо‑делейэлементовсокращеновремярасчёта.

Разработаны и изготовлены составныечасти приёмопередающего модуля (ГИСпредварительного усилителя мощности,ГИС выходного усилителя мощности, ГИС2‑каскадного малошумящего усилителямощностиприёмноготракта,СВЧплатаде‑лителя/сумматора,платауправленияициф‑ровойобработки)исамППМдляАФАРра‑диолокационного комплекса Х‑диапазонаморскогобазирования.

Измерения основных характеристикППМ подтверждают правильность выбран‑ныхсхемотехническихиконструктивныхре‑шений.

Технологический маршрут изготовле‑ния модуля приёмопередающего основанна базовых технологических процессахНПП«Пульсар»иможетбытьиспользовандляпроектированияППМдляАФАРразлич‑ногоназначения.

Использование GaN СВЧ транзисторовпозволило повысить энергетические пара‑метры передающего тракта и снизить Кшна0,8дБпосравнениюсGaAsтранзисторомспредустановленнымустройствомзащиты.

Литература1. Белолипецкий А. В., Борисов О. В., Колковс-

кий Ю. В., Легай Г. В., Миннебаев В. М., Кро-хин А. П., Савкин Ю. П., Редька Ал. В., Редька Ан. В. Антенный электронный блок для спут-никовой АФАР Х‑диапазона / / Электронная техника. Серия 2. Полупроводниковые прибо-ры. – 2017. – Вып. 3 (246). – С. 15‑25.

2. Герасимов А. О., Перевезенцев А. В., Шиш-ков М. А., Шмаков Д. В. Шестиканальный ППМ для АФАР Х‑диапазона: приёмный тракт / / Электронная техника. Серия 2. Полу-проводниковые приборы. – 2015. – Вып. 2‑3 (236‑237). – С. 12‑20.

3. Иванов К. А., Осиповский А. А., Редька Ал. В., Редька Ан. В., Тихомиров А. В. Шестиканаль-ный ППМ для АФАР Х‑диапазона: передающий тракт / / Электронная техника. Серия 2. Полу-проводниковые приборы. – 2015. – Вып. 2‑3 (236‑237). – С. 21‑32.

4. Кобелева С. П., Перевезенцев А. В., Фо-мин В. М., Френкель М. М. Расчёт диаграммы направленности активной фазированной ан-тенной решётки / / Электронная техника. Серия 2. Полупроводниковые приборы. – 2017. – Вып. 4 (247). – С. 37‑41.

5. Бенуни И. С., Валамин Е. А., Миннебаев В. М., Евграфов А. Ю., Миннебаев С. В., Зубков А. М. Восстановление модели GaN транзистора для работы на большом сигнале / / Электронная техника. Серия 2. Полупроводниковые прибо-ры. – 2017. – Вып. 3 (246). – С. 34‑43.

6. Миннебаев С. В., Филатов А. Л., Краснов В. В. Малошумящий транзистор с составным каналом на основе Alx GaN1‑x / GaN / / Электрон-ная техника. Серия 2. Полупроводниковые при-боры. – 2017. – Вып. 4 (247). – С. 21‑27.

References

1. A. V. Belolipetskiy, O. V. Borisov, Yu. V. Kolko-vskiy, G. V. Legaj, V. M. Minnebaev, A. P. Krokhin, Yu. P. Savkin, Al. V. Redka, An. V. Redka. Elec-tronic antenna unit for X‑band space application AESA. Elektronnaya tekhnika. Seriya 2. Polu-provodnikoviye pribory [Electronic Engineering. Series 2. Semiconductor Devices]. Vol.3 (246). 2017. No.2. pp.15‑25.

2. A. O. Gerasimov, A. V. Perevezentsev, M. A. Shishk-ov, D. V. Shmakov. Six‑channel TRM for X‑band AESA: receiving channel. Elektronnaya tekhnika. Seriya 2. Poluprovodnikoviye pribory [Electronic Engineering. Series 2. Semiconductor Devices]. Vol.2‑3 (236‑237). 2015. No.2. pp.12‑20.

Акинин В.Е. , Борисов О.В., Колковский Ю.В., Миннебаев В.М., Редька Ал.В., Редька Ан.В., Ушаков А.В., Царев А.В.

Page 67: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 67

3. K. A. Ivanov, A. A. Osipovskiy, Al. V. Redka, An. V. Redka, A. V. Tikhomirov. Six‑channel TRM for X‑band AESA: transmitting channel. Elektron-naya tekhnika. Seriya 2. Poluprovodnikoviye pribory [Electronic Engineering. Series 2. Semi-conductor Devices]. Vol.2‑3 (236‑237). 2015. No.2. pp.21‑32.

4. S. P. Kobeleva, A. V. Perevezentsev, V. M. Fomin, M. M. Frenkel. Calculation of active electronically scanned antenna array beam pattern. Elektronnaya tekhnika. Seriya 2. Poluprovodnikoviye pribory [Electronic Engineering. Series 2. Semiconductor Devices]. Vol.4 (247). 2017. No.2. pp.37‑41.

5. I. S. Benuni, E. A. Valamin, V. M. Minnebaev, A. Yu. Evgrafov, S. V. Minnebaev, A. M. Zubkov. Extraction of GaN transistor model for large signal operation. Elektronnaya tekhnika. Seriya 2. Polu-provodnikoviye pribory [Electronic Engineering. Series 2. Semiconductor Devices]. Vol.3 (246). 2017. No.2. pp.34‑43.

6. S. V. Minnebaev, A. L. Filatov, V. V. Krasnov. Low‑noise composite‑channel AlxGa1‑xN / GaN HEMT. Elektronnaya tekhnika. Seriya 2. Poluprovod-nikoviye pribory [Electronic Engineering. Series 2. Semiconductor Devices]. Vol.4 (247). 2017. No.2. pp.21‑27.

8-канальный приёмопередающий модуль Х-диапазона с первичной цифровой обработкой сигнала

Page 68: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

68 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

УДК621.396.62:621.3.029

улуЧШение Структуры ЧаСтотно-избирателЬного приЁмника ШирокополоСного диапазона

д. в. гасилинАО «ЦКБА», 644022, г. Омск, Космический проспект, 24а

В статье предложен вариант улучшения структуры частотно-избирательного приёмника широкопо-лосного диапазона путём добавления цифрового узкополосного фильтра в каналы приёмника и примене-ния алгоритма цифровой обработки сигналов высокого разрешения. Приёмник является широкополос-ным с возможностью одновременной обработки широкополосного и узкополосного сигналов на основе цифровых фильтров промежуточных частот.

Ключевые слова: частотно-избирательный приёмник, цифровая обработка сигналов, разность фаз, частота дискретизации, частотная модуляция

Сведения об авторе: Гасилин Дмитрий Вадимович, инженер АО «ЦКБА», 644027, г. Омск, Космический проспект, 24а, [email protected]

……………………………………………………………………

imProving oF thE FrEQuEncy-SElEctivE broadband rEcEivEr StructurE

d. v. gasilinSC «CKBA», 644027, Russia, Omsk, Kosmicheskiy prospect, 24a

The article suggests a variant of improving the structure of a frequency-selective broadband receiver by adding a digital narrow-band filter to the receiver channels and applying the algorithm for digital processing of high resolution signals. The receiver is broadband with the ability to simultaneously process broadband and narrowband signals based on digital filters of intermediate frequencies.

Keywords: frequency-selective receiver, digital signal processing, difference in phase, sampling frequency, frequency modulation

Data of author: Gasilin Dmitry Vadimovich, [email protected]

ВведениеЗадача данной статьи показать способ

улучшенияструктурычастотно‑избиратель‑ного приёмника широкополосного диапа‑зона путём добавления цифрового узко‑полосного фильтра в каналы приёмникаи применения алгоритмов цифровой обра‑боткисигналоввысокогоразрешения.

Структурная схема одного из N кана‑ловширокополосногоприёмникаспервич‑ной частотной селекцией, осуществляемойфильтром‑преселектором промежуточной

частоты с реализуемыми полосой пропус‑кания и центральной частотой, показананарис.1.

Врадиолокационныхсистемахобъектомнаблюдения является источник излучениярадиоволнснекоторымипараметрами.Приопределениипространственногоположенияисточникамогутучитыватьсяследующиепа‑раметры:амплитудапринятогосигнала,егочастота, длительность, фаза относительноопорного генератора и их комбинации. Та‑кимобразом,системаопределениякоорди‑натдолжнабытьмногоканальнойкакмини‑

Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018, с. 68-71Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018, pp. 68-71

Page 69: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 69

мумпотипамизмерений.Приопределениикоординат источника излучениямогут при‑менятьсятакназываемыефазовыеметоды.Впростейшемслучаефазовыйизмерителькоординатысостоитиздвухпространствен‑но разнесённых антенн, на которые при‑нимаемый сигнал приходит в несколькоразличной фазе. По разности фаз сигна‑ловсдвухантеннпоизвестнымформуламможновычислитьоднуугловуюкоординату.Данный способ накладывает ограниченияна принимаемый сигнал вследствие не‑однозначности измерения разности фазнадостаточновысокихчастотахи/илипри‑сутствиянесколькихисточниковизлучения.Расширитьданныйметодможно,переходяккомплекснымкорреляционнымизмерени‑ямнаантеннойрешёткенекоторойгеомет‑рии.Результатомизмеренийвэтомслучаеявляется не разность фаз, а комплекснаякорреляционнаяматрицаизмерений.Пустьx1,…, xN – векторы отсчётов комплексныхамплитуд сN датчиков антенной решётки,где , t0,…, tM – от‑счёты времени. Корреляционной матрицейизмеренийназывается

(1)

гдеЕ (∙)–операторусреднения.МатрицаRxx

поставляет полную информацию, которуюдаёт многобазовая измерительная систе‑ма.Заметим,чтовзявфазулюбогонедиа‑

гональногоэлементаматрицыRxx,получимразностьфазмеждусигналамиссоответс‑твующих антенн. Таким образом, комплек‑сная корреляционная матрица передаётамплитудно‑фазовые соотношения междувсемипарамидатчиков[1].

В качестве примера рассмотрим пра‑вильную кольцевую антенную решётку,состоящую изN равномерно распределён‑ных по окружности радиуса r с центромв начале координат идентичных изотроп‑ных датчиков, расположенную в плоскостиxOy.Нарешёткувоздействуютdволновыхфронтов от бесконечно удалённых источ‑ников с волновыми числами , где

–длинаволн,приходящихснаправления, –

углывозвышенияиазимутавпринятыхкоор‑динатах.Примемследующуюмодельсигнала:

гдеА –матрицаоткли‑ка решётки, s (t) – вектор гармоничес‑ких составляющих сигнала, n (t) – век‑тор шума измерений, t = t1,…, tM. В слу‑чае, когда на решётку воздействует одинисточник излучения в отсутствие шума,

Корреляционнаяматрицаизмеренийприэтомимеетвид

(2)

Рис. 1Структурная схема одного из N каналов широкополосного приёмника

Улучшение структуры частотно-избирательного приёмника широкополосного диапазона

Page 70: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

70 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

где – разностьфазмеждуj-миi-мдат‑чикамисоответственно[2].

Таким образом, многоканальная изме‑рительная система должна обеспечиватьизмерение совокупностей разностей фазмеждуканалами,авобщемслучаеиамп‑литудусигнала.Алгоритмрасчётадляпри‑ёмникасосверхширокополоснойцифровойобработкойсигналовприведёнвстатье[3].

Применение цифровых фильтров ра‑диочастотных сигналов в совокупностисалгоритмамивысокогоразрешениявСВЧширокополосныхприёмникахпозволяетдо‑стигнутькачественноновыхсвойств:

– высокой частотной избирательностиичувствительности;

– повышения помехозащищённостивплотнойрадиолокационнойобстановке;

– технологическихпреимуществ.На рис. 2 отображены результаты, по‑

лученныев ходепрактическойреализации

проекта(подаютсядванепрерывныхсигна‑лачерезсумматорсослаблением6дБ;сиг‑налызаметноотличаютсяпочастоте).

ЗаключениеПроведённая экспериментальная про‑

верка подтвердила работоспособность реа‑лизованнойконструкциииприменённыхал‑горитмов,показалавозможностьполучениявысоких характеристик точности при изме‑ренииблизкихчастот,разностейфаздляне‑прерывныхсигналоввсочетаниисосверхши‑рокополосностьюивозможностьюобработкинесколькихсигналов[3].Полученныерезуль‑татыбудутпримененываппаратно‑програм‑мном комплексе, который предполагаетсяиспользоватьвперспективныхразработкахсверхширокополоснойсистемы, требующейвысокиххарактеристикпобыстродействию,высокойточностиизмеренияразностифаз,несущейчастотыиамплитудысигналов.

Рис. 2результаты подачи двух непрерывных сигналов на разных частотах

через сумматор с ослаблением 6 дба – два непрерывных сигнала через сумматор с ослаблением 6 дБ, частоты 91,150 и 100,000 МГц;

б – два непрерывных сигнала через сумматор с ослаблением 6 дБ, частоты 21,35 и 30,00 МГц; в – два непрерывных сигнала через сумматор с ослаблением 6 дБ, частоты близкие – 18,10 и 21,05 МГц; г – два непрерывных сигнала через сумматор с ослаблением 6 дБ, частоты очень близкие – 18,10 и 18,30

МГц; сигналы неразрешимы по Релею, измеряем 18,19 МГц [3]

Гасилин Д. В.

Page 71: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 71

Литература1. James Tsui, Chi‑Hao Cheng. Digital Techniques

for wideband receivers, 3rd Edition. – SciTech Publishing, 2015. – 609 p.

2. Ansari R., Liu B. Multirate signal processing, in Mitra SK, Kaiser JF (eds.), Handbook for Digital Signal Processing. – New York: John Wiley & Sons, 1993.

3. Гасилин Д. В., Котельников В. Г. Алгоритм для частотно‑избирательного приёмника со сверхширокополосной цифровой обработкой сигналов / / Электронная техника. Серия 2. По-лупроводниковые приборы. – 2016. – Вып. 3 (242). – С. 22‑26.

References1. James Tsui, Chi‑Hao Cheng. Digital Techniques

for wideband receivers, 3rd Edition. – SciTech Publishing, 2015. – 609 p.

2. Ansari R., Liu B. Multirate signal processing, in Mitra SK, Kaiser JF (eds.), Handbook for Digital Signal Processing. – New York: John Wiley & Sons, 1993.

3. Gasilin D. V., Kotelnikov V. G. Algorithm for frequency‑selective receiver with ultra‑wideband digital signal processing. Elektronnaya tekhnika. Ser. 2. Poluprovodnikoviye pribory [Electronic engineering. Ser. 2. Semiconductor devices], 2016, 3 (242), pp. 22‑26.

Улучшение структуры частотно-избирательного приёмника широкополосного диапазона

Page 72: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

72 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

УДК629.783

выСокопроизводителЬный алгоритм предварителЬной ЦиФровой обработки видеоинФормаЦии

при аЭрокоСмиЧеСком мониторинге динамиЧеСких объектов

в. в. василевский1, а. н. михоленок2, а. С. Жебель2

1ФГОУ ВПО МАИ (НИУ), 125993, г. Москва, Волоколамское шоссе, д. 4 2АО «НПП «Пульсар», 105187, г. Москва, Окружной пр., 27

Исследуется проблема повышения эффективности применения аэрокосмических комплексов монито-ринга при выявлении динамических объектов. Предложен подход к выполнению этой задачи, обеспечива-ющий построение высокопроизводительного вычислительного алгоритма цифровой обработки кадров изображения с использованием детерминированной двумерной модели оценивающего функционала. При-ведена схема вычислительного алгоритма.

Ключевые слова: аэрокосмический комплекс мониторинга, алгоритм цифровой обработки изображе-ний, кластер, оценивающий функционал

Сведения об авторах: Василевский Валерий Владимирович, к.в.н., доцент, [email protected]; Михоленок Александр Николаевич, д.т. н., [email protected]; Жебель Александр Сергеевич, аспирант, [email protected].

……………………………………………………………………

high-PErFormancE algorithm For PrEliminary digital ProcESSing oF vidEo inFormation

For aEroSPacE monitoring oF dynamic objEctS

v. v. vasilevsky1, a. n. mikhоlyonok2, a. S. Zhebel2

1Moscow Aviation Institute (National Research University), 125993, Moscow, 2JSC «S&PE «Pulsar», 105187, Moscow, Okruzhnoy proezd, 27

The problem of increasing the efficiency of aerospace monitoring complexes for the detection of dynamic objects is investigated. The approach to this task is proposed, which provides creation of a high-performance computational algorithm for digital processing of image frames using the deterministic two-dimensional model of the evaluating functional. The scheme of the computational algorithm is given.

Keywords: aerospace monitoring complex, digital image processing algorithm, functional evaluating cluster.

Data of Authors: Valeriy Vladimirovich Wasilewski Vasilevsky, Ph. D., Associate Professor, [email protected]; Aleksandr Nikolaevich Miholenok Mikhalyonok, Doctor of Engineering, [email protected]; Zhebel Aleksandr Sergeevich, postgraduate, [email protected].

Введение. Постановка задачиОдной из существенных проблем при‑

мененияаэрокосмическиихкомплексовмо‑ниторинга(АКМ)привыявленииодиночныхдинамических объектов является повыше‑ниеоперативностиобработкиизображений,

получаемых с использованием матричногофотоприёмного устройства (ФПУ) видимо‑го спектрального диапазона. Возможноенаправление её решения связано с реали‑зациейтехнологийбортовойавтоматизиро‑ванной обработки данных дистанционногозондирования Земли (ДЗЗ) в режиме ре‑

Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018, с. 72-77Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018, pp. 72-77

Page 73: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 73

альноговремениипоследовательногопри‑нятиярешенийпорезультатамвыполнениякаждогоизэтаповобработки.

Остановимсяназадачереализациипер‑вогоэтапаполученияданныхДЗЗприАКМ,включающеговыполнениезадачпопредва‑рительнойцифровойобработкеполучаемойвидеоинформацииивыявлениюодиночныхдинамических объектов. К классу одиноч‑ныхдинамическихобъектоввпроцессеАКМздесь будем относить технические средс‑тва, характеризуемые определёнными гео‑метрическимипараметрамииизменяемымвовременивекторомсостояния.

Возможнорешениеданнойзадачисис‑пользованиемодноуровневоймоделиизоб‑ражения, обеспечивающейвыделениекон‑туров при обработке кадра изображенияоднимизлокальныхоператоров–Лапласа,Собеля,Робертсаи другими.В результатевыполненияэтойвычислительнойпроцеду‑рывыделяетсявысокочастотная(контурная)составляющая этого изображения, котораязатемдобавляетсякизображениюснекото‑рымвесом[1].Приэтомформируетсямно‑жество контурных линий, что значительноусложняеталгоритмпринятиярешений.

Известенподходкрешениюзадачана‑лизасценизображенийисегментациитекс‑турногополянаосновеиерархическойдвух‑уровневойвероятностноймоделиансамбляизображения[2].Еёверхний(управляющий)уровень описываетсямарковскоймодельюрисункаграниц,разделяющихобластипоч‑ти постоянной яркости, нижний – гаусс‑од‑номарковскимслучайнымполем.Приэтомрешаетсязадачастатистическогооценива‑нияэтихпараметровпомаксимумуапосте‑риорнойвероятности.

Врядеработпредложеныалгоритмыоб‑работкиизображенийнаосновеоценкигра‑диента структурного тензора, структурно‑лингвистического распознавания образовназемныхсцен[3,].

Целью настоящей работы является ис‑следованиевозможностипостроениявысо‑копроизводительногоалгоритмацифровойобработкивидеоинформацииДЗЗивыде‑

ления одиночных динамических объектов,основанного на детерминированной моде‑лиизображенияиоценивающегофункцио‑нала[].

Входными данными системы обработкиявляютсятекущийкадрвидеоизображения,параметры фонообъектовой обстановки;выходными – выделенный объект в видебинарного кластера его элементов. Приэтом моделью подобного кластера являет‑ся конечное множество бинарных элемен‑тов(пикселей)изображения,принимающихзначения «1» в пределах контура объектаизначение«0»внеэтогопространства.Та‑ким образом, результаты этапа предвари‑тельной обработки видеоинформации ДЗЗпредставляютмножествообластейпостоян‑нойяркости(«1»или«0»)[6].

Построение модели изображенияПридетерминированномпредставлении

модельизображенияu (x, y)–действитель‑наяфункциядвухпеременных(x, y) W,при‑надлежащаяметрическомупространствуM,элементыкоторогоотражаютсущественныехарактеристикиреальныхизображений[7].

Во‑первых, положительности и ограни‑ченностифункции:

(1)

где Um – известное значение положитель‑ной константы для определённых условийаэрокосмическогомониторинга.

Модельизображениявида(1)необходи‑мо дополнить ограничениями на изменчи‑востьфункцииu (x, y),оцениваемойспомо‑щьюфункционалаΩ(u).

Во‑вторых,ограниченностиполнойвари‑ациифункции:

(2)

где –множеството‑чекстрокиyизображенияx [a, b]прифик‑сированномзначенииy [c, d].

Дляоценкиизменчивостивидеоизобра‑женияможет быть использован оцениваю‑щийфункционалΩ(u),определяемыйнор‑мойэлементаu (x, y)впространстве ,

Высокопроизводительный алгоритм предварительной цифровой обработки видеоинформации при аэрокосмическом мониторинге динамических объектов

Page 74: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

7 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

который является одномерным прификси‑рованномзначенииy [c, d].

Развитием детерминированной моделиизображения является построение оцени‑вающегофункционаладвумернойфункцииu (x, y)сполнойвариацией(вариациейТо‑нелли)напрямоугольнике(кадре)размеромD =[a, b]×[c, d]вида:

(3)

где –

полнаявариацияфункцииu (x, y) напрямо‑угольнике(кадре)D.

С учётом подхода, предложенногоА.С.Кронродом,двумернаямодельоценива‑ющегофункционалаопределяетсясоответс‑твеннолинейнойV1 (u)иплоскойV2 (u)вари‑ациямифункцииизображенияu (x, y):

()

гдеV0 (ul) – нулевая вариациямножества,ul–числокомпонентмножестваul(уровняlфункцииu (x, y));

()

гдеV1 (u1)–вариацияпервогопорядкамно‑жества,ul–длина(поХлусдорфу)множест‑ваul(уровняlфункцииu (x, y)).

При этомфункцииV0 (ul),V1 (u1) изме‑римыпоЛебегу,афункционалыV1 (u1),V2 (u1)раскладываютсявразностьдвухмоно‑тонныхфункций.

Используемый подход к решению задачиРешение задачи выделения кластеров

в кадре изображения сводится к нахожде‑ниюоценокфункционалаΩ(u),измеряюще‑гоизменчивостьизображения,черезполнуювариациюфункцииU = u (x, y) M (D):

Ω(u) = V1 (u) + V2 (u). (6)

Дляпрактическогопримененияфункци‑онала Ω (u) целесообразно использоватьсмысловыехарактеристикивариацийклас‑теров–линейнуювариацию,определяемуюзначением длины контура, плоскую вариа‑цию–значениемплощади:

(7)

Еслиположитьнепрерывнуюдифферен‑цируемость двумернойфункции изображе‑ния u (x, y) на прямоугольнике (кадре) D,чтообычновыполняется,тооценкаегоиз‑менчивостиопределяетсяследующимфун‑кционаломΩ(u):

(8)

Для используемой двумерной моделиизображения,представляемойсуммойкон‑турнойи гладкой составляющихвидаu (x, y) = φ (x, y)+ s (x, y),функционалΩ(u)оп‑ределяетсясоотношением

Ω(u) = d (l, D) + S (u, D), (9)

котороепредусматриваетполучениеоценокдвух параметров – длины d (l, D) контураиплощадиS (u, D)кластеров.

В зависимости от содержания задачобработки данных ДЗЗ, условий фоно‑объектовой обстановки в вычислительномалгоритме реализуется одно‑ или двухпа‑раметрическая процедура определенияфункционалаΩ(u).

Вычислительный алгоритм цифровой обработки видеоинформации

Предлагаемый высокопроизводитель‑ный алгоритм цифровой обработки видео‑информации ДЗЗ при выделении одиноч‑ныхобъектовмониторингапредусматриваетследующую последовательность процедури вычислительных операций (рис. 1): счи‑тываниекадравидеоизображениясвыходаФПУ,преобразованиевполутоновоеизоб‑ражение; скользящая по строкам и столб‑цампороговаяобработкаэлементовполуто‑новогоизображения;сортировкаислияниеэлементовизображениявкластеры,оцени‑вание линейной и плоской вариаций клас‑теров; фильтрация и выделение кластераобъектамониторинга,передачапараметроввыделенного кластера объекта в модулькомплексногоанализаданныхДЗЗ.

Василевский В. В., Михоленок А. Н., Жебель А. С.

Page 75: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 7

Рис. 1Схема вычислительного алгоритма

Рис. 2тестирование вычислительного алгоритма (полученный кадр ви

и выделенный кластер объекта соответственно)

Высокопроизводительный алгоритм предварительной цифровой обработки видеоинформации при аэрокосмическом мониторинге динамических объектов

Page 76: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

76 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

На рис. 2 приведён тестовый вариантоценки эффективности вычислительногоалгоритма при мониторинге динамичес‑кого одиночного объекта, при реализациикоторого выделен на кадре изображенияиклассифицированпогеометрическимпа‑раметрам, динамике изменения векторасостоянияитипуместности(фоновойобста‑новки)кластер«судно».

Исследована возможность созданиябортового специализированного вычисли‑тельногоустройстваАКМнабазеоднород‑ныхвычислительныхсред(ОВС),функцио‑нирующегопопринципуавтомата[7].Выборего функционального построения обеспе‑чивает распараллеливание вычислитель‑ных процедур и получение данных по оди‑ночным объектам мониторинга в режимереального времени. Оперативность обра‑боткидвоичнойинформациинепревышаетвеличинымспритактовойчастотеМГц,которая обеспечивается ПЛИС. Реальныйразмер вычислителя на ОВС может бытьдоведён до 100мм и определяется числомячеек структуры, интегрированных в крис‑талл ПЛИС. При тактовой частоте 20 МГцпотребляемаямощностьнепревышает20мВт,причастоте1,2МГц–1мВтнакрис‑таллОВС,чтоявляетсявполнеприемлемымдлябортовоговычислителя.

ЗаключениеВ работе рассмотрено решение задачи

АКМ при выявлении одиночных динами‑ческихобъектовнаосновереализацииал‑горитма цифровой обработки получаемойвидеоинформацииДЗЗврежимереальноговремени.

Анализ полученных результатов иссле‑дованияпозволяетсформулироватьследу‑ющиевыводы.

1. Двумерная модель оценивающегофункционалавидеоизображенияопределя‑етсялинейнойиплоскойвариациямифунк‑цииизображенияu (x, y).

2. Решение задачи выделения одиноч‑ныхдинамическихобъектовсводитсякпо‑точнойцифровойобработкеинахождению

геометрическихпараметровоценивающегофункционала кадра изображения. Высоко‑производительный алгоритм цифровойобработкиможетбытьреализованисполь‑зованием ОВС для выполнения вычисли‑тельныхпроцедуриопераций.

3. Построение оптимального алгоритмаполной предварительной цифровой обра‑боткиизображенийсвязаносегодальней‑шей формализацией на основе априорнойинформации о статистических характерис‑тикахфонообъектовойобстановки,атакжевыполнения комплексного анализа данныхДЗЗ.

Литература1. Шовенгердт Р. А. Дистанционное зондирование.

Модели и методы обработки изображений. – М.: Техносфера, 2010. – 560 с.

2. Безрук А. А., Лебедев Д. С. Выделение конту-ров на основе иерархической двухуровневой вероятностной модели ансамбля изображе-ний / / Иконика. Цифровая обработка видеоин-формации. – М.: Наука, 1989. – С. 5‑18.

3. Грузман И. С. Оценка эффективности алгорит-мов сегментации на основе градиента структур-ного тензора / / Известия ВУЗов. Радиоэлектро-ника. – 2012. – 2. – С. 22‑29.

4. Гнилицкий В. В., Инсаров В. В., Чернявский А. С. Алгоритм принятия решения в задаче се-лекции объектов на изображениях наземных сцен / / Известия РАН. Теория и системы управ-ления. – 2010. – 6. – С. 143‑151.

5. Милюкова О. П. Изображение как функция с ограниченной полной вариацией / / Иконика. Цифровая обработка видеоинформации. – М.: Наука, 1989. – С.19‑25.

6. Василевский В. В. Синтез алгоритма и устройс-тво оперативной автоматизированной обработки данных аэрокосмического мониторинга / / Спе-циальная техника. – 2015. – 2. – C. 27‑43.

7. Василевский В. В., Михоленок А. Н. Метод и ал-горитм предварительной цифровой обработки изображений на основе однородных вычисли-тельных структур / / Электронная техника. Се-рия 2. Полупроводниковые приборы. – 2015. – Вып. 2‑3 (236‑237). – С. 43‑47.

References1. R. A. Shovengerdt. Remote sensing. Models and

methods of image processing. – M.: Tekhnosfera, 2010. p.560.

Василевский В. В., Михоленок А. Н., Жебель А. С.

Page 77: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 77

2. A. A. Bezruk, D. S. Lebedev. Edge detection on the basis of a two‑level hierarchical probabilistic model of images ensemble. Ikonika. Tsifrovaya obrabotka videoinformatsii [Ikonika. Video‑image digital pro-cessing]. M.: Nauka, 1989.‑128. pp.5‑18.

3. I. S. Gruzman. Evaluation of the efficiency of seg-mentation algorithms based on the gradient of the structural tensor. Izvestiya VUZov. Radioelektro-nika [Proc. of the Universities. Radio electronics]. 2012, No.2, pp.22‑29.

4. V. V. Gnilitsky, V. V. Insarov, A. S. Chernyavs-kiy, Decision‑making algorithm for the task of objects selection on terrestrial images. Izvestiya RAN. Teoriya i sistemi upravleniya [Proc. of RAS. Management theory and systems]. 2010. No.6. pp.143‑151.

5. O. P. Milyukova. Image as a function with bounded total variation. Ikonika. Tsifrovaya obrabotka vi-deoinformatsii [Ikonika. Video‑image digital pro-cessing]. M.: Nauka. 1989. – pp.19‑25.

6. V. V. Vasilevsky. Algorithm synthesis and the device for operative automated processing of aerospace monitoring data. Spetsialnaya Tekhnika [Special Equipment]. 2015. No.2. pp.27‑43.

7. V. V. Vasilevsky. A. N. Mikholenok. The method and the algorithm for digital pre‑processing of images based on uniform computational structures. Elek-tronnaya tekhnika. Seriya 2. Poluprovodnikoviye pribory [Electronic Engineering. Series 2. Semi-conductor Devices] Scientific and technical jour-nal. Issue 2‑3 (236‑237). 2015. pp.43‑47.

Высокопроизводительный алгоритм предварительной цифровой обработки видеоинформации при аэрокосмическом мониторинге динамических объектов

Page 78: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

78 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

правила оФормления авторСких материалов для Журнала

1. Журнал «Электронная техника. Серия 2. Полу-проводниковые приборы» – информационное науч-но-техническое издание, рассчитанное на специалис-тов твердотельной электроники и смежных отраслей науки и техники. В журнале публикуются материалы по разработке и производству полупроводниковых СВЧ приборов, интегральных схем, приборов силовой и фотоэлектроники, твердотельных модулей РЭА, фи-зическому и технологическому моделированию полу-проводниковых приборов, технологии создания, мето-дам измерения и испытаний, экономике и организации производства изделий твердотельной электроники.

2. Журнал публикует статьи, обзоры, краткие сооб-щения, письма в редакцию, информацию о конферен-циях, совещаниях, семинарах, тематических выставках, сообщения о новых книгах и рецензии на них.

3. Материалы должны содержать новые результа-ты исследований, не предоставленные ранее к печати в других изданиях, и представлять интерес для чита-телей.

4. Вместе со статьёй в редколлегию предоставля-ются официальное направление от учреждения, в ко-тором выполнена работа, и документ подтверждающий возможность открытой публикации (акт экспертизы).

5. Все материалы предоставляются в двух вариан-тах: электронная копия (в формате MS Word) по элек-тронной почте – [email protected] и бумажный оригинал (рукопись подписывают все авторы) по адре-су – 105187, г. Москва, Окружной проезд, д. 27.

6. Текст должен быть выполнен в редакторе MS Word, формат страницы – А4, ориентация – книж-ная, шрифт – Times New Roman, кегль – 12, интер-вал – 1,5. Поля: левое – 25 мм, правое – 15 мм, верхнее и нижнее – 30 мм. Страницы нумеруются.

7. Название статьи не должно содержать сокраще-ний и условных обозначений.

8. Следует строго соблюдать единообразие терми-нов, единиц измерения, сокращений, условных обоз-начений. Все буквенные обозначения должны быть расшифрованы при первом упоминании.

9. Формулы следует печатать с новой строки. Нумерация строчных формул – сквозная, в круглых скобках, прижатых к правому краю. Простые фор-мулы выполняются с помощью функции Вставка Символ. Сложные формулы выполняются в редакто-ре формул MS Word. Не принимаются формулы, вы-полненные в виде рисунков. Расшифровка буквенных обозначений формул в тексте должна быть выполнена

в текстовом редакторе. Не допускается расшифровка буквенных обозначений формул в редакторе формул. Буквы латинского алфавита, обозначающие физи-ческие величины, набираются курсивом, цифры при этом – прямым шрифтом. Буквы греческого и русского алфавитов набираются шрифтом прямого начертания. Шрифтом латинского алфавита в прямом начертании набираются: числа подобия, все математические функ-ции, температурные значения, условные математичес-кие сокращения, химические элементы и соединения.

10. Иллюстративные материалы (рисунки, черте-жи, схемы и т. д.) должны быть размещены в тексте как объекты MS Word, а также прилагаться отдельны-ми файлами, выполненными в графических редак-торах Adobe Illustrator, Adobe Photoshop, Corel Draw, Microsoft Project, Origen Pro, MS Office. Фотографии должны быть выполнены в формате tiff с разрешени-ем 300 dpi (цветовая кодировка CMYK). Иллюстрации должны быть выполнены таким образом, чтобы все точки и кривые были видны и не слились при умень-шении. Количество рисунков должно быть минималь-ным и пропорциональным объёму статьи. Обозначе-ния в рисунках должны быть выполнены на русском языке.

11. Цифровые данные оформляются в таблицу. Каждая таблица должна иметь порядковый номер и на-звание. Сокращения слов в таблицах не допускаются, за исключением единиц измерения.

12. Ссылки на литературные источники в тек-сте приводятся в квадратных скобках, в конце ста-тьи – библиографический список. Список приводится на русском языке (Литература) и оформляется в со-ответствии с требованиями ГОСТ 7.0.5-2008 «Библио-графическая ссылка. Общие требования и правила составления» и на английском языке (References) в со-ответствии с требованиями международных БД.

13. Помимо текста статьи автором предоставляют-ся в электронном виде на русском и английском язы-ках: индекс УДК; название статьи; краткая (до 500 пе-чатных знаков) аннотация; ключевые слова (в среднем 5-7); сведения об авторах (полное ФИО всех авторов, учёная степень, звание, полное название организации в именительном падеже, адрес организации, адрес электронной почты авторов, контактный телефон).

14. Решение о принятии статьи к публикации, дора-ботке или отказе принимается редколлегией, о чём со-общается автору.

15. Плата за публикацию рукописей не взимается.

Page 79: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

Electronic engineering. Series 2. Semiconductor devices. Issue 1 (248) 2018 79

Formal rulES For PErSonal worKS SubmittEd to journal

1. Journal «Electronic Engineering. Series 2. Se-miconductor Devices» is an informational research and scientific edition for solid-state electronic reseachers and engineers. It contains materials on research and production of microwave semiconductor devices, integrated circuits, power and photo-electronic devices, solid-state radio-electronic modules, physical and technological modeling of semiconductor devices, production techniques, mea-suring and testing methods, economics and management of solid-state electronic production.

2. Journal is publishing review articles, discussion articles, short reports, letters to Editors, information about conferences, meetings, seminars, thematic exhibitions, notifications on a new books and their reviews.

3. Articles must comprise relevant research results, not published previously in other editions and must be interest for the audience.

4. Articles must be submitted with formal reference from the institution in which it was executed and a document confirming the permission on publication (certificate of examination).

5. All materials (articles, letters, etc.) should be submitted in digital format (MS Word file) on the e-mail of the Editor – [email protected] and on paper (manuscript should be signed by all authors) sent to the address – 105187, 27, Okruzhnoy proezd, Moscow.

6. Text requirements: MS Word format, page size A4, portrait layout, font – Times New Roman, size 12 with half-line spacing. Fields: left 25 mm, right 15 mm, upper and lower 30 mm. Pages should be numbered.

7. Article title should not include abbreviations and conventions.

8. Uniformity of terms, units of measurement, abbre-viations and conventions should be strictly observed. All abbreviations should be explained with the first mention-ing.

9. Formulas should be printed starting from a new text line. Formulas should be numbered sequentially, made in round brackets, centered to the right. Simple formulas are executed with command «Insert Symbol». Complex formulas are executed in the editor of MS Word. Formulas made as images are forbidden. Transcription of alphabetical marks in formulas in text should be made in text editor.

Transcription made in editor for formulas is forbidden. Letters of Roman alphabet denoting the physical quantities should be made in italics, and numbers – with standard font. Letters of Greek and Russian alphabet should be in direct inscription font. Font of the Latin alphabet in direct Inscription is used for: similarity numbers, all mathematic functions, thermal values, conditional mathematic reductions, chemical elements and compounds.

10. All illustrations (figures, schemes, graphs, etc.) should be placed within the text as MS Word objects and be attached in separate files, made in image editor programs Adobe Illustrator, Adobe Photoshop, Corel Draw, Microsoft Project, Origen Pro, MS Office. Photographs should be made in tiff format with resolution of 300 dpi (color code CMYK). Illustrations should be made in a way that all points and curves are visible and not merging together in a case of decreasing picture size.

Number of illustrations should be minimal and in proportion with the volume of an article. All clarifications in illustrations should be made in Russian.

11. Numeric data should be presented in tables. Each table should have serial number and name. Abbreviations in tables are forbidden with the exception of units of measurement.

12. All references in text should be in squared brackets, with bibliographic list at the end of the article. List should be made in Russian (Литература) in accordance with the requirements of GOST 7.0.5-2008 «Bibliography reference. General requirements and rules» and in English (References) in accordance with international DB (database).

13. In addition to the text author should provide in digital form in a separate file on Russian and English the following: UDC index, article title, short (not more than 500 characters) abstract; key words and word combinations (up to 5-7); information concerning authors (full names of all authors; scientific degree, title, full name of institution in nominative and its address, e-mail address of all authors and contact phone).

14. Editorial board is making a decision on accepting, sending to revision or rejecting the article for publication, which is then reported to the author.

15. No fee for publication of manuscripts is charged.

Page 80: Электронная техникаj.pulsarnpp.ru/images/journal/issues/2018/1_248/journal_1_2018.pdf · Журнал включён в Перечень ведущих рецензируемых

80 Электронная техника. Серия 2. Полупроводниковые приборы. Выпуск 1 (248) 2018

ИЗДАТЕль АО «НПП «ПУльСАР»Журнал издаётся с 1958 года, заслужил статус профессионального отраслевого издания, широко популярен

среди учёных и специалистов. На страницах издания публикуются статьи по разработке и производству полупро-водниковых приборов СВЧ диапазона, интегральных схем, приборов силовой и фотоэлектроники; физическому и технологическому моделированию, топологическому и схемотехническому проектированию; технологии изго-товления, измерениям и испытаниям; разработке и производству СВЧ твердотельных сложных функциональных блоков и модулей РЭА.

Журнал включён в Перечень ведущих рецензируемых научных журналов и изданий, в которых в соответс-твии с решением ВАК могут публиковаться основные научные результаты диссертаций на соискание учёной сте-пени доктора и кандидата наук.

Журнал включён в информационную систему «Российский индекс научного цитирования (РИНЦ)».Подписной индекс 59890 в каталоге АО Агентство «Роспечать» – «Издания органов научно-технической ин-

формации».Очередной выпуск [1 (248) 2018 г. ] журнала подготовлен в соответствии с принятыми по решению Президи-

ума ВАК Минобрнауки РФ критериями к научным периодическим журналам и изданиям для включения в Пере-чень, а также техническими требованиями РИНЦ.

На официальном сайте журнала (j.pulsarnpp.ru) в свободном доступе размещена информация об опублико-ванных статьях (авторы, название статьи, аннотация, ключевые слова, сведения об авторах на русском и англий-ском языках, а также библиографические ссылки).

Приглашаем Вас к сотрудничеству, просим высылать статьи, а также рекламный материал и сообщения для публикации по тематике журнала.

Научно-технический журнал «Электронная техника. Серия 2. Полупроводниковые приборы» распространя-ется только по подписке.