Оформленный диплом

136
98 Утв. Н. контр. Провер. Разраб. Изм Инв. подп. Подпись и дата Взаим. инв Инв. дубл. Подпись и дата Роженцов А.А. Григорьев А.Г. И. Дата Подпись № документа Лист КНФУ.63.3100.001 ПЗ Разработка цифровой части РЛС обнаружения наземных объектов Пояснительная записка Лит. Лист Листов 3 МарГТУ РТб-42 Содержание Введение............................................. 2 Информационно-патентные исследования..................6 1 Теоретическая часть................................. 7 1.1 Общие сведения о РЛС.............................7 1.2 Методы формирования и обработки сигналов РЛС непрерывного излучения............................... 8 1.3 Структурная схема РЛС...........................19 1.4 Описание и моделирование цифрового блока РЛС....23 1.5 Модернизация схемы цифрового блока РЛС..........28 1.6 Печатная плата Digilent Nexys2..................32 1.7 Расчет печатной платы на вибропрочность.........37 1.8 Расчет надежности по внезапным отказам..........39 2 Системно-схемотехническая часть....................45 2.1 Синтезатор манипулирующей кодовой последовательности для точного измерения дальности. .45 2.2 Разработка алгоритма программы для генератора грубого измерения дельности.........................50 2.3 Временные диаграммы работы программы............52 3 Технологическая часть.............................. 54 3.1 Разработка диагностирующего устройства .........54 4 Экономическая часть................................ 56

Transcript of Оформленный диплом

Page 1: Оформленный диплом

98

Утв.

Н. контр.

Провер.

Разраб.

ИзмИнв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Роженцов А.А.

Григорьев А.Г. И.

ДатаПодпись№ документаЛистКНФУ.63.3100.001 ПЗ

Разработка цифровой части РЛС обнаружения наземных объектов

Пояснительная записка

Лит. Лист Листов

3

МарГТУ РТб-42

Содержание

Введение..................................................................................................................2

Информационно-патентные исследования...........................................................6

1 Теоретическая часть.............................................................................................7

1.1 Общие сведения о РЛС..................................................................................7

1.2 Методы формирования и обработки сигналов РЛС непрерывного

излучения...............................................................................................................8

1.3 Структурная схема РЛС...............................................................................19

1.4 Описание и моделирование цифрового блока РЛС..................................23

1.5 Модернизация схемы цифрового блока РЛС.............................................28

1.6 Печатная плата Digilent Nexys2...................................................................32

1.7 Расчет печатной платы на вибропрочность...............................................37

1.8 Расчет надежности по внезапным отказам.................................................39

2 Системно-схемотехническая часть...................................................................45

2.1 Синтезатор манипулирующей кодовой последовательности для точного

измерения дальности..........................................................................................45

2.2 Разработка алгоритма программы для генератора грубого измерения

дельности.............................................................................................................50

2.3 Временные диаграммы работы программы...............................................52

3 Технологическая часть.......................................................................................54

3.1 Разработка диагностирующего устройства ...............................................54

4 Экономическая часть..........................................................................................56

4.1 Оценка трудоемкости разработки системы................................................56

4.2 Расчет затрат на разработку программного продукта...............................57

4.3 Расчет затрат на разработку устройства ....................................................63

4.4 Расчет полной себестоимости единиц проектируемого оборудования. .70

Page 2: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

5 Безопасность жизнедеятельности.....................................................................79

5.1 Введение........................................................................................................79

5.2 Анализ опасных производственных факторов..........................................81

5.3 Разработка мероприятий по улучшению условий труда при

эксплуатации стенда ..........................................................................................82

5.4 Расчёт искусственного освещения..............................................................87

Заключение.............................................................................................................91

Список сокращений...............................................................................................92

Список литературы................................................................................................93

Приложение А Листинг программы.................................................................96

Page 3: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Введение

Радиолокация представляет собой средство расширения возможностей

человека определять наличие и положение объектов за счет использования

явлений отражения радиоволн этими объектами. Ее ближайшим конкурентом

при выполнении этих функций является оптическая техника, включающая

телескопы, которые обладают высокой точностью и обычно имеют

фотографические регистрирующие устройства. Преимущество

радиолокационных средств по сравнению с оптическими состоит в том, что

радиолокационные устройства могут работать в темноте и сквозь облака,

обладают большой дальностью действия и позволяют определять дальность

до объекта со значительно большей точностью, нежели оптические

устройства. Хотя световые волны также являются электромагнитными, но в

радиолокации частота их намного ниже. Это позволяет применять

радиотехнические методы и схемы.

Получение информации в радиолокации сопряжено с наблюдением

некоторой области пространства. Технические средства, с помощью которых

ведется радиолокационное наблюдение, называются радиолокационными

станциями (РЛС) или радиолокаторами, а наблюдаемые объекты —

радиолокационными целями. Типичными целями являются самолеты,

ракеты, корабли, наземные инженерные сооружения и т. п.

В радиолокации наиболее часто измеряются дальность между целью и

РЛС, угловые координаты (азимут, угол места) и радиальная, относительно

радиолокатора, составляющая скорости движения. Азимут - это угол между

направлением на цель и северным направлением, измеренный в

горизонтальной плоскости. Угол места измеряется между вектором

наклонной дальности и его проекцией на горизонтальную плоскость. В

задачу радиолокационного наблюдения в некоторых случаях входит также

идентификация (распознавание) целей.

Page 4: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Системы радиолокации практически всегда входят в состав более

сложных суперсистем. Эти суперсистемы имеют важное военное и

народнохозяйственное значение и находят разнообразное применение: для

управления воздушным движением, в навигации самолетов, кораблей, в

геофизических и астрофизических исследованиях и др.

Системы радиолокации составляют информационную часть таких

суперсистем и функционируют совместно и во взаимной связи с другими

подсистемами суперсистемы (радионавигации, радиоуправления, передачи

информации).

Развитие радиолокации явилось важной частью технической революции

двадцатого века. Военная техника, использующая принципы радиолокации,

впервые была создана перед самым началом второй мировой войны и с этого

времени наблюдается быстрый и непрерывный прогресс в указанной

области. Поэтому модернизация цифровой части РЛС с помощью

программируемой логической интегральной схемой (ПЛИС) является в

настоящее время весьма актуальной и важной задачей для развития

радиолокации.

Современные цифровые устройства обычно изготавливаются в виде

одной интегральной микросхемы. ПЛИС являются одними из самых

перспективных элементов цифровой схемотехники.

В отличие от микропроцессоров, в ПЛИС можно организовать

алгоритмы цифровой обработки на аппаратном (схемном) уровне. При этом

быстродействие цифровой обработки резко возрастает. Достоинствами

технологии проектирования устройств на основе ПЛИС являются:

- минимальное время разработки схемы (нужно лишь занести в память

ПЛИС конфигурационный код);

- в отличие от обычных элементов цифровой схемотехники в ПЛИС

отпадает необходимость в разработке и изготовлении сложных печатных

плат;

Page 5: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

- быстрое преобразование одной конфигурации цифровой схемы в

другую (замена кода конфигурации схемы в памяти);

- для создания устройств на основе ПЛИС не требуется сложное

технологическое производство. ПЛИС конфигурируется с помощью

персонального компьютера на столе разработчика. Потому иногда эту

технологию называют «фабрикой на столе».

Page 6: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Информационно-патентные исследования

При разработке конструкции необходимо соблюдение патентной

чистоты - не использование действительных для нашей страны чужих

патентов без доказательства экономической оправданности их приобретения.

Любые технические разработки обладающие новизной, полезностью и

юридически соответственно оформленные находятся под охраной

международного права. Нарушение которого влечет за собой

ответственность. Кроме проверки патентной чистоты, конструктор должен

обеспечить патентоспособность своих разработок для патентования за

границей. Без предварительного изучения и отбора патентных материалов

нельзя вести разработку и модернизацию РЭА. Проведенный должным

образом патентный поиск предотвращает дублирование работы и напрасную

трату времени на поиск решений, разрабатываемых ранее.

В результате поиска может быть доказана нецелесообразность

проведения разработки, поскольку уже имеется требуемое решение,

зафиксированное в иностранном, или в отечественном патентах.. Объект

может быть патентно-чистым, но не патентоспособным, когда его

особенности давно описаны в технической литературе, открыто применялись

или в свое время были защищены патентами, уже потерявшими действие.

Объект может быть патентоспособным, но не патентночистым, если часть

его особенностей попадает под действие чьих-то патентов, а другие

особенности обладают существенной новизной. Патентные исследования

проводятся на всех стадиях разработки объекта. Их сущность заключается в

проведении ряда последовательных исследований на всех стадиях НИР, ОКР,

начиная от обоснования новой темы и кончая серийным производством

объекта исследований [6].

Page 7: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

1 Теоретическая часть

1.1 Общие сведения о радиолокационных станциях (РЛС)

Радиолокация - область науки и техники, объединяющая методы и

средства обнаружения, измерения координат, а также определение свойств и

характеристик различных объектов, основанных на использовании

радиоволн. Основное техническое приспособление радиолокации —

радиолокационная станция.

Различают активную, полуактивную, активную с пассивным ответом и

пассивную РЛС. Подразделяются по используемому диапазону радиоволн,

по виду зондирующего сигнала, числу применяемых каналов, числу и виду

измеряемых координат, месту установки РЛС.

Классификация. Выделяют два вида радиолокации:

Пассивная радиолокация основана на приёме собственного излучения

объекта;

При активной радиолокации радар излучает свой собственный

зондирующий импульс и принимает его отражённым от цели. В зависимости

от параметров принятого сигнала определяются характеристики цели.

Активная радиолокация бывает двух видов:

С активным ответом — на объекте предполагается наличие

радиопередатчика, который излучает радиоволны в ответ на принятый

сигнал. Активный ответ применяется для опознавания объектов,

дистанционного управления, а также для получения от них дополнительной

информации.

• С пассивным ответом — запросный сигнал отражается от объекта и

воспринимается в пункте приёма как ответный.

Для просмотра окружающего пространства РЛС использует различные

способы обзора за счёт перемещения направленного луча антенны РЛС:

• круговой

• секторный

Page 8: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

• обзор по винтовой линии

• конический

• по спирали

• "V" обзор

В соответствии с видом излучения РЛС делятся на:

• РЛС непрерывного излучения

• Импульсные РЛС

Радиолокация основана на следующих физических явлениях:

• Радиоволны рассеиваются на встретившихся на пути их

распространения электрических неоднородностях. При этом отражённая

волна, так же, как и собственно, излучение цели, позволяет обнаружить цель.

• На больших расстояниях от источника излучения можно считать, что

радиоволны распространяются прямолинейно и с постоянной скоростью,

благодаря чему имеется возможность измерять дальность и угловые

координаты цели.

• Частота принятого сигнала отличается от частоты излучаемых

колебаний при взаимном перемещении точек приёма и излучения, что

позволяет измерять радиальные скорости движения цели относительно РЛС.

• Пассивная радиолокация использует излучение электромагнитных волн

наблюдаемыми объектами, это может быть тепловое излучение,

свойственное всем объектам, активное излучение, создаваемое техническими

средствами объекта, или побочное излучение, создаваемое любыми

объектами с работающими электрическими устройствами.

1.2 Методы формирования и обработки сигналов РЛС непрерывного

излучения

Непрерывные сигналы используются главным образом в РЛС,

измеряющих скорости целей или обнаруживающих движущиеся цели среди

Page 9: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

неподвижных, а также в РЛС с очень большой дальностью действия. Ниже

перечисляются главные преимущества подобных РЛС.

1. Относительная простота схемы и конструкции. Так, например,

отсутствие модулятора и уменьшение питающих напряжений приводит к

тому, что вес передатчика непрерывных немодулированных колебаний

может составлять 25—50% веса импульсного передатчика такой же средней

мощности.

2. Отсутствие ближней «мертвой зоны». РЛС, использующие

непрерывные колебания, принципиально могут работать почти до нулевой

дальности. Минимальная дельность действия импульсных РЛС определяется

длительностью импульса и временем восстановления антенного

переключателя (устройства защиты приемника УЗП).

3. Более надежное, чем при импульсном излучении, выделение

сигналов подвижных целей на фоне сильных отражений от неподвижных

целей. Это объясняется тем, что при немодулированном излучении частотная

селекция оказывается более эффективной, чем при импульсном.

Основным недостатком непрерывных сигналов является трудность

развязки приемника и передатчика. Из-за высоких требований развязки в

большинстве случаев приходится использовать раздельные передающие и

приемные антенны, а зачастую и разносить их на относительно большие

расстояния.

Следует отметить, что эффективность любой радиотехнической системы

характеризует ее способность выполнять определенный комплекс задач в

заданных условиях. Количественной мерой эффективности, позволяющей

оценивать качество системы при работе в различных ситуациях, сравнивать

системы между собой и т.д., являются показатели качества системы.

Обоснованный выбор показателей качества имеет очень важное

значение при исследовании и проектировании радиотехнических систем. В

общем случае выбираемый показатель качества должен:

Page 10: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

- отражать основное назначение системы и соответствовать цели

исследования;

- быть количественным, чтобы сравнение систем было обоснованным;

- быть критичным по отношению к параметрам, определяющим его

значение;

- допускать достаточно простую физическую трактовку и, по

возможности, просто определяться;

- быть достаточно устойчивым, т.е. иметь малый разброс относительно

среднего значения.

Основной задачей, стоящей перед радиолокационными станциями (РЛС)

с селекцией движущихся целей (СДЦ), как известно, является обнаружение

целей, в том числе и на фоне пассивных помех, определение координат и

параметров их движения, а также сопровождение целей. Поэтому при

анализе РЛС с СДЦ основными являются целевые показатели

эффективности, учитывающие вероятность правильного обнаружения цели и

точность определения координат объектов при определенной помеховой

обстановке.

Для проведения радиолокационных наблюдений нам нужен передатчик,

чувствительный приемник с антенной, сигнал и какой-нибудь отражающий

объект. В настоящее время существует довольно много схем построения

радиолокационных станций, и каждой схеме соответствует тот или иной

принцип работы станции. Мы рассмотрим один из двух основных типов

радиолокаторов, которые непрерывно излучают радиоволны. Это станции с

непрерывным излучением.

Радиоволны — это электромагнитные колебания той или иной частоты.

Пусть мы излучаем сигнал на какой-то определенной частоте f. Тогда при

отражении от неподвижного препятствия принимаемое радиоэхо будет иметь

ту же частоту, а при отражении от движущегося объекта частота сигнала

изменится. Если объект приближается к нам, частота будет выше, если

удаляется, — ниже. Проявление этого эффекта на звуковых частотах,

Page 11: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

эффекта Допплера, можно наблюдать, когда проходит поезд, непрерывно

подающий гудки. Пока он приближается, мы слышим довольно высокий

звук, когда удаляется, звук становится ниже [1].

Эффект Допплера заключается в изменении частоты колебаний,

воспринимаемых приемником при взаимном перемещении излучателя и

приемника. Этот эффект упрощенно можно пояснить так. Если приемник и

излучатель неподвижны и излучается гармоническое колебание, то за

единицу времени приемник примет такое количество колебаний, которые

излучатся за это время. Если приемник и излучатель сближаются, то

приемник, «набегая» на распространяющиеся колебания, воспримет большее

число периодов колебаний за счет тех, которые не успели бы до него дойти,

если бы он был неподвижным. При удалении приемника от излучателя он

«убегает» от части периодов колебаний. В результате при сближении с

излучателем приемник воспримет частоту более высокую, чем излученная, а

при удалении - более низкую. Разность частот излучаемых и принимаемых

колебаний называют допплеровской частотой. Определим ее величину.

Пусть сигнал передатчика u(t) = U cosω0t, а приемник движется по

направлению к передатчику со скоростью υ. Фаза колебаний, принимаемых

приемником φпр1 отличается от фазы излучаемых колебаний ω0t, из-за

запаздывания, вызванного конечным временем распространения радиоволн

от передатчика до приемника t з 1 = D(t)/c , и равна

φпр1 = ω0(t – tз1 ) = ω0 [ t – D(t)/c ) ], (1.1)

где D(t) – расстояние между передатчиком и приемником в момент времени t.

Частота принимаемых сигналов

ωпр 1=dφпр1

dt=ω0[1−dD (t )

cdt ]=ω0(1− υc). (1.2)

Согласно этой формуле допплеровская частота

Fд=1

2 π(ωпр 1−ω0 )=

ω0 υ

2 πc= υ

λ , (1.3)

Page 12: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

где λ = ω0

2 πc - длина волны. Аналогично определяется допплеровская частота

и в случае, когда цель перемещается относительно РЛС с радиальной

составляющей скорости υr :

Fд = 2 υr / λ. (1.4)

υr берется со знаком “ + “, когда цель приближается к РЛС, и со знаком

“—“, когда удаляется от нее [2].

РЛС непрерывного излучения используются в основном для

определения радиальной скорости движущегося объекта. Достоинством РЛС

такого типа является дешевизна и простота использования, однако в таких

РЛС сильно затруднено измерение расстояния до объекта.

Для радиолокации одной из основных задач в режиме обзора

пространства является обнаружение целей. Отраженные объектами сигналы

обнаруживаются на выходе приемника специальным устройством -

обнаружителем. Так как отраженные сигналы маскируются собственными

шумами приемника и внешними помехами и искажаются приемным трактом,

а на процедуру обнаружения обычно отводится ограниченное время,

решение этой задачи требует использования теории статистических решений.

Шумы и помехи являются случайными процессами, поэтому задача

обнаружения ставится следующим образом: пусть наблюдаемый процесс

может быть либо помехой (шумом) у(t)=n(t) либо смесью сигнала с шумом

y(t)=u(t)+n(t).

Сложные или энергоемкие сигналы позволяют разрешать

противоречивые требования повышения дальности обнаружения и

разрешающей способности (чем меньше миним. расстояние, тем

выше разрешающая способность станции подальности или расстоянию.).

Дальность обнаружения повышается при использовании зондирующих

сигналов с большой энергией Е. Увеличение Е возможно за счет увеличения

либо мощности, либо длительности сигнала. Пиковая мощность в РЛС

ограничена сверху возможностями генератора радиочастоты и особенно

Page 13: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

электрической прочностью фидерных линий, соединяющих этот генератор с

антенной. При использовании ФАР пиковая мощность ограничена

максимальной мощностью модулей ФАР. Следовательно, проще повышать Е

путем увеличения длительности сигнала. Однако сигналы большой

длительности не обладают хорошим разрешением по дальности. Сложные

сигналы с большой базой могут разрешить эти противоречия. В настоящее

время широко используются два вида сложных сигналов: линейно-частотно-

модулированные (ЛЧМ) и дискретно-кодированные (ДКС).

Дискретное кодирование сигналов можно выполнять по фазе, частоте и

амплитуде как раздельно, так и одновременно. Обычно ДСК разделяют на

кодированные по амплитуде (АДКС), частоте (ЧДКС) и фазе (ФДКС).

Дискретно-кодированный сигнал представляет собой радиоимпульс

длительностью Т с , состоящий из N более коротких импульсов-элементов

(дискретов) длительностью τс , плотно примыкающих друг к другу.

Аналитически ДКС можно записать так:

Umi=[∑i=1

N

ai Umi ( t ) exp[ j [ (ω0+ωi) t+φ i]] при 0≤ t ≤T c

0при других значениях t ] (1.5)

где a i , ωi , φ i−¿параметры кодовой последовательности дискретов {τ i } ,

которая может содержать коды {ai , ωi , φ i }; i=1,2 , …, N - номер дискрета кодовой

последовательности. N−¿ число дискретов в сигнале; Umi (t ) - импульс

стандартной амплитуды длительностью τ k (длительность элемента кода).

Umi=[Um [ t−(i−1)τ k ] при ( i−1 ) τ k ≤t ≤i τ k

0 при других значениях t (1.6)

При этом длительность сигнала составляет T c=N τ k .

Чаще других используются ФДКС или, так называемые фазо-кодо-

модулированные (ФКМ) и фазо-манипулированные (ФМ) сигналы.

Фазоманипулированными сигналами называют импульсные или

Page 14: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

непрерывные сигналы с многократными скачками фазы несущей. В этом

случае {θ i }={φ i } , a i=1 , ωi=0 и получаем:

U (t )=[∑i=1

N

U mi ( t ) exp[ j (ω0 t+φ i) ]при0 ≤ t ≤ N τ k

0при других значениях t ] (1.7)

При ФМ сигналах можно ожидать эффективных помех, создаваемых

путем изменения фазы сигнала, т. е. искажения кода. Например, одним из

факторов является задержка сигнала по времени. Из инвариантности

согласованного фильтра по отношению к времени появления сигнала,

вытекает, что помеха, создаваемая путем задержки ФМ сигнала по времени,

будет проходить через согласованный фильтр так же, как и полезный сигнал.

Согласованные фильтры широко применяются в радиолокации. Они

являются неотъемлемой и важнейшей частью оптимальных устройств

обнаружения и измерения параметров сигналов. Поскольку в этом случае они

выполняют одну из основных операций обработки сигналов, иногда их

называют оптимальными. Кроме обеспечения максимального отношения

сигнал/шум, важным свойством согласованного фильтра является сжатие

сложного сигнала по времени (обострение пика сигнала) или сжатие спектра

сложного сигнала, благодаря чему согласованные фильтры могут выполнять

роль главных элементов схем селекции по дальности и скорости, а также

дискриминаторов, с помощью которых определяются величины смещения

сигналов по времени запаздывания (дальности) и допплеровской частоте

(скорости).

Поэтому коэффициент сжатия сигнала по времени согласованным

фильтром равен произведению эффективной длительности сигнала на

эффективную ширину спектра. Иными словами, согласованный фильтр

сильно укорачивает сложные сигналы и не укорачивает простые. У

большинства простых сигналов при прохождении через согласованный

фильтр становится лишь более «острым» пик сигнала. Длительность простых

Page 15: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

и сложных сигналов по нулевому уровню на выходе согласованного фильтра

в два раза больше длительности сигнала на входе фильтра.

Один согласованный фильтр выделяет сигнал только одной

определенной формы, на которую он рассчитан, и не при всех изменениях

параметров сигнала фильтр остается согласованным. Поэтому для выделения

неизвестного сигнала с неизвестными параметрами приходится применять

набор согласованных фильтров или один многоканальный согласованный

фильтр. Число фильтров или каналов и параметры, по которым должен

осуществляться поиск, зависят от формы зондирующего сигнала, параметров

пели и схемы фильтра. Пассивный фильтр многоканален по допплеровской

частоте (скорости). Фильтры, использующие активные цепи и цепи с

переменными параметрами, многоканальны по запаздыванию и

допплеровской частоте (многоканальны по дальности и скорости).

Согласованные фильтры выделяют только те сигналы, начало и конец

которых фиксированы. В радиолокации это условие выполняется, поскольку

для приема сигналов от одной цели всегда выделяется ограниченное время.

Число значений n, которые принимают начальные фазы элементов кода,

называется основанием кодовой последовательности. При п = 2 имеем

бинарную последовательность.

Бинарная ФКМ-последовательность получается, когда начальная фаза φ

элемента принимает одно из двух значений 0 или π. Тогда код можно

задавать в виде последовательности значений фазы {φi }= {0 , π } либо в виде

последовательности оператора {С i }= {exp(i φ i)}= {+1 ,−1 }, либо в виде

последовательности символов {d i }=¿ {1,0 }.

Формирование бинарной кодовой последовательности сводится к

заданию дискретных значений φ i , С i и d i, представленных в табл. 1.1.

Таблица 1.1

φ i С i d i

0 +1 0

π -1 +1

Page 16: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Логика символов d iопределяется по правилу:

X⨁Y ={ X+Y ,если → X+Y <mod 2 ,X+Y−mod 2 ,если X+Y ≥ mod 2 (1.8)

Для увеличения коэффициента сжатия K сж=T c /τ k и, следовательно, для

улучшения разрешения целей по дальности и скорости, а также для снижения

уровня боковых лепестков применяют линейные рекуррентные кодовые

последовательности, практически не имеющие ограничения по длительности

кода.

В качестве рекуррентных кодовых последовательностей часто

используют М-последовательности или коды максимальной длины, которые

образуются с помощью рекуррентных соотношений, что позволяет

формировать их на регистрах сдвига, охваченных обратными связями.

Подразделяют М-последовательности на периодические, когда период

повторения кода Т п равен его длительности Т с¿ = Т с¿, и непериодические

(усеченные), когда Т п больше Т с¿ > Т с¿. Наиболее часто М-

последовательность задают в виде последовательности символов di.

Для основания 2 значение текущего символа di, кодовой последова-

тельности зависит от m предыдущих символов и рассчитывается по

представленной формуле 1.8.

d i=∑i=1

m

ai dm−i=a1d i−1⨁…⨁am d i−m (1.9)

где a iи d i могут быть равны 0 или 1.

Величина m называется памятью кодовой последовательности и

определяет количество ячеек в регистре сдвига, формирующем код. При

формировании кодовой последовательности задают произвольный на-

Page 17: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

чальный блок или начальную комбинацию символов кода, состоящую из т

символов.

Перечислим некоторые основные свойства М-последовательностей:

1) М-последовательности содержат 2 m−1элементов и имеют дли-

тельность T c=τ k (2m−1);

2) сумма двух М-последовательностей по модулю 2 в символах di дает

снова М-последовательность;

3) уровень боковых лепестков ДКФ для периодической последова-

тельности с периодом T n=N τ k равен 1/N, а для одиночной (усеченной) не-

периодической последовательности длительностью N τ k, он равен 1/√ N;

4) число различных максимальных линейных рекуррентных после-

довательностей при одинаковом m определяется алгоритмом

Nn=( 1m )φ (2m−1 ) , φ ( x )−¿ функция Эйлера.

Для формирования кодирующей (модулирующей)

М-последовательности обычно используют регистры сдвига, охваченные по

определенным правилам обратными связями с отводов регистров. Правила

осуществления обратных связей в регистрах, формирующих код на основе

рекуррентных линейных последовательностей максимальной длины, можно

определить, используя так называемые характеристические полиномы

кодовых последовательностей:

P ( x )=x0+a1 x1+…+am xm=1+a1 x1+…+am xm (1.10)

где учтено, что коэффициент a0всегда равен 1.

Из теории линейных рекуррентных последовательностей известно, что

для формирования М-последовательности размера N= 2m−1 необходимо

использовать неразложимые примитивные полиномы степени m с

коэффициентами a i , равными 0 или 1. Неприводимый полином не может

быть разложен на множители. Примитивный полином является делителем

двучлена xµ+1 при условии, что µ > N= 2m−1 .

Page 18: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Рекуррентный алгоритм определения символов d i кодовой

последовательности получают из характеристического полинома при замене

хi на d i:

P ( x )=d i ⨁a1d i−1⨁…⨁am d i−m

Полином формирования кода на регистре сдвига условно можно

представить в виде многочлена, схожего с характеристическим полиномом, в

котором x заменяют на символ задержки во времени τк. Здесь имеется в виду,

что τк - элемент кода и в то же время элемент (ячейка) задержки регистра

сдвига:

P (τ k )=τ k0 ⨁a1τ k

1⨁ a2 τ k2⨁…⨁ am τ k

m

Этому полиному соответствует каноническая схема устройства

формирования кода, показанная на рис. 1.1, в которой коэффициенты ai,

определяют наличие обратных связей регистра, а «степень» символа τк

показывает номер ячейки.

Рисунок 1.1 - Каноническая схема устройства формирования кода

Устройство состоит из регистра сдвига в виде цепочки m элементов

задержки τк (ячеек или триггеров), m отводов с элементов задержки, ключей

(усилителей с коэффициентами усиления 0 или 1) в отводах и устройствах

сложения по модулю 2. Количество суммирующихся по модулю 2 слагаемых

зависит от вида формирующего полинома, а точнее от того, какие

коэффициенты ai равны 0.

Page 19: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Правила синтеза схемы формирования М-последовательности на

регистре сдвига сводятся к следующему:

1) число ячеек регистра m = lg(N+1)/lg2, (1.11)

где N определяется требуемым уровнем боковых лепестков ДКФ;

2) количество обратных связей определяется не равными 0

коэффициентами ai;

3) суммирование слагаемых полинома производится по модулю 2;

4) последовательность смены кодовых символов определяется

начальным блоком кода, т.е. начальной установкой символов бинарного кода

в ячейки регистра [3].

1.3 Структурная схема РЛС

Структурная схема РЛС приведена на рис. 1.2. РЛС представляет собой

радиолокационную станцию с непрерывным излучением и фазовой

манипуляцией зондирующего сигнала псевдослучайной кодовой

последовательностью импульсов (М-последовательность), при этом фаза

манипулированного сигнала принимает только два значения: 0 и 180O.

Обнаружение движущейся наземной цели происходит благодаря

использованию эффекта Доплера.

Определение дальности до цели производится путем корреляционной

обработки на видеочастоте сигнала, отраженного от цели.

РЛС может работать в режиме грубого и точного измерения дальности.

Этим режимам соответствуют два вида кодовых последовательностей,

отличающихся длительностью одного элемента кодовой

последовательности. В режиме грубого измерения дальности мкс, что

соответствует интервалу дальности 1000 м. В режиме точного измерения

дальности мкс, что соответствует дальности 50 м.

Page 20: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Генератор кода грубого измерения формирует кодовую

последовательность с периодом из 63 элементов - с длительностью одного

элемента 6.6 мкс.

Генератор кода точного измерения дальности формирует кодовую

последовательность, с периодом из 1023 элементов с длительностью одного

элемента 0.33 мкс.

Рисунок 1.2 – Структурная схема РЛС

Антенна представляет собой плоскую полосковую печатную синфазную

решетку вибраторов на основе несимметричной полосковой линии. В

качестве излучающих элементов используются полуволновые шлейфы

(вибраторы), разомкнутые на конце.

Ширина диаграммы направленности в горизонтальной плоскости - 5O, в

вертикальной плоскости - 6.5O.

Мощность, подводимая к антенне - не менее 11 мВт.

Частота излучаемого сигнала - 9700 Мгц.

Page 21: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

В РЛС используется одна антенна для передачи и приема энергии.

Требуемая развязка между передающим и приемным каналами

обеспечивается ферритовым циркулятором.

Циркулятор представляет собой симметричное соединение трех

прямоугольных волноводов под углом 120O. В центре волноводного

сочленения расположен ферритовый вкладыш, намагниченный вдоль оси, то

есть, в направлении, перпендикулярном широким стенкам волноводов.

Направленный ответвитель обеспечивает отвод от основного волновода

части высокочастотного сигнала на смеситель приемной системы.

Ответвитель представляет собой волновод с отверстием связи по узкой

стенке волновода.

Фазовращатель обеспечивает сдвиг на некоторое значение фазы

опорного СВЧ сигнала, необходимый для нормальной работы балансного

смесителя приемной системы. Фазовращатель представляет собой отрезок

волновода, в котором параллельно его оси размещена диэлектрическая

пластина. Поперечное перемещение пластины приводит к изменению фазы

сигнала.

Фазовый манипулятор осуществляет манипуляцию синусоидальных

СВЧ колебаний по фазе в соответствии с кодовоимпульсной

последователностью, поступающей с блока дальности через усилитель кода,

который формирует разнополярный кодовый сигнал. При изменении

полярности импульсов происходит скачкообразное изменение на 180O фазы

СВЧ колебаний на выходе фазового манипулятора.

В смесителе происходит преобразование фазоманипулированного СВЧ

колебания сигнала в видеосигнал.

С выхода смесителя сигнал в виде кодовой последовательности

импульсов, промодулированной по амплитуде с частотой Доплера поступает

на видеоусилитель. С выхода видеоусилителя сигнал поступает на

коррелятор, который представляет собой амплитудно-фазовый детектор, на

опорный вход которого с блока дальности поступает кодовая

Page 22: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

последовательность. При совпадении во времени опорной и сигнальной

кодовых последовательностей на выходе коррелятора появляется

максимальный доплеровский сигнал.

С выхода коррелятора сигнал поступает на блок аналоговой обработки

сигнала, где он усиливается и проходит через фильтр верхних частот, а затем

через фильтр нижних частот и затем поступает на вход аналого-цифрового

преобразователя, где доплеровский сигнал из аналоговой формы

преобразуется в цифровую и поступает в блок цифровой обработки сигнала.

Блок дальности генерирует манипулирующую кодовую

последовательность, необходимую для фазовой манипуляции СВЧ сигнала, и

опорные кодовые последовательности, необходимые для обнаружения,

грубого (с дискретом 1000 м) и точного (с дискретом 50 м) измерения

дальности.

Блок цифровой обработки сигнала представляет собой спецвычислитель,

который выполняет следующие задачи:

- управляет механическим вращением антенны в заданном секторе

сканирования;

- принимает цифровой код с АЦП;

- вычисляет спектр доплеровского сигнала методом быстрого

преобразования Фурье;

- решает задачу обнаружения целей;

- определяет координаты целей (азимут и дальность);

- определяет тип цели;

- выдает управляющие коды в блок дальности;

- принимает коды с преобразователя;

- выдает информацию на цифровое табло по обнаруженным в секторе

целям (номер цели, тип цели, азимут, скорость, дальность);

- принимает информацию с пульта управления;

- осуществляет через модем обмен информацией с внешним абонентом.

Page 23: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Модем представляет собой комбинацию модулятора с демодулятором

для организации канала передачи данных методом частотной модуляции

асинхронным способом в полудуплексном режиме.

Синхронизатор генерирует синхронизирующие импульсы для

управления работой составных частей РЛС.

1.4 Описание и моделирование цифрового блока РЛС

Система дальности предназначена:

- для генерирования кодовых последовательностей импульсов, не-

обходимых для фазовой манипуляции СВЧ сигнала;

- для формирования опорных кодовых последовательностей, необхо-

димых для обнаружения, грубого и точного измерения дальности до цели.

Функциональная электрическая схема системы дальности приведена на

рис. 1.3. Временные диаграммы работы системы приведены на рис. 1.5 и

рис. 1.6.

Рисунок 1.3 - Функциональная электрическая схема системы дальности

Кварцевый генератор вырабатывает тактовые импульсы частотой 150

кГц, рис.1.5, которые подаются на сдвиговый регистр канала точного

Page 24: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

измерения дальности и через делитель частоты на 2 и на 10 - на сдвиговый

регистр канала грубого измерения дальности, рис. 1.4.

Генератор кода грубого измерения дальности состоит из сдвигового

регистра, охваченного логической обратной связью (ЛОС), и схемы запуска

регистра.

Рисунок 1.4 - Генератор кода грубого измерения дальности

Поступление одного тактового импульса сдвигает информацию,

записанную в сдвиговом регистре на один разряд в сторону высшего разряда.

Импульсы с выходов пятого и шестого разрядов сдвигового регистра

поступают а входы схемы ЛОС, с выхода которой импульсы подаются на,

вход первoгo разряда сдвигового регистра. На выходе схемы ЛОС появляется

сигнал уровня логической единицы, если на вход поступают сигналы разных

логических уровней: 0 и 1. Если на вход схемы ЛОС поступают сигналы

одинаковых логических уровней, то на выходе схемы появляется, сигнал

логического нуля, т.е. происходит суммирование по модулю 2. Сдвиговый

регистр, построенный на шести триггерах, охваченный ЛОС, под действием

Page 25: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

каждого тактового импульса принимает 63 различных состояния, следующих

друг за другом в определенной закономерности, рис. 1.5.

Рисунок 1.5 - Временные диаграммы работы системы грубого канала

Число элементов кодовой последовательности определяется по формуле:

2n−1 , (1.12)

где n - число триггеров сдвигового регистра, то есть 26-1 = 63.

Длительность одного элемента (τ э = 6,66 мкс) соответствует 1000-

метровой зоне дальности. Период повторения кодовой последовательности

определяется по формуле:

T k=τ э(2n−1) (1.13)

T k=6,66∗63=420 мкс

Генератор кода грубого измерения дальности генерирует кодовую

последовательность со следующими параметрами:

- число элементов - 63;

- длительность одного элемента - 6,66 мкс;

- период повторения кодовой последовательности - 420 мкс.

Для исключения 64-го состояния сдвигового регистра (которое может

на нем случайно записаться при включении изделия), при котором

прекращается генерация кодовой последовательности, применена схема

запуска регистра. Схема регистрирует 64-е состояние сдвигового регистра и

записывает импульс в первый разряд сдвигового регистра, переводя его в

Page 26: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

рабочее состояние. В дальнейшем схема запуска регистра в работе участия не

принимает.

С выхода первого разряда сдвигового регистра кодовая

последовательность в качестве манипулирующей выдается в блок усилителя

кода передающей системы для фазовой манипуляции СВЧ сигнала в режиме

грубого измерения дальности до цели.

Для формирования опорной кодовой последовательности, задержанной

на время, обеспечивающее просмотр первой километровой зоны дальности

кодовая последовательность с выхода первого разряда сдвигового регистра

суммируется по модулю 2 с кодовой последовательностью, снимаемой с

выхода второго разряда регистра. Для просмотра второго, третьего и

четвертого километров кодовая последовательность с выхода первого

разряда сдвигового регистра суммируется по модулю 2 с

последовательностями, снимаемыми соответственно с выходов 3,4 и 5-го

разрядов регистра. Задержанные кодовые последовательности поступают на

входы четырех ключей соответствующих километровых задержек.

Сдвиговый регистр канала точного измерения дальности построен на

двадцати триггерах, на счетные входы которых подаются тактовые импульсы

частотой 3 МГц, рис. 1.7, с кварцевого генератора. В формировании кодовых

последовательностей принимают участие 10 триггеров, охваченных ЛОС, а

триггеры с 11 по 20-й разряд используются для формирования задержанных

кодовых последовательностей.

Page 27: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Рисунок 1.6 - Генератор кода точного измерения дальности

На входы схемы ЛОС для суммирования по модулю 2 поступают

импульсы с выходов 10 и 3-го разрядов сдвигового регистра, а с выхода

схемы ЛОС подаются на вход первого разряда сдвигового регистра. Под

действием каждого тактового импульса сдвиговый регистр, построенный на

10 триггерах, охваченный ЛОС, принимает 1023 различных состояния,

следующих друг за другом в определенной закономерности, рис. 234. В

соответствии с формулой число элементов кодовой последовательности при

десяти триггерах равно 1023. Длительность одного элемента (τ э =0,33 мкс)

соответствует 50-метровой зоне дальности. Период повторения кодовой

последовательности Тк в соответствии с формулой равен 341 мкс.

Генератор кода грубого измерения дальности генерирует кодовую

последовательность со следующими параметрами:

-число элементов - 1023;

- длительность одного элемента - 0,33 мкс;

Page 28: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

- период повторения кодовой последовательности - 341 мкс.

Рисунок 1.7 - Временные диаграммы работы системы точного канала

Для исключения 1024-го состояния сдвигового регистра применена

схема запуска регистра.

С выходов всех нечетных разрядов сдвигового регистра подаются

кодовые последовательности на входы десяти ключей, при этом, временная

задержка между соседними последовательностями соответствует 100-

метровой зоне дальности (временной сдвиг между кодовыми

последовательностями на выходах двух соседних триггеров сдвигового

регистра соответствует дальности 50 метров).

1.5 Модернизация схемы цифрового блока РЛС

Программируемые логические интегральные схемы становятся в

последнее время все более распространенной и привычной элементной базой

для разработчиков цифровых устройств. Последние годы характеризуются

резким ростом плотности упаковки элементов на кристалле, многие ведущие

производители либо начали серийное производство, либо анонсировали

ПЛИС с эквивалентной емкостью более 1 миллиона логических вентилей.

Page 29: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Такое состояние рынка с одной стороны не может не радовать

разработчиков, с другой стороны появляется ряд вопросов, связанных с тем,

какую элементную базу и как использовать в новых разработках, а также при

проведении модернизации существующих систем.

Рассмотрим особенности выбора элементной базы с точки зрения

проектирования устройств цифровой обработки сигналов.

Современные алгоритмы обработки сигналов функционально можно

разделить на следующие основные классы.

1. Алгоритмы цифровой фильтрации (в т.ч. алгоритмы нелинейной,

оптимальной, адаптивной фильтрации, эвристические алгоритмы,

полиноминальные фильтры, алгоритмы фильтрации изображений и др.);

2. Алгоритмы, основанные на применении ортогональных

преобразований (быстрые преобразования Фурье, Хартли, Уолша, Адамара,

преобразование Карунена - Лоэва и др.);

3. Алгоритмы, реализующие кодирование и декодирование,

модуляторы и демодуляторы, в том числе сложных сигналов

(псевдослучайных, хаотических и др.);

4. Алгоритмы интерфейсов и стандартных протоколов обмена и

передачи данных;

Таким образом, перспективы тех или иных путей реализации

алгоритмов цифровой обработки сигналов (ЦОС) на базе ПЛИС можно

разложить по пунктам.

Основными преимуществами ПЛИС при применении в средствах

обработки сигналов являются:

- высокое быстродействие;

- возможность реализации сложных параллельных алгоритмов;

- наличие средств САПР, позволяющих провести полное моделирование

системы;

- возможность программирования или изменения конфигурации

непосредственно в системе;

Page 30: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

- совместимость при переводе алгоритмов на уровне языков описания

аппаратуры (VHDL, AHDL, Verilog и др.)

- совместимость по уровням и возможность реализации стандартного

интерфейса.

- наличие библиотек мегафункций, описывающих сложные алгоритмы;

- архитектурные особенности ПЛИС как нельзя лучше приспособлены

для реализации таких операций, как умножение, свертка и т.п.

В настоящее время быстродействие ПЛИС достигло 250 –300 МГц, что

позволяет реализовывать многие алгоритмы в радиодиапазоне.

Однако CPLD ПЛИС не очень удобны для реализации алгоритмов

цифровой обработки сигналов. Дело в том, что практически при реализации

алгоритмов ЦОС требуется выполнение операций задержки на такт,

перемножения и суммирования многоразрядных чисел. Настоящая

революция в средствах ЦОС произошла с появлением ПЛИС, имеющих

архитектуру Field Programmable Gate Array (FPGA). К FPGA относятся ПЛИС

XC2000, XC3000, XC4000, Spartan фирмы XILINX, ACT1, ACT2, SX54 и др.

фирмы ACTEL, а также семейства FLEX8000 фирмы ALTERA (с некоторыми

особенностями), некоторые ПЛИС Atmel и Vantis.

Типичным примером FPGA ПЛИС могут служить микросхемы

семейства Spartan фирмы XILINX, рис.1.8.

Page 31: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Рисунок 1.8 - FPGA архитектура

Множество конфигурируемых логических блоков (Configurable Logic

Blocks (CLBs) объединяются с помощью матрицы соединений.

Характерными особенностями FPGA архитектуры ПЛИС являются элементы

ввода-вывода (input/output blocks (IOBs), позволяющие реализовать

двунаправленный ввод/вывод, третье состояние и т.п. На рис. 1.9 приведена

структура CLB семейства Spartan фирмы XILINX.

Рисунок 1.9 - Структура CLB семейства Spartan фирмы XILINX.

Page 32: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Особенностью современных FPGA ПЛИС является возможность

тестирования узлов с помощью порта JTAG (B-scan), а также наличие

внутреннего генератора (Osc) и схем управления последовательной

конфигурацией [21].

1.6 Печатная плата Digilent Nexys2

Краткий обзор. Печатная плата Nexys2, представленная на рис. 1.10

усовершенствованная методом проектирования схем, основанная на Xilinx

Spartan 3E FPGA. Его бортовой быстродействующий вход USB2,

содержащий 16 мегабайтов RAM и ROM и несколько устройств ввода-

вывода и входов, делают её идеальной платформой для цифровой системы

всех видов, включая вложенные системы микропроцессора, основанные на

Xilinx’s MicroBlaze. Вход USB2 и интерфейс программирования

обеспечивают возможность печатной платы Nexys2 использовать ноутбук,

чтобы создать действительно портативное рабочее место для разработки [5].

Nexys2 приносит технологические продвижения в платформу, так что

любой может использовать для получения опыта в цифровом дизайне. Он

может принять за основу ПЛИС цифровые системы, и разработка может

легко расти вне печатной платы, используя любой или все пять разъемы

расширения. Четыре двенадцати штыревых вывода периферийного модуля

(Pmod) разъемов могут приспособить до восьми недорогих модулей, чтобы

добавлять новые функции как управление двигателем, А/Ц и Ц/A

преобразование, звуковые схемы, и целый ряд интерфейс датчиков и

приводов.

Page 33: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Рисунок 1.10 – Отладочная плата Digilent Nexys2

Печатная плата Nexys2 полностью совместима со всеми версиями

приспособления Xilinx ISE, включая бесплатный WebPack.

Рисунок 1.11 – Основные части отладочной платы

Page 34: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Особенности платы Nexys2:

- установленная ПЛИС Spartan 3 в корпусе BGA256 (200 тыс. вентилей);

- доступны версии -400 и -1000;

- установленный резонатор 50 МГц, сокет для дополнительного

резонатора;

- рабочая частота 500 МГц;

- память 16 Мбайт Intel StrataFlash Flash ROM;

- 16 Mбайт Micron PSDRAM;

- интерфейс JTAG;

- четыре разъема расширения по шесть выводов;

- один разъём расширения на сто выводов типа FX2;

- пользовательские светодиоды (8 шт.), кнопки (4 шт.),

переключатели (8 шт.);

- четырехразрядный семисегментный индикатор;

- USB интерфейс на CY8C68013L [22].

FPGA и конфигурация Flash платформы. FPGA на Nexys2 должна быть

настроена (или запрограммирована) пользователем, прежде чем выполнять

любые функции. Во время конфигурации «бит» файл передается в ячейки

памяти в FPGA, чтобы определить логические функции схемы соединений

проводят методы проектирования схем. Бесплатный ISE/ WebPack САПР от

Xilinx может быть использован для создания бит файлов с VHDL, Verilog или

основанную на схеме исходных файлов.

FPGA может быть запрограммирован двумя способами:

непосредственно от ПК, используя бортовой вход USB и бортовой

платформы Flash ROM ( Flash ROM также программирует пользователь через

порт USB). Перемычка на печатной плате Nexys2 определяет который

источник (ПК или Flash ROM) FPGA будет использовать для загрузки ее

конфигурации. FPGA будет автоматически загружать конфигурации с ROM

платформы Flash при включении перемычки в режим « Master serial». Если

Page 35: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

перемычка установлена в режиме «JTAG», FPGA будет ждать

программирования от ПК ( через кабель USB), что можно посмотреть на

рис. 1.12.

Рисунок 1.12

Digilent свободно доступна на базе ПК Adept. Программное обеспечение

может использоваться для настройки FPGA и платформы Flash с любым

подходящим файлом, хранящимся на компьютере. Adept использует кабель

USB для передачи выбранного файла с ПК на FPGA или платформы Flash

ROM. После того, как FPGA настроена, она будет оставаться таковой, пока

не будет сброшена при событии мощности цикла или с помощью кнопки

сброса FPGA (BTNR). Flash ROM сохранит немного информации до его

перепрограммирования, независимо от мощности цикла событий.

Page 36: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Рисунок 1.13

Осциллятор. В Nexys2 входят: осциллятор, с характеристикой 50 МГц и

разъем для второго осциллятора, изображены на рис. 1.14. Тактовые сигналы

для осциллятора подключены к главным часам входа FPGA, они могут

перемещаться по часовым синтезаторным блокам доступным FPGA. Часовые

синтезаторы (называемые DLL или задержка автоподстройки) обеспечивают

возможность управления часами, которые включают удвоение или

увеличение в 4 раз входной частоты, а также определение точных фаз и

задержки отношения между различными тактовыми сигналами [5].

Рисунок 1.14 – Схема включения осциллятора и разъема для второго

осциллятора к ПЛИС

Page 37: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Память. У печатной платы Nexys2 есть внешняя RAM и приборы ROM.

Внешняя RAM - на 128 Мбит Micron M45W8MW16. Прибор DRAM

псевдостатического электричества RAM, организован как 8 мегабайтов Х 16

битов. Он может работать как типичный асинхронный SRAM с правами на

чтение и запись цикла 70 нс, или как синхронная память с шиной на 80 МГц.

Когда управляется как асинхронный SRAM, RAM автоматически обновляет

свои внутренние массивы DRAM, учитывая упрощенный контроллер памяти

разработки (подобный любому SRAM) в FPGA. Когда управление в

синхронном режиме, возможны непрерывные передачи до 80 МГц.

Внешний ROM составляет 128 Мбит - Intel Te28F128J3D75-110

StrataFlash, организованный как 8 мегабайтов Х 16 битов. Внутри содержит

128 блоков, которые могут быть индивидуально стерты, и поддерживает

110 ns прочитанные длительностью цикла, 25нс режим чтения страницы в

пределах блоков. Он имеет внутреннюю 32-байт буфера записи, который

может быть записан с 70ns длительности цикла, и 32-байтный буфер может

быть передан массив Flash в 218us.

Оба устройства имеют общую 16-разрядную шину данных и 24-битную

шину адреса [5].

1.7 Расчет печатной платы на вибропрочность

Радиоэлектронный узел представляет собой планарную конструкцию.

Поэтому в расчетах на вибропрочность реальная конструкция узла

представляется расчетной моделью прямоугольной пластины при определенном

закреплении сторон.

Для расчета печатной платы на вибропрочность необходимо определить

собственную частоту колебаний равномерно нагруженной пластины по формуле

и сравнить ее с внешней частотой, которая определяется как верхнее значение

Page 38: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

диапазона частоты вибрационных нагрузок для заданного объекта установки. В

случае если отношение собственной частоты колебаний платы к внешней

частоте менее 2, то виброзащита считается неудовлетворительной. В этом случае

необходимо внести изменения в конструкцию платы, либо заменить схему

закрепления и повторить расчет.

Вибропрочность платы определяется его собственной частотой (Гц),

определяемой по формуле [7]:

f с=ch

a2⋅104

, (1.14)

где: а – длина пластины, см;

h – толщина пластины, см;

с – частотная постоянная.

Значения частотной постоянной в зависимости от варианта

закрепления и от отношения длин сторон платы определяются по

таблице 1.1.

В качестве варианта установки разрабатываемого узла будем использовать

вариант установки путем закрепления винтами по углам (схема закрепления 1).

Схема закрепления платы приведена на рис. 1.15.

Рисунок 1.15 – Схема закрепления платы

Таблица 1.1 – Значения частотной постоянной

Схема

закрепления

Отношение сторон а/b

0,5 1 1,5 2 2,5 3

1 57,2 83,8 141,4 228,7 343,7 485,4

Page 39: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Имеет отношение длин сторон а/b=1. Тогда по табл. 1.1 частотная

постоянная с=67,6.

Формула вибропрочности используется для расчёта стальных

ненагруженных пластин. Если пластина изготовлена не из стали, а из другого

материала, то в формулу вводится поправочный коэффициент на материал:

СФс

сСФм Е

ЕК

, (1.15)

где ЕСФ и ρСФ - модуль упругости (3.45×105 кг/см2) и плотность (2,5 г/см3)

стеклотекстолита фольгированного; Ес и ρc - модуль упругости (21*105 кг/см2)

и плотность (7,35 г/см3) стали.

Тогда Км=0,74.

Таким образом, формулу для определения собственной частоты

колебаний равномерно нагруженной пластины можно записать в следующем

виде:

f c=0 ,74⋅83 ,8⋅0,1

122⋅104=431 Гц

f вн=200 Гц

Внешняя верхняя частота равна 200 Гц. Так как отношение собственной

частоты к внешней больше двух, то виброзащита данной платы

удовлетворительна.

1.8 Расчет надежности по внезапным отказам

Расчет надежности не резервированного ЭС по внезапным отказам

сводится к определению средней наработки изделия до первого отказа и

вероятности его безотказной работы за заданное время с учетом

электрических режимов работы элементов и воздействующих

эксплуатационных факторов (климатических, механических и др.). Для

количественной оценки надежности узлов, блоков или изделия в целом по

Page 40: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

внезапным отказам разработан статистический метод, основанный на теории

вероятностей и математической статистики.

Определим обобщенный эксплуатационный коэффициент Кэ,

учитывающий область применения и функциональное назначение ЭС.

Значение Кэ выбирается из таблицы 1 [8] в соответствии с техническим

заданием на проект и равно.

Определим поправочные коэффициенты KR и КР, учитывающие влияние

атмосферного давления и влажности окружающей среды на работу ЭС.

Значения выбираются из таблиц 2 и 3 [8], KR=2; KP=1,1.

Память:

Расчет интенсивности отказов ИС.

Micron M45W8MW16 – λэк = 0,3910-6;

Intel Te28F128J3- λэк = 0,3510-6.

Расчет интенсивности отказов резисторов.Для расчета интенсивности отказов резисторов используем формулу,

учитывающую электрическую нагрузку и температуру при которой работает

резистор в ЭС.

273 273( ) ( ( ) )

2730 ,

C I HН

t S

Кt tB

N NЭК A е

(1.16)

где 0 - интенсивности отказов резистора в номинальном режиме работы

(значение 0 берется из таблицы 9 [8]);

, , , , , ,t SА В N C N I H - постоянные коэффициенты (значения

коэффициентов берутся из таблицы 10 [8]);

t – рабочая температура окружающей среды, 0С;

КН- коэффициент электрической нагрузки резистора.

,РАБН

ДОП

P

(1.17)

где РАБP - мощность рассеиваемая резистором в номинальном режиме, Вт.

Page 41: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

,РАБ ПОСТ ПЕР ИМP P P P (1.18)

где ДОПP - допустимая мощность рассеиваемая резистором, Вт.

В данной электрической схеме используются резисторы: чип резисторы

общего применения P1-12, для которых λэк= 0,1610-7. (R98-R107).

Расчет интенсивности отказов конденсаторов.

Для расчета интенсивности отказов конденсаторов используется

формула, учитывающая электрическую нагрузку и температуру, при которой

конденсатор работает в аппаратуре.

λЭК= λ 0⋅А⋅( КС

Н

N S

+1)⋅eB⋅( t+273

NT )

(1.19)

где 0- интенсивности отказов конденсаторов в номинальном режиме работы

(значение 0 берется из таблицы 7 [8]); 0=0,01510-6

А, с, NS, B, NT, Д - постоянные коэффициенты (значения коэффициентов

берутся из таблицы 8 [8]);

t – рабочая температура окружающей среды, 0С;

КН- коэффициент электрической нагрузки конденсатора, рассчитывается

по формуле:

(1.20)

(1.21)

Uраб- рабочее напряжение на обкладках конденсатора,

UДОП. – допустимое напряжение конденсатора.

Таблица 1.2 – Значение коэффициентов

Группа конденсаторов Значения коэффициентов

А NS C B NT Д

Керамические 5,9*10 -7 0,3 3 14,3 398 1

K H=U раб

U доп

U раб=Uпост .+Uперем .+Uимп .

Page 42: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Таблица 1.3

Номер элемента Тип Uдоп,В Uраб,В Кн

С137 К10-50 50 5 0,40

С138 К10-50 50 5 0,40

С139 К10-50 50 5 0,40

С139 К10-50 50 5 0,40

С140 К10-50 50 5 0,40

С141 К10-50 50 5 0,40

С142 К10-50 50 13 0,40

С143 К10-50 50 5 0,40

С144 К10-50 50 5 0,40

λэк=0,0910-7 для одного конденсатора.

Интенсивность отказов изделий электронной техники.

Пайка печатного монтажа (34 паки) – λЭК=0 ,01⋅10−6 ч−1 ;

Проводники печатных плат (27 проводника) – λЭК=0 ,01⋅10−6 ч−1 .

ПЛИС:

Расчет интенсивность отказов ИС.

XC3SE-FG320 – λэк= 0,4410-6;

XCF00S - λэк= 0,4110-6.

Расчет интенсивности отказов резисторов.

В данной электрической схеме используются резисторы: чип резисторы

общего применения P1-12, для которых λэк= 0,1610-7. (R69,R89-R95,R201)

Таблица 1.4 – Расчет интенсивности отказов конденсаторов.

Номер элемента Тип Uдоп,В Uраб,В Кн

С46 К10-50 50 5 0,40

С47 К10-50 50 5 0,40

С48 К10-50 50 5 0,40

λэк=0,0910-7 для одного конденсатора.

Page 43: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Интенсивность отказов изделий электронной техники.

Пайка печатного монтажа (35 паки) – λЭК=0 ,01⋅10−6 ч−1 ;

Проводники печатных плат ( 38 проводника) – λЭК=0 ,01⋅10−6 ч−1 ;

Соединители (4 соединителя) – λэк= 0,16 ¿10−6 ч−1

Переключатель (1) - λэк= 0,39 ¿10−6 ч−1

Суммарная интенсивность отказов

Суммарная интенсивность отказов активных, пассивных и

конструкторско-технологических элементов определяется формуле:

1

1

, ;n

iэлi

ч

(1.22)

где n – число компонентов в ЭС.

λ∑=4,30710-6 ч-1

Общая интенсивность отказов устройства

Общая интенсивность отказа ЭС

,А З r РК К К (1.23)

А = 4,30710-6 421,1=0,37910-4 ч−1

Средняя наработка устройства до первого отказа

Средняя наработка ЭС до первого отказа

1,ср

А

Т

(1.24)

ср =1/0,37910-4=26385 ч.

Вероятность безотказной работы устройства за заданное время

Вероятность безотказной работы ЭС за заданное время

;А tАР е (1.25)

PA=e (−0,379¿ 10−4)∗8800=0,716

Page 44: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Средняя наработка устройства до первого отказа составляет 3 года и 1

месяц. После которой вероятность безотказной работы устройства за

заданное время равно 71%. Что в целом соответствует нормам надежности по

внезапным отказам.

2 Системно-схемотехническая часть

Page 45: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

2.1 Синтезатор манипулирующей кодовой последовательности для

грубого измерения дальности

С помощью программной среды XILINX ISE WebPack реализовали

рассматриваемый выше цифровой блок для РЛС. В данной работе будет

рассмотрено два варианта реализации цифровой части РЛС. Первый способ

заключается в создании файла программы Schematic, он представляет собой

специализированный графический редактор для прорисовки электрических

схем. В Schematic реализован генератор грубого измерения дальности, и

общая рабочая схема представлена на рис. 2.1.

Рисунок 2.1 – Генератор в Schematic

Разобьем общую схему на отдельные цифровые блоки и дадим описание

каждого из них:

Reg_clock – цифровой блок, отвечающий за запуск регистра;

Сlock – блок тактовой частоты, на выходе которого 150 кГц;

Page 46: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Part_1_1 – цифровой блок, включает логическую обратную связь (ЛОС)

и реализуюет сдвигающий регистр из 6 2D – триггеров;

Part_1_2 – в данный цифровой блок входят схемы задержек и ключей.

На выходе блока clock выводится тактовая частота 150 кГц,

преобразованная из стандартной частоты платы 50 МГц. Сигнал подается на

Part_1_1, подробная схема представлена на рис. 2.2 и при достижении одного

периода кодовой последовательности, 420 мкс, срабатывает блок Reg_clock.

Происходит сброс регистра. С выхода Part_1_1 сигнал подается на Part_1_2,

где формируются из манипулирующей кодовой последовательности

задержанные сигналы согласно расстояниям.

В схеме Part_1_1 показан ЛОС, который состоит из логических

элементов И и И-НЕ.

Для определения расстояний в блоке Part_1_2 реализованы задержки

1,2,3,4 км. Схема построена на элементарных логических элементах,

представлена на рис. 2.3.

Page 47: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

???? входы и выходы???Рисунок 2.2 – Схема Part_1_1, сдвигающего регистра

Page 48: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Рисунок 2.3 –Схема Part_1_2

Page 49: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Второй способ, состоит в разработке цифровых компонентов на языке

VHDL, т.е. заготавливаем код нашего элемента. Например, в приложении

представлен элемент, реализующий тактовые частоты 150 кГц на основе

счетчика, т.к. на ПЛИС нет аппаратно реализованных таймеров. Чтобы

получить тактовую частоту 150 кГц понизили частоту задающего кварца. В

данном коде счетчик нужен для подсчета количество тактов кварца. На

отладочной плате размещен кварц на 50 МГц, значит для того, чтобы

обеспечить частоту в 150 кГц надо посчитать примерно 6 импульсов, после

этого сбрасывать счетчик и начать вырабатывать кодовую

последовательность заново.

В этом коде изначально указываем некоторые библиотеки начального

уровня. После подключения библиотек прописываем тело нашего

программного кода, принцип которого представлен на рис. 2.4.

Рисунок 2.4 – Выделение частоты 150 кГц

Каждый блок представляет собой процесс. Реализации счетчика

представляет собой последовательную схему, так как требуется помнить

предыдущее состояние и прибавлять к нему единицу. Процесс счетчика

будет считать синхроимпульсы с кварца, а компаратор сравнивать их с 6

Page 50: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

импульсами и при достижении этого числа импульсов сбрасывать счетчик в

ноль и менять значения в задаваемой кодовой последовательности, что

позволит менять сигнал, задавать периодически несколько раз до достижения

цели.

2.2 Разработка алгоритма программы для генератора грубого измерения

дальности

Алгоритм программы реализации генератора точного измерения

дальности, описанной двумя разными способами не отличается, и его можно

представить в виде блок-схемы, рис. 2.5.

Данный алгоритм реализован для VHDL кода, и не все цифровые блоки

вошли в процесс описания программы из Schematic. Показан основной

принцип программного решения генератора грубого измерения дальности на

VHDL.

Программа состоит из 2 частей:

1. Формирование тактовых импульсов. Тактовые импульсы реализованы

с помощью счетчика. Реализации счетчика представляет собой

последовательную схему, так как требуется помнить предыдущее состояние

и прибавлять к нему единицу, т.е. потребуется использования ресурсов

ПЛИС в виде триггеров. Используем синхронные триггеры для хранения

значения счетчика, срабатывающие либо по фронту либо по срезу, что

позволяет считать одно событие за такт синхросигнала.

Процесс счетчика будет считать синхроимпульсы с кварца, а компаратор

сравнивать их с 6 импульсами и при достижении этого числа импульсов,

переменная counter, сбрасывать счетчик в ноль.

Page 51: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

2. Формирование и вывод периодической манипулирующей кодовой

последовательности. С помощью матрицы sign задаем значения известной

нам из первого способа описания программы, Schematic, кодовой

последовательности из 63 элементов. При выполнении одного цикла

считывается количество элементов index, и постоянно переменная

сбрасывается. Тем самым реализуется периодический сигнал outsign.

Page 52: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата Рисунок 2.5 – Алгоритм программы

генератора грубого измерения дальности

2.3 Временные диаграммы работы программы

С выхода первого разряда сдвигового регистра кодовая

последовательность в качестве манипулирующей, рис. 2.6, выдается в блок

передающей системы для фазовой манипуляции сигнала в режиме грубого

измерения дальности до цели.

Page 53: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Для формирования опорной кодовой последовательности, задержанной

на время, обеспечивающее просмотр первой километровой зоны дальности

кодовая последовательность с выхода первого разряда сдвигового регистра

суммируется по модулю 2 с кодовой последовательностью, снимаемой с

выхода второго разряда регистра. Для просмотра второго, третьего и

четвертого километров кодовая последовательность с выхода первого

разряда сдвигового регистра суммируется по модулю 2 с

последовательностями, снимаемыми соответственно с выходов 3,4 и 5-го

разрядов регистра.

Опорные сигналы представлены на рис. 2.6.

Рисунок 2.6 – Временные диаграммы работы цифрового блока

3 Технологическая часть

Page 54: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

3.1 Разработка диагностирующего устройства

Схема диагностирующего устройства приведена на рис. 3.1, в которую

входят: Г – генератор ФК сигналов; ДУ – диагностирующее устройства (ОСЦ

– осциллограф, ЭВМ – электронная вычислительная машина).

В данном устройстве используется импульсные сигналы. Поскольку

импульс посылаются на значительное расстояние с постоянной скоростью,

время, прошедшее с момента посылки импульса и до момента получения эхо-

ответа, — есть прямая зависимость расстояния до цели. Следующий импульс

можно послать только через некоторое время, а именно после того как

импульс придёт обратно.

Рисунок 3.1 – Схема диагностирующего устройства

Генератор задает последовательность сигналов с определенной

задержкой, которые поступают на осциллограф. Осциллограф фиксирует

сигналы, которые в дальнейшем записываются в память. По записанным

сигналом можно определить: интервал последовательности сигналов,

расстояние, скорость. В ЭВМ происходит сравнение с нормальными

сигналами (теоретическими), если сигнал от теоретического имеет

Page 55: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

отклонение ± 0,00001% , то загорается зеленая лампочка (устройство

рабочее), если отклонение от теоретического составит больше, то загорается

красная лампочка (устройство работает некорректно).

Page 56: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

4 Экономическая часть

4.1 Оценка трудоемкости разработки системы

Определим общую трудоемкость разработки проекта как сумму

трудоемкостей этапов разработки: Тобщ. = Тоз. + Талг. + Тпрогр. + Тотл. + Тмаш.док., где

трудоемкости этапов разработки задаются следующими эмпирическими

формулами:

Tоз. = Q·B / 80·Кквал. – трудоемкость описания задачи,

где B – коэффициент увеличения времени разработки вследствие

некачественного описания задачи, принимается от 1,2 до 1,5 в зависимости

от сложности программы;

Kквал. – коэффициент квалификации исполнителей, зависит от стажа

работы, стаж работы менее 2 лет Кквал.=0,8

Tалг. = Q / 23·Кквал. – трудоемкость разработки алгоритма,

Tпрогр. = Q / 20·Кквал. – трудоемкость программирования,

Tотл. = Q / 4·Кквал. – трудоемкость отладки,

Tрук.док. = Q / 15·Кквал. – трудоемкость подготовки рукописного

документа,

Tмаш.док. = 0,75· Tрук.док. – трудоемкость подготовки машинного документа

Условное число операторов определяется по формуле

Q=q⋅C (1+ p) ,

(4.1)

где q – число операторов в программе примерно равно 1000;

С – коэффициент сложности программы равная 1,5;

p – коэффициент коррекции программы при внесении в нее изменений

равная 0,05.

Q=1000⋅1,5(1+0 , 05 )=1575

Трудоемкость этапа описания задачи

T оз=1575⋅1,3 /80⋅0,8=32 чел.-ч.

Page 57: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Трудоемкость этапа разработки алгоритма

T алг .=1575 /23⋅0,8=86 чел.-ч.

Трудоемкость этапа программирования

T прогр .=1575/20⋅0,8=98 чел.-ч.

Трудоемкость этапа отладки:

T отл .=1575/4⋅0,8=492 чел.-ч.

Трудоемкость этапа подготовки документов:

T рук . док .=1575/15⋅0,8=131 чел.-ч.

T маш . док .=0 ,75⋅131=98 чел.-ч.

Тобщ.=32 + 86 + 98 + 492 + 98 = 806 чел.-ч.

Тобщ.(чел.-дн.) = 806 / 8 = 100,75 ≈ 101 чел.-дн.

4.2 Расчет затрат на разработку программного продукта

Исходные данные:

Срок разработки: 4 месяца

Трудоёмкость: 101 чел.-дн.

Эффективный фонд рабочего времени

Трудоемкость разработки: 101 чел-дн.

Срок разработки – 4 мес.

Период разработки: 1 февраля 2012 - 31 мая 2012 г.

Календарных дней: Дкаленд = 121 дн.

Выходных дней: Двых = 38 дн.

Праздничных дней: Дпразд = 4 дн.

Не выходов на работу: Дневых = 7 % = 3 дн.

Фонд рабочего времени:

Фном = Драб =Дкаленд - Двых - Дпразд = 121 - 38 - 4 = 79 дн.

Фэфф = Драб - Дневых = 79 – 3 = 76 дн.

Page 58: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Руководитель проекта – ответственный за корректную постановку

задачи и общий контроль разработки.

Программист – производивший разработку программы.

Таблица 4.1 – Определение трудоемкости по этапам

Этап

Срок

проведени

я

РаботыДолжность

исполнителя

Трудоемкость

, чел-дн.

Постановка

задачи4 дня

Разработка и утверждение

технического задания

Руководитель

проекта2

Выполнение научно-

исследовательских работПрограммист 4

Разработка

алгоритма14 дней

Разработка алгоритма

продукта

Руководитель

проекта5

Программист 14

Технический

проект8 дней

Разработка технической

версии продукта

Руководитель

проекта3

Программист 8

Рабочий

проект40 дней

Разработка финальной

версии продукта

Руководитель

проекта13

Программист 40

Машинная

документаци

я

12 дней Составление документацииПрограммист 12

Итого: 101

Таблица 4.2 – Трудоемкость по категориям исполнителей

Должность исполнителя Трудоемкость, чел-дн.

Руководитель проекта 23

Программист 78

∑ 101

Численность исполнителей по категориям:

Чспис. = Т/ Фэфф

Page 59: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Чспис. рук. = 23/76 = 1 чел.

Чспис. прогр. = 78/76 = 1 чел.

Таблица 4.3 – Суммарная численность рабочих

Категория исполнителей Численность, чел

Руководитель проекта 1

Программист 1

∑ 2

Смета затрат на разработку в общем виде состоит из следующих

элементов:

1. Материальные затраты

2. Оплата труда разработчиков

3. Отчисления на социальное страхование разработчиков

4. Расходы на услуги сторонних организаций

5. Расходы на служебные командировки

6. Амортизация основных фондов непосредственно используемых в

разработке

7. Прочие прямые расходы

8. Накладные расходы

1. Материалы - затраты на сырье, основные и вспомогательные

материалы, необходимых для создания научно-технической продукции (за

вычетом возвратных отходов), покупные полуфабрикаты и комплектующие

изделия, используемые в качестве объектов исследований (испытаний), а

также подвергающиеся в дальнейшем монтажу или дополнительной

обработке, приспособления, приборы, лабораторное оборудование.

Расходы на потребляемую электроэнергию. Рассчитываются исходя из

мощности прибора, числа часов его использования в течение года и

действующего тарифа.

Рэ. = Мприб. *Фр.вр. *Цэл., (4.2)

где Рэ. – расход электроэнергии;

Page 60: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Мприб. – мощность приборов для компьютера и принтера

составляет 261 Вт;

Фр.вр. – годовой фонд времени работы устройств;

Цэл. – цена за 1 кВт электроэнергии составляет 2,35 рубля.

Фр.вр. = Д*t; (4.3)

где Д – количество рабочих дней за период разработки,

t – время работы устройства за один день в часах.

Фр.вр. = 78*8 = 624 ч.

Рэ = 0,261*624*2,35 = 382,7 руб.

Вспомогательные материалы – предметы труда, которые не входят в

своей вещественной форме в готовый продукт, а используются в процессе

производства для осуществления технологического процесса обеспечения

работы оборудования и т.п. целей, таких как карандаши, ручки, чистящие

салфетки и т.п. Вспомогательные материалы по уходу за оборудованием

рассчитываются как 1% от стоимости оборудования: 15975*0,01=160 руб.

Таблица 4.4 – Расходные материалы

Наименование Единица

измерения

Цена, руб. Норма

расхода

Стоимость, руб.

Бумага пачка 219 1 219

Картридж шт. 690 1 690

Эл. энергия кВт 382,7

Вспомогательные

материалы160

Итого: 1452

2. Оплата труда исполнителей разработки – учитывает: основную

заработную плату программистов и руководителей проекта, непосредственно

занятых выполнением данной разработки. Размер основной заработной

платы определяется, исходя из численности различных категорий

исполнителей, трудоемкости отдельных видов работ и средней ставки

Page 61: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

заработной платы за 1 рабочий день. Средняя зарплата за рабочий день

определяется, исходя из месячного оклада работника и числа рабочих дней.

Дополнительная заработная плата - это выплаты работникам за

неявочное время (отпуска, больничные, ученические и т.п.). Рассчитываются

в процентах от основной заработной платы (8%).

Таблица 4.5 – Заработная плата

Категория

исполнителей

Оклад,

руб.

З/п за период

разработки,

руб.

Доп.

з/п*,

руб.

Итоговая

з/п, руб.Итого, руб.

Руководитель

проекта1 15000 53118 4250 57368 57368

Программист 1 4300 15210 1217 16427 16427

Итого 68328 73795

*Дополнительная заработная плата 8 % от основной заработной платы

3. Страховые взносы на исполнителей разработки - это отчисления в

соответствующие внебюджетные фонды на обязательные виды страхования

работников. Рассчитывается от основной и дополнительной заработной

платы (34,2%): 73795*0,342=25238 руб.

4. Амортизация основных фондов, используемых непосредственно при

разработке - учитываются только те виды оборудования, приборов, средств

вычислительной техники, которые используются непосредственно в процессе

разработки. Расчет амортизации осуществляется либо по установленным

нормам амортизационных отчислений для каждого вида основных фондов,

либо исходя из предполагаемого срока полезного использования.

А=Соб .⋅Н а

12 (4.4)

Собор. – стоимость оборудования;

НА – Норма амортизации представляет собой отношение годовой суммы

амортизации к первоначальной стоимости средства труда, выраженное в

Page 62: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

процентах. Норма амортизации по компьютерной технике составляет 8,34%.

Срок полезного использования принтера и ПК составляет 1 год.

Таблица 4.6 – Стандартное оборудование

Наименование оборудования

Цена,

руб/шт.Кол-во

Стоимость,

руб.

Ноутбук MSI MSN-CX413-020RU Black 14685 1 14685

Струйный принтер Canon PIXMA IP2700 1290 1 1290

Итого: 15975

Таблица 4.7 – Амортизация основных фондов

Наименование Единица

измерения

Цена,

руб.

Кол-во,

шт

Стоимость,

р.

А, руб. за

период

разработки

Ноутбук MSI MSN-CX413-

020RU Black

шт.14685

114685

1225

Струйный принтер Canon

PIXMA IP2700

шт.1290

11290

108

Итого: 1333

5. Расходы на служебные командировки - это расходы на все виды

командировок, связанных непосредственно с данной разработкой.

Рассчитываются по отчетным документам. При планировании затрат

принимаются 3 % от основной заработной платы исполнителей разработки:

68328*0,03=2050 руб.

6. Прочие прямые расходы - это расходы, связанные с выполнением

данной разработки, но не учтенные в предыдущих статьях сметы (расходы на

научно-техническую информацию, использование средств связи и т.п.).

Величина этих затрат рассчитывается отдельно по каждому виду или

экспертным методом, либо сравнением с предыдущими разработками.

Размер прочих расходов составляет не более 10 % от стоимости работ:

119843*0,1=11984 руб.

Page 63: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

7. Участие в выставках, рекламные мероприятия. Размер оплаты работ

по статье не может превышать 5% от стоимости работ: 73795*0,02=1476 руб.

8. Накладные расходы - это расходы, связанные с управлением,

обслуживанием, содержанием зданий, амортизацией основных фондов

организации, с охраной труда и т.п. Величина накладных расходов

планируется 120% от основной и дополнительной заработной платы

исполнителей разработки: 73795*1,2=88554 руб.

Таблица 4.8 – Смета затрат

Наименование статей затратСумма,

руб.

Материальные затраты 1452

Оплата труда разработчиков 73795

Отчисления на социальное страхование разработчиков 25238

Амортизация основных фондов 1333

Расходы на служебные командировки 2050

Прочие прямые расходы 11984

Участие в выставках, рекламные мероприятия 1476

Накладные расходы 88554

Итого: 205882

4.3 Расчет затрат на разработку устройства

Page 64: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Рисунок 4.1 – Память

Рисунок 4.2 – ПЛИС

Исходные данные:

Срок разработки: 4 месяца (c 1.02.2012 до 31.05.2012)

Page 65: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Трудоёмкость: 1215 чел./дн.

Перечень работ по разработке

1. Моделирование электрической схемы с использованием пакета

прикладных программ.

2. Подготовка технической документации.

3. Выбор элементной базы.

4. Сборка печатной платы устройства.

5. Сборка корпуса устройства.

6. Монтаж электрических схем.

7. Тестирование и регулировка устройства.

Таблица 4.9 – Этапы процесса разработки

№ п/п Наименование этапа Трудоёмкость, чел./дн.

1 Техническое задание 73

2 Эскизный проект 130

3 Технический проект 200

4 Рабочий проект 659

5 Изготовление и заводские испытания опытного

образца изделия153

Таблица 4.10 – Распределение трудоемкости по этапам и исполнителям

1 2 3 4 5 ∑

Ведущий инженер26 40 36 157 20 279

Инженер26 33 94 192 43 388

Техник 21 57 70 310 90 548∑ 73 130 200 659 153 1215

Таблица 4.11 – Эффективный фонд рабочего времени

Календарных дней 121

Выходных дней 38

Праздничных дней 4

Page 66: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Продолжение таблицы 4.11

Предпраздничных смен 4

Время смены, час. 8

Невыходов на работу 4

Время сокращений предпраздничной смены, час. 1

Время внутрисменных сокращений, час. 0,2

Фном = Драб =Дкаленд-Двых-Дпразд=121 – 38 - 4 =79 дн

Фном=1218-4=954 часа

Фэфф = Драб - Дневых = 80 – 4 = 76 дн

Фэфф = (120-4)(8-0,2)-4= 900 часов

Численность исполнителей

Чспис. = Т/ Фэфф

Чспис. вед. инж. = 279/76 = 4

Чспис. инж. = 388/76 = 5

Чспис. техн. = 548/76 = 7

Таблица 4.12 – Суммарная численность рабочих

Исполнитель Численность

Ведущий инженер 4

Инженер 5

Техник 7

∑ = 16

Смета затрат на разработку в общем виде состоит из следующих элементов:

9. Материальные затраты

10.Оплата труда разработчиков

11.Отчисления на социальное страхование разработчиков

12.Расходы на услуги сторонних организаций

13.Расходы на служебные командировки

Page 67: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

14.Амортизация основных фондов непосредственно используемых в

разработке

15.Прочие прямые расходы

16.Накладные расходы

1. Материалы - затраты на сырье, основные и вспомогательные

материалы, необходимых для создания научно-технической продукции (за

вычетом возвратных отходов), покупные полуфабрикаты и комплектующие

изделия, используемые в качестве объектов исследований (испытаний), а

также подвергающиеся в дальнейшем монтажу или дополнительной

обработке, приспособления, приборы, лабораторное оборудование. Затраты

по этой статье определяются по действующим оптовым ценам с учетом

транспортно-заготовительных расходов (10 % от оптовой цены материалов).

Таблица 4.13 – Расходные материалы

Наименование Единицаизмерения

Цена, руб. Норма расхода

Стоимость, руб.

Бумага для офисной техники

пачка 150 2 300

Картридж шт. 500 1 500ТЗР (10%)

Итого:80880

Таблица 4.14 – Материалы для изготовления опытного образца

НаименованиеЦена,

руб/шт.Кол-во,

шт.Стоимость,

руб.Конденсаторы

К10-50В-25В-47 нФ 10% 27 7 189К10-50В-25В-10 нФ 10% 27 4 108

РезисторыР1-12-0,125-10...22*106 5% 2 22 44

МикросхемыMicron M45W8MW16 435 1 435Intel Te28F128J3 488 1 488Xilinx Spartan 3E 700 1 700XCF00S 421 1 421

Переключатель 213 1 213Разъемы

СI11XXXXX 53 1 53Светодиод

KM23ESGW 20 1 20ТЗР (10%) 267

Page 68: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Итого: 2938

Таблица 4.15 – Прочие материалы Наименование Цена, руб/шт. Кол-во, шт. Стоимость, руб.Припой 40 3 120Флюс 30 3 90Изготовление печатной платы на заказ (http://www.resonit.ru)

220 руб/дм2 2 440

ТЗР (10%)Итого:

65715

2. Оплата труда исполнителей разработки - учитывает Основная

заработная плата научных сотрудников, ИТР, лаборантов, рабочих,

непосредственно занятых выполнением данной разработки, а также зарплата

нештатных сотрудников, привлекаемых со стороны. Размер основной

заработной платы определяется, исходя из численности различных категорий

исполнителей, трудоемкости отдельных видов работ и средней ставки

заработной платы за 1 рабочий день. Средняя зарплата за рабочий день

определяется, исходя из месячного оклада работника и числа рабочих дней.

Дополнительная заработная плата - это выплаты работникам за

неявочное время (отпуска, больничные, ученические и т.п.). Рассчитываются

в процентах от основной заработной платы (8 %).

Таблица 4.16 – Заработная плата

Категория исполнителей Численность

Оклад,руб.

Доп. заработная плата*,руб.

Итоговая оплата труда,

руб.Итого, руб.

Ведущий инженер

4 20000 1600 21600 86400

Инженер 5 15000 1200 16200 81000Техник 7 10000 800 10800 75600

Итого: 243000*Дополнительная заработная плата 8 % от основной заработной платы

3. Страховые взносы на исполнителей разработки - это отчисления в

соответствующие внебюджетные фонды на обязательные виды страхования

работников. Рассчитывается от основной и дополнительной заработной

платы (34,2%): 243000*0,342=83106 руб.

Page 69: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

4. Амортизация основных фондов, используемых непосредственно при

разработке - учитываются только те виды оборудования, приборов, средств

вычислительной техники, которые используются непосредственно в процессе

разработки. Расчет амортизации осуществляется либо по установленным

нормам амортизационных отчислений для каждого вида основных фондов,

либо исходя из предполагаемого срока полезного использования.

А=Соб .⋅Н а

12

СОБОР – стоимость оборудования;

НА– Норма амортизации представляет собой отношение годовой суммы

амортизации к первоначальной стоимости средства труда, выраженное в

процентах.

Норма амортизации по легковому и грузовому автотранспорту,

конторскому оборудованию, мебели, компьютерной технике,

информационным системам и системам обработки данных – 8,34%

Срок полезного использования принтера, ПК, мультитестора - 1 лет.

Таблица 4.17 – Амортизация основных фондов

НаименованиеЕдиница

измеренияЦена, руб.

Кол-во, штСтоимость,

руб.А, руб.

Струйный принтер Canon PIXMA IP2700

шт. 1290 3 3870 108

Ноутбук MSI MSN-CX413-020RU Black

шт. 14685 7 102795 1225

Мультитестор(MY67) шт. 1000 3 3000 83Паяльник шт. 300 4 1200 25Набор монтажных инструментов

шт. 4000 3 8000 333

Итого: 1774

5. Расходы на служебные командировки - это расходы на все виды

командировок, связанных непосредственно с данной разработкой.

Рассчитываются по отчетным документам. При планировании затрат

принимаются 3% от основной заработной платы исполнителей разработки:

Page 70: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

225000*0,03=6750.

6. Прочие прямые расходы – это расходы, связанные с выполнением

данной разработки, но не учтенные в предыдущих статьях сметы (расходы на

научно-техническую информацию, использование средств связи и т.п.).

Величина этих затрат рассчитывается отдельно по каждому виду или

экспертным методом, либо сравнением с предыдущими разработками.

Размер прочих расходов составляет не более 10% от стоимости работ.

Таблица 4.18 – Прочие прямые расходыНаименование статей затрат Сумма, руб.Плата за аренду помещения, коммунальные услуг 50000Оплата услуг банков 10000Оплата работ по обязательной сертификации продукции 4000Расходы на проведение патентных исследований 9000Хранение, транспортировка материалов 5000

Продолжение таблицы 4.18Наименование статей затрат Сумма, руб.Приобретение канцелярских принадлежностей 1000

Итого: 79000

7. Участие в выставках, рекламные мероприятия Размер оплаты работ по статье не может превышать 5% от стоимости работ: 225000*0,05=11250 руб.

8. Накладные расходы - это расходы, связанные с управлением, обслуживанием, содержанием зданий, амортизацией основных фондов организации, с охраной труда и т.п. Величина накладных расходов планируется 150 % от основной и дополнительной заработной платы исполнителей разработки: 243000*1,5=364500руб

Таблица 5.19 – Смета затрат

Наименование статей затрат Сумма, руб.

Материальные затраты 4121

Оплата труда разработчиков 243000

Отчисления на социальное страхование разработчиков 83106

Расходы на служебные командировки 6750

Амортизация основных фондов непосредственно используемых в

разработке

1774

Прочие прямые расходы 79000

Участие в выставках, рекламных мероприятиях 11250

Page 71: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Накладные расходы 364500

Итого: 793501

4.4 Расчет полной себестоимости единиц проектируемого оборудования

Полная себестоимость единицы проектируемого оборудования включает

затраты по следующим статьям:

1. Сырье и материалы.

2. Покупные комплектующие изделия.

3. Основная заработная плата производственных рабочих.

4. Дополнительная заработная плата производственных рабочих.

5. Единый социальный налог с заработной платы производственных

рабочих.

6. Расходы на подготовку и освоение производства.

7. Расходы по содержанию и эксплуатации оборудования.

8. Цеховые расходы.

9. Общезаводские расходы.

10.Прочие производственные расходы.

11.Внепроизводственные расходы.

Статья 1. Сырье и материалы

Затраты по этой статье включают стоимость основных и вспомогательных материалов, необходимых для изготовления проектируемого оборудования, с учетом транспортных расходов.

Таблица 4.20 – Количество и стоимость основных материалов и покупных комплектующих изделий на единицу продукцииНаименование Норма расхода Цена Стоимость

Основные материалыПровод МГШВ-0.2 0.3 м 0.6 р/м 0.18 рубПрипой ПОС-61 0.05 кг/изделие 40 р/кг 2 рубФлюс ЛТИ-120 0.005 кг/изделие 30 р/кг 0.15 рубСпирт технический 0.02 л/изделие 25 р/л 0.5 рубЭмаль ПФ-115 0.002 кг/изделие 55 р/кг 0.11 руб

Page 72: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Эмаль ЭП-51 0.006 кг/изделие 35 р/кг 0.21 руб

Полуфабрикаты

Наименование материалаЕдиница

измеренияЦена, руб.

Норма расхода на

изделие

Стоимость, руб.

Плата печатная(http://www.resonit.ru)

дм2 220 2 440

Пластмассовый корпус(http://www.centronix.ru)

шт. 78 1 78

Σ 521ТЗР (10%) 52Итого: 573

Статья 2. Покупные комплектующие изделия

Расчет затрат на покупные комплектующие изделия и транспортных расходов.

Таблица 4.21 – Комплект радиодеталей на единицу продукции), (http://www.chipdip.ru, http://www.chipfind.net)Наименование Цена, руб/шт. Кол-во Стоимость,

руб.КонденсаторыК10-50В-25В-47нФ 10% 27 7 189К10-50В-25В-10нФ 10% 27 4 108РезисторыР1-12-0,125-10...22*106 5%

2 22 44

МикросхемыMicron M45W8MW16 435 1 435

Intel Te28F128J3 488 1 488Xilinx Spartan 3E 700 1 700XCF00S 421 1 421Переключатель 213 1 213РазъемыСI11XXXXX 53 1 53СветодиодKM23ESGW 20 1 20

Итого: 2671Наименование Норма расхода Цена СтоимостьВинт d=3 мм 4 0.3 р/шт 1,2 рубКомплект радиодеталей 1 1505 р. 2671 руб.

Итого расходов на основные и покупные комплектующие изделия: 2672 руб.С учетом транспортных расходов (10 %) 2939 руб.

Page 73: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Статья 3. Основная заработная плата производственных рабочих

Затраты по данной статье включают заработную плату производственных рабочих по сдельным расценкам, надбавки и доплаты, премии.

Величина заработной платы производственных рабочих по сдельным расценкам зависит от уровня действующих тарифных ставок, степени сложности и трудоемкости выполнения работ по изготовлению единицы проектируемого оборудования.

Состав производственного персонала: комплектовщики, маркировщики, сборщики, монтажники, регулировщики:

- рабочие 5 разряда (тарифный коэффициент 2,11);- нормальные условия труда;- сдельная система оплаты труда;- часовая тарифная ставка рабочего 1 разряда равна 22 руб/час.Комплектовочные операции — 120 мин.Маркировочные операции — 80 мин.Сборочные операции — 100 мин.Монтажные операции — 120 мин.Настроечные операции — 180 мин.

Произведем подсчет на единицу изделия.Комплектовщик:

Зп=2 , 11⋅12060

⋅22=93 руб

Маркировщик:

Зп=2 , 11⋅8060

⋅22=62 руб

Сборщик:

Зп=2 , 11⋅10060

⋅22=78 руб

Монтажник:

Зп=2 , 11⋅12060

⋅22=93 руб

Регулировщик:

Зп=2 , 11⋅18060

⋅22⋅¿139 руб .

Итого: 465 руб.Доплата и надбавки (20%): 465*0,2=93Итого:558Статья 4. Дополнительная заработная плата производственных рабочих

Page 74: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Затраты по этой статье составляют 10% от основной заработной платы производственных рабочих.Зпдоп=558⋅0,1=56 руб .Статья 5. Страховые взносы с заработной платы производственных рабочих

Общая величина отчислений составляет 34,2% от основной и дополнительной заработной платы.

СоцВзн=(558+56 )⋅0 , 342=210 руб .

Статья 6. Расходы на подготовку и освоение производства

Берутся в пределах 20% от основной заработной платы производственных рабочих.

Подготовка /освоение=558⋅0,2=112 руб .

Статья 7.Расходы на содержание и эксплуатацию оборудования

7.1 Амортизация оборудования, транспортных средств и ценных инструментов.

Таблица 4.22 – Оборудования и ценные инструменты, транспортные средства

Наименование оборудования

Оптовая цена за

единицу, руб.

Количество оборудова-

ния, ед.

Норма амортизации,

%

Годовая сумма

отчислений, руб

Потребляемая мощность,

кВт/час

Оборудование и ценные инструменты

Паяльная станция AOUE-968

4700 1 12 564 0,06

Установка нанесения маркировки Fiber YVO

3000 1 15 450 -

Калибратор универсальный АКИП-

730140000 1 13 5200 -

Источник питаня Е3610А

16000 1 9 1440 0,15

Page 75: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Стол монтажный 15000 4 10 6000 -

Транспортные средства

Тележка 1200 1 12 144 -

Итого 13798

7.2 Эксплуатация оборудования

7.2.1 Стоимость вспомогательных материалов по уходу за

оборудованием

Таблица 4.23 _ Вспомогательные материалы

Наименование Расход в год Цена, рубГодовая сумма

отчислений, руб

Обтирочный материал 130 кв.дм 15 1950

Спирт 4 л 30 120Масло машинное 4 кг 35 140

Итого 2210

7.2.2Годовой фонд заработной платы вспомогательных рабочих,

обслуживающих оборудование.

Таблица 4.24 _ Заработная плата

Наимено-вание

РазрядТарифный

коэффициент

Числен-ность,

чел

Основная з/п в год,

руб

Доп. з/п, руб

Надбавки, руб

Годовой фонд

з/п, руб

Слесарь-наладчик

4 1,76 163300 5380 24000 96700

Элетрик 4 1,76 163300 5380 24000 96700

Грузчик 2 1,26 145300 3850 17200 66300

Итого259700

Page 76: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Часовая тарифная ставка рабочего 1 разряда - 22 р/ч.

Средняя величина доплат и надбавок - 35% от прямой заработной платы

вспомогательного рабочего.

Размер дополнительной заработной платы - 8,5 % от основной

заработной платы вспомогательного рабочего.

Режим работы предприятия - пятидневная рабочая неделя, 8-часовой

рабочий день, 1-сменный режим работы. Число рабочих дней в году - 250.

Время целодневных невыходов на работу - 11 % от числа рабочих дней.

Время внутрисменных простоев - 8 % от плановой продолжительности

смены.

ФРВ=(250-0,11·250)(8-0,08·8)=1634 часа

Слесарь-наладчик: (1·1,76·22·1634)=63300 руб.

Электрик: (1·1,76·22·1634)=63300 руб.

Грузчик: (1·1,26·22·1634)=45300 руб.

7.2.3 Социальные взносы

Размер взносов на социальное страхование - 34,2 % от основной и

дополнительной заработной платы вспомогательного рабочего.

Слесарь-наладчик: (63300+5380)·0,342=23500 руб.

Электрик: (63300+5380)·0,342=23500 руб.

Грузчик: (45300+3850)·0,342=16800 руб.

Итого: 63800 руб.

7.2.4 Стоимость силовой электроэнергии, потребляемой оборудованием

Rc . э .=∑i=1

n

M об . iэл . ⋅¿ Fоб .

эф . год .⋅kср .з . об .

kn. эл .⋅kn . д . эл ., кВт−ч, ¿

(4.5)

Page 77: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Плановое время простоя оборудования - 10 % от номинального фонда

времени работы оборудования.

Тариф на потребляемую электроэнергию - 2,35 р./кВт-ч.

ФРВ=250·(8-0,1·8)=1800 часов.

R=1800(0.06+0.15+0.07)/0.95=530 кВт-ч.

Стоимость силовой электроэнергии, потребляемой

оборудованием=530·2,35= 1245 руб.

Итого: 1245 руб.

7.2.5 Ремонт оборудования, транспортных средств и ценных

инструментов

Величина расходов на текущий и капитальный ремонт оборудования

принимается в процентах от их балансной стоимости:

для технологического и вспомогательного оборудования – 10%

для энергетического – 7,7 %

для транспортных средств – 8 %

Обслуживание технологического и вспомогательного оборудования:

(3000+15000·4+15000·4)·0,1=12300 руб.

Обслуживание энергетического оборудования:

(4700+40000+16000+3000)·0,077= 4905 руб.

Обслуживание транспортных средств: 1200·0,08=96 руб.

Итого: 17301 руб.

7.2 Внутризаводские перемещения грузов

Page 78: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Расходы по внутризаводскому перемещению составляет 7% от

балансной стоимости транспортных средств

1200·0,07=84 руб.

Итого: 84 руб.

7.3 Износ малоценных инструментов и приспособлений, расходы по их

восстановлению

Таблица 4.25 _ Расходы по малоценным инструментам

Наименование Расход в годСтоимость

инструмента, рубЦена, руб

Плоскогубцы 6 шт. 150 900

Набор отверток 6 шт. 500 3000

Пинцет 6 шт. 100 600

Кусачки 6 шт. 150 900

Итого 5400

7.4 Прочие расходы

Принимается в размере 3% от суммы затрат по всем предыдущим

статьям: 368148*0.03=11044 руб.

Таблица 4.26 _ Смета расходов по содержанию и эксплуатации оборудования

Наименование Величина расходов, руб

Амортизация оборудования, транспортных средств и ценных инструментов

13798

Эксплуатация оборудования 326955

Ремонт оборудования, транспортных средств и ценных инструментов

17301

Внутризаводское перемещение грузов 84

Износ малоценных инструментов, расходы по их восстановлению

5400

Прочие расходы 11044

Page 79: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Итого 379192

На единицу продукции: 379192/1000=379 руб.

Статья 8. Цеховые расходы

Затраты по этой статье принимаются в размере 150% от величины

основной заработной платы производственных рабочих.Цеховые расходы=558⋅1,5=837 руб .

Статья 9. Общезаводские расходы

При изготовлении нестандартного оборудования эти расходы достигают 150% от величины основной заработной платы производственных рабочих.Общ .. завод . расходы=558⋅1,5=837 руб

Статья 10. Прочие производственные расходы

Затраты по этой статье принимаются в размере 20% от величины

основной заработной платы производственных рабочих.

Пр . производ . расходы=558⋅0,2=112 руб .

Сумма затрат по 10 статьям калькуляции составляет производственную

себестоимость изделия.Производ .себестоимость=6613 руб .

Статья 11. Внепроизводственные расходы

Величина расходов достигает 1% от производственной себестоимости изделия.

Внепроизвод . расходы=6613⋅0 ,01=66 руб .

Сумма затрат по всем статьям калькуляции составляет полную

себестоимость единицы проектируемого оборудования.Полная себестоимость=6613+66=6679 руб .

Таблица 4.27 – Результат расчета полной себестоимостиСтатья Стоимость, руб.

Сырье и материалы 573Покупные комплектующие изделия 2939Основная заработная плата производственных рабочих

558

Page 80: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Дополнительная заработная плата производственных рабочих.

56

Единый социальный налог с заработной платы производственных рабочих.

210

Расходы на подготовку и освоение производства. 112Расходы по содержанию и эксплуатации оборудования.

379

Цеховые расходы. 837Общезаводские расходы. 837Прочие производственные расходы. 112Внепроизводственные расходы. 66Итого: 6679

5 Безопасность жизнедеятельности

Введение

Предметом научной дисциплины “Безопасность жизнедеятельности”

является деятельность человека, т.е. активное (сознательное) взаимодействие

человека с техносферой (средой обитания), окружающей человека и

обусловленной в данный момент совокупностью определенных факторов

(физических, химических, биологических, социальных), способных

оказывать прямое или косвенное, немедленное или отдаленное воздействие

на деятельность человека и его здоровье. Формы деятельности разнообразны.

Соответственно разнообразны и опасности т.е. источники причинения

возможных травм или вреда здоровью [11], в худшем случае, приводящие к

потере трудоспособности или смерти.

В основу научной проблемы обеспечения безопасности

жизнедеятельности положена аксиома о потенциальной опасности любой

деятельности т.к. человеческая практика дает основание утверждать, что

любая деятельность имеет потенциальную возможность возникновения

опасной ситуации, под которой [12] понимают любую ситуацию,

подвергающую человека (личность) одной или многим опасностям.

Для обеспечения безопасности конкретной производственной

деятельности должны быть выполнены следующие три условия (задачи

безопасности):

Page 81: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

– Детальный анализ (идентификация) опасностей, формируемых в

изучаемой деятельности. Для этого определяют элементы среды

обитания (производственной среды) как источники опасности;

производят оценку имеющихся в рассматриваемой деятельности

опасностей по качественным, количественным, пространственным и

временным показателям.

– Разработка эффективных мер защиты человека и среды обитания от

выявленных опасностей. При этом под эффективными, понимаются

такие меры защиты человека на производстве, которые при минимуме

материальных затрат дают наибольший эффект: снижают

заболеваемость, травматизм и смертность.

– Разработка эффективных мер защиты от остаточного риска данной

деятельности (технологического процесса).

Для выполнения условий (задач) обеспечения безопасности

деятельности необходимо выбрать принципы обеспечения безопасности,

определить методы обеспечения безопасности (т.е. способы достижения

цели, исходящие из знания наиболее общих закономерностей) и использовать

средства обеспечения безопасности человека и производственной среды.

Принципы, методы и средства обеспечения безопасности являются

логическими этапами обеспечения безопасности. Их выбор зависит от

конкретных условий деятельности, уровня опасности, стоимости и т.д.

Исходя из способов защиты, применяют средства коллективной и

индивидуальной защиты. По техническому исполнению различают

следующие группы средств коллективной защиты: ограждения [13],

блокировочные, тормозные, предохранительные устройства, световая и

звуковая сигнализации, приборы безопасности, знаки безопасности,

устройства автоматического контроля [14], дистанционного управления,

заземления и зануления [15], вентиляция, отопление, освещение,

изолирующие, герметизирующие средства и т.д. К средствам

индивидуальной защиты относятся противогазы и респираторы, маски,

Page 82: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

спецодежда (обувь, рукавицы, перчатки и т.п.), каски, шлемы, защитные

очки, предохранительные пояса и др. Эти средства создаются согласно

действующим нормам и рассматриваются как вспомогательные и временные

меры защиты от опасных факторов.

В производственных условиях могут быть реализованы следующие

принципы обеспечения безопасности:

гуманизация деятельности (т.е. освобождение человека от выполнения

однотипных, тяжелых и опасных видов труда); замена оператора

(лица, которое занимается установкой и пуском в эксплуатацию,

наладкой, поддерживанием в исправности, очисткой, ремонтом или

транспортировкой [11]); классификация (деление объектов на классы

и категории по признакам, связанным с опасностями); ликвидация

опасности; снижение опасности;

блокировка; защита расстоянием (т.е. размещение рабочих зон на

определенном расстоянии от источников опасности, [13] и [15]);

прочность; слабое звено (в рассматриваемую систему вводят элемент,

предотвращающий опасные ситуации); экранирование; защита

временем (т.е. ограничение времени пребывания человека в опасной

зоне); информация (т.е. передача и усвоение персоналом сведений,

выполнение которых обеспечивает соответствующий уровень

безопасности); нормирование (установление таких параметров,

соблюдение которых обеспечивает защиту человека от

соответствующей опасности); контроль; управление; эффективность.

В реальных условиях реализуется комбинация этих принципов.

5.2 Анализ опасных производственных факторов

Эксплуатация стендового оборудования связано с настройкой и

регулировкой радиоэлектронного оборудования, поэтому рассмотрим

опасные факторы, связанные с настройкой радиоэлектронного оборудования.

Page 83: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Опасные и вредные производственные факторы в цехах по сборке и

настройке радиоаппаратуры включают физические, химические,

психофизиологические и биологические.

Физическим факторам являются:

- повышенная температура поверхности оборудования;

- повышенный уровень шума на рабочих местах;

- повышенный уровень электромагнитных излучений;

- повышенное напряжение электрической цепи, замыкание которой

может произойти через тело человека;

- недостаточная освещенность рабочей зоны;

- повышенная пульсация освещенности на рабочем месте;

- прямая и отраженная блесткость.

Химически опасные и вредные производственные факторы,

представленные токсическими и раздражающими веществами. Основными из

них являются свинец, канифоль, продукты термической ее деструкции,

органические растворители.

Биологическим опасным и вредным факторам относятся патогенные

бактерии и вирусы, источником которых являются нездоровые носители (и

приравниваемые к ним) работающие сборочных цехов. Основными являются

возбудители болезней органов дыхания.

К психофизиологическим опасным и вредным факторам относятся:

- статические нагрузки;

- перенапряжение анализаторов;

- монотонность труда;

- эмоциональные перегрузки.

Особенности и содержание труда при работах по сборке и регулировке

радиоаппаратуры вызывают у работающих гиподинамию, обусловленную

вынужденной рабочей позой, и предъявляются повышенные требования к

функциям зрительного, двигательного и слухового анализаторов.

Page 84: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

5.2 Разработка мероприятий по улучшению условий труда при

эксплуатации стенда

Рассмотрим методические рекомендации, включающие мероприятия,

направленные на предупреждение неблагоприятных, функциональных

состоянии организма, а также патологических изменений в различных

системах человека, возникающих под влиянием комплекса факторов

производственной среды и факторов трудового процесса, имеющих место

при работах по сборке, настройке радиоаппаратуры.

Методические рекомендации разработаны ссылаясь на ГОСТ 12.1.005-

88 «ССБТ. Воздух рабочей зоны. Общие санитарно-гигиенические

требования» [17], ГОСТ 12.1.003-83 «Шум. Общие требования безопасности»

[18], ГОСТ 12.2.032-78 «ССБТ. Рабочее место при выполнении работ в

положении сидя. Общие эргономические требования» [19], ГОСТ 12.2.049-

80 «ССБТ. Оборудование производственное. Общие эргономические

требования» [20].

Уровень опасных и вредных производственных факторов при работах по

сборке и регулировке радиоаппаратуры не должна превышать предельного

допустимых значений, установленных действующим государственными

стандартами, санитарными нормами проектирования промышленных

предприятий и санитарными правилами, утвержденными Госстандартом и

министерством здравоохранения.

Проектирование, организация и проведение технологических процессов

по сборке и регулировке радиоаппаратуры должна осуществляться в

соответствии с технологической документацией и правилами технической

эксплуатации применяемого оборудования, машин и механизмов с

соблюдением требовании, обеспечивающих защиту работающих от

воздействия от опасных и вредных факторов.

При проектировании, организации и проведении технологических

процессов сборки, регулировки, настройки радиоаппаратуры следует

Page 85: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

предпринимать мероприятия по профилактике неблагоприятного влияния на

организм работающих таких факторов трудового процесса, как

перенапряжение зрительного анализатора, резко ограниченная двигательная

активность, перенапряжение мышц опорного аппарата , поддерживающего

рабочую позу, перенапряжение мелких мышц, обеспечивающих

высококоординированные манипуляторные движения, монотонный характер

труда. Профилактика неблагоприятного влияния факторов трудового

процесса должна достигаться за счет совершенствования технологических

процессов и сокращения ручного труда по сборке изделий.

Рабочее место для технологических операций по сборке, регулировке,

настройке радиоаппаратуры в положении сидя организуют при легкой

работе, не требующей свободного передвижения работающего, а также при

работе средней тяжести в случаях, обусловленных особенностями

технологического процесса категорий работ - по ГОСТ 12.1.005-88.

Конструкция рабочего места и взаимное расположение всех его

элементов (сиденье, органы управления, средства отображения информации

и т.д.) должны соответствовать антропометрическим, физиологическим и

психологическим требованиям, а также характеру работы.

Рабочее место должно быть организовано в соответствии с

требованиями стандартов, технических условий и (или) методических

указаний по безопасности труда. Конструкцией рабочего места должно быть

обеспечено выполнение трудовых операций в пределах зоны досягаемости

моторного поля.

При проектировании оборудования и организации рабочего места

следует учитывать антропометрические показатели женщин (если работают

только женщины) и мужчин (если работают только мужчины); если

оборудование обслуживают женщины и мужчины - общие средние

показатели женщин и мужчин.

Page 86: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Конструкцией производственного оборудования и рабочего места

должно быть обеспечено оптимальное положение работающего, которое

достигается регулированием:

- высоты рабочей поверхности, сиденья и пространства для ног;

- высоты сиденья и подставки для ног (при нерегулируемой высоте

рабочей поверхности). Оптимальная рабочая поза для работающих более

низкого роста достигается за счет увеличения высоты рабочего сиденья и

подставки для ног, на величину, равную разности между высотой рабочей

поверхности для работающего ростом 1800 мм и высотой рабочей

поверхности, оптимальной для роста данного работающего.

Форму рабочей поверхности различного оборудования следует

устанавливать с учетом характера выполняемой работы. Она может быть

прямоугольной, иметь вырез для корпуса работающего или углубление для

настольных машин и т.д. При необходимости на рабочую поверхность

следует устанавливать подлокотники.

Подставка для ног должна быть регулируемой по высоте. Ширина

должна быть не менее 300 мм, длина - не менее 400 мм. Поверхность

подставки должна быть рифленой. По переднему краю следует

предусматривать бортик высотой 10 мм.

Настройка радиоэлектронной аппаратуры связано с воздействием на

регулировщика электромагнитных полей промышленной частоты, значение

которых не должно превышать установленное значение:

предельно допустимый уровень напряженности воздействующего ЭП

устанавливается равным 25 кВ/м;

пребывание в ЭП напряженностью более 25 кВ/м без применения

средств защиты не допускается;

пребывание в ЭП напряженностью до 5 кВ/м включительно

допускается в течение рабочего дня;

при напряженности ЭП свыше 20 до 25 кВ/м время пребывания

персонала в ЭП не должно превышать 10 мин;

Page 87: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

допустимое время пребывания в ЭП напряженностью свыше 5 до 20

кВ/м включительно вычисляют по формуле:

T=50E

−2 ,

(5.1)

где T - допустимое время пребывания в ЭП при соответствующем

уровне напряженности, ч;

E - напряженность воздействующего ЭП в контролируемой зоне, кВ/м.

При разработке технологических процессов, сборки, настройки и

регулировки радиоэлектронного оборудования, а также при организации

рабочего места следует принимать все необходимые меры по снижению

шума, воздействующего на человека на рабочих местах, до значений, не

превышающих допустимые:

- разработкой шумобезопасной техники;

- применением средств и методов коллективной защиты по ГОСТ

12.1.029;

- применением средств индивидуальной защиты по ГОСТ 12.4.051.

Характеристикой постоянного шума на рабочих местах являются уровни

звукового давления в дБ в октавных полосах со среднегеометрическими

частотами 31,5; 63; 125; 250; 500; 1000; 2000; 4000; 8000 Гц, определяемые по

формуле:

, (5.2)

где Р - среднеквадратичная величина звукового давления, Па;

Р0 - исходное значение звукового давления в воздухе равное 2·10-5Па.

Допускается в качестве характеристики постоянного широкополосного

шума на рабочих местах принимать уровень звука в дБА, измеренный на

временной характеристике «медленно» шумомера, определяемый по

формуле:

, (6.3)

Page 88: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

где РА - среднеквадратичная величина звукового давления с учетом

коррекции «А» шумомера, Па.

Характеристикой непостоянного шума на рабочих местах является

эквивалентный (по энергии) уровень звука в дБА.

Широкое внедрение электронной аппаратуры во все сферы деятельности

и компьютеризация общества требуют разработчиков электронной

аппаратуры учета при проектировании человеческого фактора –

особенностей органов чувств, опорно-двигательного аппарата и нервной

системы человека. Изучением влияния особенностей человека на

конструктивные параметры различных изделий, в том числе на электронную

аппаратуру, занимается эргономика.

Возникновение эргономики и ее развитие тесно связанно с дизайном.

Именно дизайн с его гуманитарной направленностью явился главным

потребителем и заказчиком знаний о функциональных возможностях

человека с тем, чтобы в проектируемых объектах были обеспечены

эффективность и безопасность труда, сохранение здоровья и высокая

работоспособность.

Рассматривая вопросы создания наилучших функциональных условий

деятельности человека, необходимо объединить требования, определяемые

эргономикой и дизайном, в единое понятие – эргодизайн. В основе

эргодизайна как научного направления лежит известный закон соответствия,

а его методы базируются на методологии функционального комфорта.

5.4 Расчёт искусственного освещения

Рациональное искусственное освещение производственных помещений,

отвечающее требованиям существующих санитарных норм и строительных

правил, обеспечивает возможность нормальной деятельности человека.

Page 89: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

От особенностей устройства освещения в значительной степени зависит

производительность труда ( повышение на 13 % ), а так же качество

выпускаемой продукции.

Произведём расчёт освещения на участке регулировки аппаратуры, где

III разряд зрительной работы, со светильниками с люминесцентными

лампами.

Размеры помещения: длина A=15м; ширина B=10м; высота H=4,5м.

Потолок и стены побелены, мало загрязнены. Напряжение в основной сети

U=220В. Принимаем систему общего освещения. Характер зрительной

работы на участке соответствует III разряду.

Норма освещённости на рабочем месте соответствует 300лк. Для

освещения помещения выбираем светильники с люминесцентными

лампами типа ЛСПО-2x6,5. Определяем расстояние от потолка до рабочей

поверхности:

H Hо

Hn Hp

hp

Рисунок 6.1 – Схема определения высоты подвеса светильников

H 0=H −hp, (5.4)

где H – высота помещения от пола до потолка, м;

hp – высота рабочей поверхности, м.

Ho = 4,5 – 0,8 = 3,7 м.

Расстояние от потолка до светильника:

hc=0,25 × H 0 ; (5.5)

hc=0,25 ×3,7=0,925 м.

Page 90: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Возможная высота подвеса светильника над освещаемой поверхностью:

H p=H 0−hc ; (5.6)

H p=3,7−0,925=2,775 м.

Высота подвеса над полом:

H n=H p+hp ; (5.7)

H n=2,775+0,8=3,575 м.

Для достижения наибольшей равномерности освещения принимаем

отношение:

H р

=1,4. (5.8)

Расстояние между рядами светильников:

Lр=1,4 × H р ; (5.9)

Lр=1,4 × 2,775=3,885 м.

Принимаем расположение светильников в три ряда: по центральной

продольной оси и вдоль стен.

Расстояние от крайних светильников до стен l принимаем равным 1,16 м

(l=0,3Lp). Фактическое расстояние между рядами:

Lр=( B−2 l )

2; (5.10)

Lр=(10−2×1,16 )

2=3,84 м .

При длине светильников 1,25 м устанавливаем в ряду 5 светильников, с

расстоянием между ними по 1,16 м. Таким образом, принимаем всего 15

светильников по 2 лампы ЛД в каждом. Общее количество ламп N = 30.

Индекс помещения:

i=A × B /H р ( A+B ) (5.11)

i=15 ×10

2,775 (15+10 )=2,162.

Коэффициенты отражения потолка, стен и рабочих поверхностей:

n = 70 %; с = 50 %; р = 10 %

Находим значение по таблице 16 [ 6 ]

Page 91: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

= 56 %

Для производственных помещений, с содержанием пыли менее 1 мг/м3

коэффициент запаса Кз = 1,5. Определим расчётное значение светового

потока для создания нормированной освещённости на рабочих листах:

Фп=Eн× K з × S × Z

η× N(5.12)

где: EH – нормированное значение минимальной освещённости,

лк (табл.12 [ 6 ]);

Z – поправочный коэффициент, учитывающий неравномерность

освещения, Z = 1,1.

Фп=300 × 1.5× 150× 1.1

0.56 × 30=4420 мм .

Выбираем лампу ЛБ65-2 со световым потоком Фп = 4320.

Произведём проверочный расчёт освещённости:

E=Фп× N × η

K з × S× Z(5.13)

E=4320 ×30 ×0.561.5 ×150 ×1.1

=293 лк .

Общая мощность осветительной установки:

P0=K п× P × N , (5.14)

где Кп – коэффициент, учитывающий потери в пускорегулирующей

аппаратуре, Кп= 1,25;

Р – мощность лампы, кВт;

Р0=1,25 × 0 ,065× 30=2,43 ( кВт ) .

Таким образом, расчётная освещённость на участке настройки

соответствует требованиям СНиП-23-05-95.

Выводы по главе

В данной главе были освещены вопросы безопасности

жизнедеятельности связанные с настройкой и регулировкой

радиоэлектронного оборудования, разработка мероприятий по улучшению

условий труда при эксплуатации стенда и расчёт искусственного освещения.

Page 92: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

В качестве осветительных приборов были выбраны светильники типа

ЛСПО-2x6,5 с люминесцентной лампой ЛБ65-2.

На основе данного расчета можно утверждать, что производственное

помещение освещено по всем нормам и безопасна для жизнедеятельности.

Заключение

Основными результатами данного дипломного проекта являются:

• Проведён патентно-информационный поиск, который подтвердил

патентную чистоту ??????.

• Написана программа в языковой среде VHDL.

• Получены результаты работы программы.

• Разработано диагностирующее устройство.

• Создан синтезатор манипулирующей кодовой последовательности для

грубого измерения дальности.

• Представлены расчёты затрат на разработку программного продукта,

устройства и расчет полной себестоимости единиц проектируемого

оборудования.

• Освещены вопросы безопасности жизнедеятельности обслуживающего

персонала при работах по сборке, настройке радиоаппаратуры. Разработаны

мероприятия по улучшению условий труда. Произведены расчеты

искусственного освещения.

Таким образом, задачи дипломного проекта полностью выполнены, а

полученные результаты имеют важное практическое значение.

Дальнейшим направлением работы по данной тематике является

проектирование приемно-передающих трактов и ??????.

Текстовая и графическая части данного дипломного проекта выполнены

с применением современных средств вычислительной техники. Чертежи,

Page 93: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

плакаты и рисунки, внедрённые в дипломный проект, выполнены с помощью

систем автоматизированного проектирования (САПР) «Компас 10.0».

Список сокращений

ПЛИС – программируемая логическая интегральная схема

РЛС – радиолокационная система

РЭА – радиоэлектронная аппаратура

ФК – фазокодированный

ФМ – фазоманипулированный

ЛОС – логическая обратная связь

Г – генератор ФК сигналов

ДУ – диагностирующее устройства

ОСЦ – осциллограф

ЭВМ – электронная вычислительная машина

ЦОС - цифровая обработка сигналов

Page 94: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Список литературы

1. Размахнин, М. К. Радиолокация без формул, но с картинками /

К. М. Размахнин. - М.: Изд-во Советское радио, 1971. - 128 с.

2. Свистов, В. М. Радиолокационные сигналы и их обработка / В.

М. Свистов. - М.: Изд-во Советское радио, 1977. - 448 с.

3. Бакулев П. А. Радиолокационные системы: учебник для вузов /

П. А. Бакулев. – М.: Изд-во Радиотехника, 2004. - 320 с.

4. Грушвицкий Р. И., Мурсаев А. Х., Угрюмов Е. П.

Программирование систем на микросхемах программируемой логики / Р. И.

Грушвицкий, А. Х. Мурсаев, Е. П. Угрюмов. – СПб.: Изд-во БХВ-Петербург,

2002. – 608 с.

5. Digilent Nexys2 board reference manual. – 2008. – P.17.

6. Патентные исследования в разработках электронных средств:

Методические указания к выполнению курсовых и дипломных проектов для

студентов специальностей 190600, 200700, 200800, 201100, 201500, 210100,

220500. – Йошкар-Ола: 2003. – 24 с.

7. Леухин В.Н. Радиоэлектронные узлы с монтажом на

поверхность: конструирование и технология.- Йошкар-Ола: МарГТУ. - 2006.-

240 с.

Page 95: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

8. Захаров Ю. В. Управление качеством и надежность электронных

средств: Учебное пособие. – 2-е изд., без изм. – Йошкар-Ола: МарГТУ,

2002 – 80 с.

9. Шульмин В. А., Усынина Т. С. Экономическое обоснование в

дипломных проектах: Учебное пособие. – Йошкар-Ола, 2004. – 164 с.

10. ПБ 1.05-95 Нормы противопожарной безопасности. Определение

категории помещений и зданий по взрывной и пожарной безопасности.

11. Правила пожарной безопасности в РФ ПБ 01-93 (ред. 1998 г).

12. СанПиН 2.2.4.723-98 Переменные магнитные поля промышленной

частоты (50 Гц) в производственных условиях

13. СанПиН 2.2.4/2.1.8.055-96 Электромагнитные излучения

радиочастотного диапазона

14. СанПиН 2152-80 Санитарно-гигиенические нормы допустимых

уровней ионизации воздуха производственных и общественных помещений

15. Расчет искусственного освещения: Методические указания к

выполнению практических работ и дипломного проектирования для

студентов всех специальностей очной и заочной форм обучения. 3 изд. с изм.

/ Сост. Мазуркина Т.Н., Глухов О.А., Кичкин Ю.Ф. - Йошкар-Ола: МарГТУ,

1998. - В2с /

16. ГОСТ 12.1.005-88 Общие санитарно-гигиенические требования к

воздуху рабочей зоны. М.: Изд-во стандартов, 1990. 20 с

17. ГОСТ 12.1.003 – 83 Шум. Общие технические требования

безопасности. М.: Изд-во стандартов, 1985. 15 с

18. ГОСТ 12.2.032-78 Рабочее место при выполнении работ в

положении сидя. Общие эргономические требования. М.: Изд-во стандартов,

1979. 5 с

19. ГОСТ 12.2.049-80 Оборудование производственное. Общие

эргономические требования М.: Изд-во стандартов, 1982. 13 с

Page 96: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

21. Обзор архитектур программируемых логических интегральных схем.

[Электронный ресурс] - Режим доступа: http://www.dsol.ru/stud/STESHENKO/

glava2/21.htm. - 27.05.2012.

22. DL-NEXYS. Отладочные и оценочные платы и наборы для семейства

SPARTAN-3(E) DIGILENT [Электронный ресурс] // «Башэл Промышленная

электроника». - Режим доступа: http://bashel.bashel.ru/icards.php?

bi&n=7660&ct=3&pg=28. – 27.05.2012.

Приложения

Page 97: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

Приложение А

Листинг программы

Реализация счетчика:

library IEEE;

use IEEE.std_logic_1164.all;

use IEEE.std_logic_unsigned.all;

entity oscillator is

port( CLK : in std_logic;

TaktSign : out std_logic);

end oscillator;

architecture oscillator_arch of oscillator is

signal counter : std_logic_vector(20 downto 0):= (others => '0');

signal os_temp,res : std_logic := '0';

begin

count : process(CLK,res)

Page 98: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

begin

if(res = '1') then

counter <= (others => '0');

elsif(rising_edge(CLK)) then

counter <= counter +1;

end if;

end process;

L : process(counter)

begin

if(counter = 16) then

res <= '1';

os_temp <= not os_temp;

else

res <= '0';

end if;

end process;

TaktSign <= os_temp;

end oscillator_arch;

Основная программа:

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_unsigned.all;

ENTITY KodPosled IS

PORT(

clk : IN std_logic;

Page 99: Оформленный диплом

12

Лист

КНФУ.63.3100.001 ПЗДатаПодпись№ документаЛистИзм

Инв.

№ подп.

По

дпи

сь и д

атаВ

заим. и

нв

Инв.

№ дуб

л.

По

дпи

сь и д

ата

outsign : OUT std_logic

);

END KodPosled;

ARCHITECTURE KodPosled_arch OF KodPosled IS

signal sign : std_logic_vector (63 downto 0) :=

("011111000001000011000101001111010001110010010110111011001101010");

BEGIN

process (clk)

variable index : integer := 64;

begin

if (rising_edge (clk)) then

if (index = 64) then

index := 0;

else

index := index + 1;

end if;

outsign <= sign(index);

end if;

end process;

END KodPosled_arch;